TW201916254A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW201916254A
TW201916254A TW107133359A TW107133359A TW201916254A TW 201916254 A TW201916254 A TW 201916254A TW 107133359 A TW107133359 A TW 107133359A TW 107133359 A TW107133359 A TW 107133359A TW 201916254 A TW201916254 A TW 201916254A
Authority
TW
Taiwan
Prior art keywords
source
layer
fin
drain
drain structure
Prior art date
Application number
TW107133359A
Other languages
English (en)
Inventor
李昆穆
李威養
蕭文助
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916254A publication Critical patent/TW201916254A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體結構包含閘極結構、第一源/汲極結構和接觸結構。閘極結構包含閘極介電層設置於第一鰭片結構上。第一源/汲極結構設置於第一鰭片結構內且相鄰於閘極結構。第一源/汲極結構包含第一磊晶層接觸第一鰭片結構的頂面,以及第二磊晶層設置於第一磊晶層上且延伸至閘極介電層的底面上。接觸結構延伸至第一源/汲極結構內。第一鰭片結構的頂面在第一源/汲極結構的頂面與底面之間。第一源/汲極結構的抬升高度與閘極介電層的厚度的比值在約1至約20的範圍內。

Description

半導體結構
本發明實施例是有關於半導體結構,特別是有關於鰭式場效電晶體結構。
半導體積體電路(integrated circuit,IC)工業經歷了快速成長。IC材料和設計技術的進步使得IC的每個世代皆具有相較於前一世代更小且更複雜的電路。然而,這些進步提高了製造及生產IC的複雜度。為了達成先進技術的目標,IC的製造及生產需要相似的發展。在半導體製程中持續地進步使得半導體裝置具有更細緻的特徵及/或更高度的整合。在半導體基底電路的演化進程中,功能密度(例如:單一晶片區域上的互連裝置數)在幾何尺寸(例如:製程上能創造出的最小組件)逐漸縮小的同時逐步地增加。
除了材料和製造上開創性地進展外,按比例縮小平面裝置,例如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)裝置已證明是有挑戰性的。為了克服這些挑戰,電路設計者尋求新的結構以改善效能,使得三維(3D)設計得以發展,例如似鰭片的場效電晶體(fin-like field effect transistors,FinFETs)。FinFET具有豎立薄「鰭」(或鰭片結構)自基底向上延伸,FinFET的通道係形 成於此豎立鰭片內。在鰭片上提供閘極以允許閘極自多端控制通道。形成在FinFET的源/汲極端上的矽鍺磊晶層可能在晶片的高密度區內、鰭片間距(pitch)很窄的地方形成電性短路,這些電性短路將導致FinFET的效能衰退並使晶圓的良率降低。
本發明的一些實施例中提供半導體結構。半導體結構包含閘極結構、第一源/汲極結構和接觸結構。閘極結構包含閘極介電層設置於第一鰭片結構上。閘極介電層在沿著大抵上垂直於第一鰭片結構的頂面的方向上具有厚度。第一源/汲極結構設置於第一鰭片結構內且相鄰於閘極結構。第一源/汲極結構包含第一磊晶層接觸第一鰭片結構的頂面,以及第二磊晶層設置於第一磊晶層上且延伸至閘極介電層的底面上。第一鰭片結構的頂面在第一源/汲極結構的頂面與底面之間。第一源/汲極結構在第一鰭片結構的頂面與第一源/汲極結構的頂面之間具有抬升(raised)高度,且抬升高度與閘極介電層的厚度的比值在約1至約20的範圍內。
本發明的一些實施例中提供半導體結構的形成方法。方法包含在第一鰭片結構上形成閘極結構,以及在第一鰭片結構內形成相鄰於閘極結構的源/汲極結構。形成源/汲極結構包含在第一鰭片結構上形成第一磊晶層,以及在第一磊晶層上形成第二磊晶層。方法也包含在閘極結構和源/汲極結構上形成介電層,以及移除一部分的介電層和一部分的源/汲極結構以形成開口。此外,方法包含在開口內形成矽化物層。矽化物層的底面在第二磊晶層的頂面與第一鰭片結構的頂面之 間。方法也包含在第二磊晶層和矽化物層上形成阻擋層,以及形成接觸結構填入開口,其中接觸結構的側壁表面由阻擋層所環繞。
本發明的一些實施例中提供半導體結構的形成方法。方法包含在鰭片結構上形成閘極結構。方法包含在鰭片結構內形成相鄰於閘極結構的源/汲極結構,其中源/汲極結構在沿著(110)面的方向上具有寬度。方法更包含選擇性地蝕刻源/汲極結構以縮小源/汲極結構的寬度。方法更包含在閘極結構和源/汲極結構上形成介電層。方法更包含移除一部分的介電層和一部分的源/汲極結構以形成開口。源/汲極結構在開口內的第一表面在鰭片結構的頂面上。方法更包含沉積阻擋層內襯於開口的側表面且在源/汲極結構的第一表面上,移除開口內的一部份的阻擋層,以及在介電層的開口內填入接觸結構。接觸結構的側壁表面由阻擋層所環繞。
200‧‧‧基底
204‧‧‧鰭片結構
205、208、223、228、247、364‧‧‧頂面
206‧‧‧隔離區
210、225、238、243、249、366‧‧‧底面
215‧‧‧虛設閘極結構
218‧‧‧閘極間隙物
220、220A‧‧‧源/汲極結構
220-1‧‧‧第一磊晶層
220-2‧‧‧第二磊晶層
220-3‧‧‧覆蓋磊晶層
221‧‧‧蝕刻停止層
222、226‧‧‧介電層
232、232A、232B‧‧‧開口
233、241、251、255、320‧‧‧側表面
234、236‧‧‧阻擋層
235、237‧‧‧上表面
240‧‧‧源/汲極矽化物層
242‧‧‧黏著層
244‧‧‧接觸結構
252‧‧‧閘極介電層
253‧‧‧界面
254‧‧‧閘極電極層
256‧‧‧閘極結構
362‧‧‧蝕刻製程
380、382、384‧‧‧角度
500、500A‧‧‧鰭式場效電晶體
600‧‧‧半導體結構
D‧‧‧距離
H1、H2、H3‧‧‧高度
P1‧‧‧間距
T‧‧‧厚度
W1、W2、W3‧‧‧寬度
藉由以下的詳述配合所附圖式,我們能更加理解本發明實施例的內容。需注意的是,根據工業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,這些部件的尺寸可能被任意地增加或減少。
第1圖是根據一些實施例,顯示範例的簡化鰭式場效電晶體(FinFET)的3D立體圖。
第2A、3A和4A圖是根據一些實施例,顯示沿著第1圖中線A-A’之形成半導體結構的各個階段的剖面示意圖。
第2B、3B和4B圖是根據一些實施例,顯示沿著第1圖中線 B-B’之形成半導體結構的各個階段的剖面示意圖。
第5、6、7、8和9圖是根據一些實施例,顯示沿著第1圖中線A-A’,在第4A圖的階段之後,形成半導體結構的各個階段的剖面示意圖。
以下提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,以下敘述中提及第一部件形成於第二部件之上或上方,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。此外,本發明實施例在各種範例中可能重複參考數字及/或字母,此重複是為了簡化和清楚,並非在討論的各種實施例及/或組態之間指定其關係。
再者,空間上相關的措辭,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」和其他類似的用語可用於此,使得描述圖中所示之一元件或部件與其他元件或部件之間的關係更容易。此空間上相關的措辭意欲包含除圖式描繪之方向外,使用或操作中的裝置之不同方向。設備可以其他方向定位(旋轉90度或其他定位方向),且在此使用的空間相關描述可同樣依此解讀。
以下描述了本發明的一些實施例。可在下述實施例之步驟的前、中、後提供額外的操作。以下描述的一些步驟 可在不同的實施例中被取代或刪除。可在半導體裝置結構中加入額外的部件。以下描述的一些部件可在不同的實施例中被取代或刪除。雖然在此討論的一些實施例及操作是以特定的順序予以實施,然而,這些操作可以其他的邏輯順序予以實施。
鰭片可藉由任何合適的方法以進行圖案化。舉例而言,可使用一或多道微影(photolithography)製程以將鰭片圖案化,微影製程包含雙重圖案化或多重圖案化。一般而言,雙重圖案化或多重圖案化結合微影和自對準(self-aligned)製程,可允許產生例如間距小於使用單一、直接地微影製程所獲得的間距的圖案。舉例而言,在一實施例中,在基底上形成犧牲層,並使用微影製程以將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁形成間隙物。然後,移除犧牲層,接著使用剩餘的犧牲層以將鰭片圖案化。
隨著半導體製程的發展,較小的臨界尺寸(critical dimensions,CDs)和具有較小的間距幾何的較高密度區為發展的趨勢。然而,在晶片的高密度區,其包含例如鰭式場效電晶體(FinFET)結構,較小的間距幾何是具有挑戰性的。舉例而言,鰭式場效電晶體結構的鰭片間距可對彼此緊密間隔的單鰭片結構的源/汲極(source/drain,S/D)的形成產生挑戰。對緊密間隔的鰭片(例如,在鰭式場效電晶體結構之間的間隔小於60nm)而言,鰭片的磊晶的矽鍺(SiGe)源/汲極可能會與相鄰的鰭片的矽鍺源/汲極電性短路。這種不預期的情況將導致晶圓的良率降低。
本發明的一些實施例提供了包含源/汲極結構的半 導體結構。半導體結構在鰭片結構的頂面上具有抬升高度。半導體結構也包含圍繞接觸結構的阻擋層。源/汲極結構可具有「延伸」的鑽石形狀以避免鄰近的鰭式場效電晶體之間形成電性短路。此外,阻擋層的底面可設置於源/汲極結構內且位於鰭片結構的頂面上。因此,阻擋層可使鰭式場效電晶體的源/汲極結構與金屬閘極結構之間產生較佳的絕緣。此外,阻擋層可不增加源/汲極結構至鰭式場效電晶體的通道區的電流路徑。
第1圖是根據一些實施例,顯示範例的簡化鰭式場效電晶體(FinFET)500的3D立體圖。第1圖其他未顯示及描述的視角可由以下的圖式及敘述明顯得知。鰭式場效電晶體500包含在基底200上的鰭片結構204。基底200包含隔離區206,且鰭片結構204突出於隔離區206的頂面208上。此外,鰭片結構204可形成於鄰近的隔離區206之間。包含閘極介電層252和閘極電極層254的閘極結構256設置於鰭片結構204上。閘極介電層252沿著鰭片結構204的側壁和頂面上設置,且閘極電極層254設置於閘極介電層252上。源/汲極結構220係設置在鰭片結構204中相對於閘極介電層252和閘極電極層254的區域內。第1圖更顯示出用於以下圖式的參考剖面A-A’和參考剖面B-B’。剖面A-A’所在的平面方向可沿著例如在相對兩個源/汲極結構220之間的鰭片結構204內的通道。此外,剖面B-B’所在的平面方向可沿著鰭片結構204的寬度。
源/汲極結構220可共用於不同的電晶體之間。一些範例中,源/汲極結構220可連接或耦接至其他的鰭式場效電晶 體,使得鰭式場效電晶體作為一個功能性的電晶體。舉例而言,若鄰近的(例如相對的)源/汲極區電性連接在一起,例如藉由磊晶成長合併(merge)在一起的源/汲極區,可實現一個功能性的電晶體。其他範例中的其他配置可實現其他數量的功能性電晶體。
第2A、3A和4A圖顯示沿著第1圖中線A-A’之形成半導體結構600的各個階段的剖面示意圖。第2B、3B和4B圖顯示沿著第1圖中線B-B’之形成半導體結構600的各個階段的剖面示意圖。第5、6、7、8和9圖顯示沿著第1圖中線A-A’,在第4A圖的階段之後,形成半導體結構600的各個階段的剖面示意圖。第1圖中沿著線A-A’的方向與(100)面的方向一致,(100)面的方向係垂直於基底的表面且相同於通道長度的方向。此外,第1圖中沿著線B-B’的方向與(110)面的方向一致,(110)面的方向係平行於基底的表面且相同於鰭片寬度的方向。
一些實施例中,採用閘極置換(即後閘極(gate-last))的製程以製造半導體結構600,例如鰭式場效電晶體(FinFET,如鰭式場效電晶體500)。半導體結構600包含之後將在其上方形成鰭式場效電晶體500的基底200。
根據一些實施例,如第2A和2B圖所示,取得包含複數個鰭片結構204的基底200。一些實施例中,基底200可為半導體基底,例如塊材(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或其相似物,且可為摻雜的(例如摻雜P型或N型摻質)或未摻雜的。基底200可為晶圓,例如矽晶圓。一般而言,絕緣層上覆半導體基底包含形成 於一層絕緣層上的一層半導體材料。絕緣層可例如為埋置氧化(buried oxide,BOX)層、氧化矽層或其相似物。絕緣層提供於基底上,基底典型上為矽或玻璃基底。也可使用其他基底,例如多層或梯度(gradient)基底。一些實施例中,基底200的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含矽鍺(SiGe)、鎵砷磷(GaAsP)、鋁銦砷(AlInAs)、鋁鎵砷(AlGaAs)、鎵銦砷(GaInAs)、鎵銦磷(GaInP)及/或鎵銦砷磷(GaInAsP))或前述之組合。
一些實施例中,基底200可用於形成P型裝置或N型裝置。舉例而言,P型裝置可為P型金屬氧化物半導體場效電晶體(MOSFET),N型裝置可為N型金屬氧化物半導體場效電晶體。因此,鰭式場效電晶體500可稱為P型鰭式場效電晶體或N型鰭式場效電晶體。舉例而言,鰭式場效電晶體500可為P型鰭式場效電晶體。
一些實施例中,在基底200上形成鰭片結構204。鰭片結構204可由與半導體基底相同或不同的材料製成。舉例而言,鰭片結構204可由矽製成,但不限於此。根據一些實施例,如第2B圖所示,鰭片結構204係設置為彼此相鄰,且彼此之間設有間距P1。一些實施例中,鰭片結構204的間距P1在約10nm至約60nm的範圍內。舉例而言,鰭片結構204的間距P1可在約10nm至約40nm的範圍內。此外,鰭片結構204在晶圓的不同區域可具有不同的間距(例如針對邏輯的鰭式場效電晶體使用一個鰭片間距,而對於靜態隨機存取記憶體(static random access memory,SRAM)則使用其他的鰭片間距)。鰭片結構204在同一晶片上也可具有不同的配置或安排。舉例而言,鰭片結構204可為單鰭片結構的大型陣列的一部分或島狀的雙鰭片結構的一部分。一些實施例中,島狀的雙鰭片結構具有兩個自基底相同的突出部延伸出來的兩個鰭片,而單鰭片結構具有自基底的主要部分直接延伸出來的鰭片。在其他實施例中,在島狀的雙鰭片結構中,自基底內相同的突出部延伸出來的鰭片數量可多於兩個。如本發明所屬技術領域中具有通常知識者可理解的,這些鰭片結構204的配置和安排僅為範例,而非用以限制本發明的實施例。
一些實施例中,藉由對基底200實施圖案化製程以形成鰭片結構204。鰭片結構204可由透過圖案化製程形成於基底200內的溝槽(未繪示)所環繞。隔離區206(例如淺溝槽隔離(shallow trench isolation,STI)結構)可形成於溝槽的底面210上。鰭片結構204的下部可由隔離區206所環繞,且鰭片結構204的上部自每一個隔離區206的頂面208突出。
根據一些實施例,如第2A圖所示,在形成隔離區206之後,在每一個鰭片結構204的頂面205上形成虛設閘極結構215。此外,在虛設閘極結構215上形成硬遮罩層(未繪示)。一些實施例中,虛設閘極結構215覆蓋形成的鰭式場效電晶體(例如鰭式場效電晶體500)中在每一個鰭片結構204上的個別的通道區。一些實施例中,虛設閘極結構215覆蓋鰭片結構204的側壁和頂面205,且延伸至鰭片結構204外的隔離區206和基底200上。一些實施例中,虛設閘極結構215包含閘極介電質(未 繪示)和形成於閘極介電質上的閘極電極(未繪示)。
隨後,根據一些實施例,如第2A圖所示,在虛設閘極結構215的相對側壁上和鰭片結構204上形成閘極間隙物218。閘極間隙物218可包含單層結構或多層結構。閘極間隙物218可由低介電常數(low-k)的材料(例如介電常數小於5)製成,如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、其他合適的材料或前述之組合。一些實施例中,閘極間隙物218藉由沉積製程及接續的蝕刻製程以形成。沉積製程可包含化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積(physical vapor deposition,PVD)製程、旋轉塗佈製程、其他合適的製程或前述之組合。蝕刻製程可包含乾蝕刻製程。
根據一些實施例,如第2A和2B圖所示,在形成閘極間隙物218之後,選擇性地凹陷與閘極間隙物218相鄰之位置的鰭片結構204,並在每一個鰭片結構204內且相鄰於相應之虛設閘極結構215的凹陷內形成第一磊晶層220-1和第二磊晶層220-2。第一磊晶層220-1和第二磊晶層220-2可為源/汲極結構的部分。舉例而言,第一磊晶層220-1和第二磊晶層220-2可為矽鍺(SiGe)磊晶層。一些實施例中,第一磊晶層220-1係形成於每一個鰭片結構204的頂面205和一部分的側表面上且與其接觸。此外,第一磊晶層220-1與每一個鰭片結構204在凹陷內接觸。再者,第二磊晶層220-2可設置為上覆於且接觸第一磊晶層220-1。
一些實施例中,使用合適的方法以成長第一磊晶層220-1和第二磊晶層220-2,例如金屬有機化學氣相沉積 (metal-organic chemical vapor deposition,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、相似的方法或前述之組合。磊晶成長製程可在高基底溫度下實施(例如在約450℃至約740℃的範圍內)。磊晶成長製程可在製程壓力在約1托(Torr)至約100托(Torr)的範圍內實施。磊晶成長製程可使用包含矽烷(SiH4)、二矽烷(Si2H6)、鍺烷(GeH4)、二硼烷(B2H6)和氫氯酸(HCl)的反應氣體。此外,反應氣體可包含氫氣(H2)、氮氣(N2)或氬氣(Ar)。
一些實施例中,第一磊晶層220-1中鍺(Ge)的原子百分比在約0%至約40%的範圍內,且硼(B)的摻質濃度在約5x1019原子/cm3至約1x1021原子/cm3的範圍內。一些實施例中,第一磊晶層220-1的厚度在大於0nm至約60nm的範圍內,例如為10nm至20nm。舉例而言,第一磊晶層220-1的底部的厚度在大於0nm至約60nm的範圍內,且第一磊晶層220-1的側部厚度在大於0nm至約15nm的範圍內。一些實施例中,第二磊晶層220-2中鍺(Ge)的原子百分比可在約20%至約80%的範圍內,且硼(B)的摻質濃度在約1x1020原子/cm3至約3x1021原子/cm3的範圍內。一些實施例中,第二磊晶層220-2的厚度在大於0nm至約60nm的範圍內,例如為30nm至60nm。如本發明所屬技術領域中具有通常知識者可理解的,前述的範圍並非用以限制本發明的實施例。
根據一些實施例,如第2A和2B圖所示,第一磊晶 層220-1和上覆於第一磊晶層220-1的第二磊晶層220-2可在每一個鰭片結構204內共同形成「鑽石形」的源/汲極結構220A。源/汲極結構220A在沿著(110)面的方向上可具有寬度W1,且在沿著(100)面的方向上可具有高度H1。舉例而言,寬度W1可在約35nm至約45nm的範圍內,例如約40nm。舉例而言,高度H1可在約55nm至約65nm的範圍內,例如約60nm。然而,由於這些尺寸和形狀與鰭式場效電晶體的效能有關,且可視鰭式場效電晶體的電性而做調整,前述的尺寸和形狀僅為範例,並非用以限制本發明的實施例。此外,每一個「鑽石形」的源/汲極結構220A的一對側表面320之間具有角度380。一些實施例中,角度380在約45度至約65度的範圍內。應注意的是,每一個「鑽石形」的源/汲極結構220A的一對側表面320係位於相應的鰭片結構204的同一側。
在一些靜態隨機存取記憶體(SRAM)的鰭式場效電晶體的實施例中,若鰭片結構204的間距P1太小(例如小於60nm),源/汲極結構220A在磊晶層的成長製程的後期有物理性(及電性)接觸的風險,此不預期的狀況將導致相鄰的鰭式場效電晶體之間形成電性短路。為了克服此不預期的狀況,可實施選擇性蝕刻製程(例如以下將詳細說明的選擇性蝕刻製程360)以縮小每一個源/汲極結構220A的寬度W1。然而,一些其他的實施例中,可不實施上述的蝕刻製程,使得源/汲極結構220A合併在一起。在又一實施例中,若鰭片結構204的間距P1足夠大,則無需實施上述的蝕刻製程。
隨後,根據一些實施例,如第3A和3B圖所示,對 「鑽石形」的源/汲極結構220A實施選擇性蝕刻製程360以縮小每一個源/汲極結構220A的寬度W1(第2B圖)。舉例而言,可實施選擇性蝕刻製程360以蝕刻每一個源/汲極結構220A的一對側表面320的一部分。一些實施例中,選擇性蝕刻製程360為側向蝕刻製程,且可為原位(in-situ)製程。舉例而言,選擇性蝕刻製程360可在不破壞真空的前提下,在相同的群集設備(cluster tool)或磊晶成長反應室內實施。由於數個原因,原位製程是有優勢的。舉例而言,原位製程不會影響群集設備的流通量,不像需要破壞真空或需額外之設備的異位(ex-situ)製程影響的流通量那麼多。此外,原位製程可確保與異位製程相比更好的製程和粒子控制。
一些實施例中,使用包含氫氯酸(HCl)、鍺烷(GeH4)和氯氣(Cl2)的蝕刻氣體以實施選擇性蝕刻製程360。如本發明所屬技術領域中具有通常知識者可理解的,這些氣體可混合導入或一次導入其中一種。再者,也可使用其他的氣體組合。一些實施例中,HCl的氣體流速可在約40至約1000單位時間標準毫升數(standard-state cubic centimeter per minute,sccm)的範圍內,GeH4的氣體流速可在約0sccm至約1000sccm的範圍內,且Cl2的氣體流速可在約0sccm至約100sccm的範圍內。一些實施例中,選擇性蝕刻製程360的製程溫度可在約450℃至約800℃的範圍內。此外,選擇性蝕刻製程360的蝕刻時間可在約5秒至約1200秒的範圍內。如本發明所屬技術領域中具有通常知識者可理解的,這些範圍僅為範例,並非用以限制本發明的實施例。
一些實施例中,選擇性蝕刻製程360在沿著(110)面的方向(即平行於鰭片結構204的頂面205的方向)上具有高選擇性。因此,沿著垂直於鰭片結構204的頂面205的方向上及沿著(100)面的方向上的蝕刻速率標稱(nominally)上為0或幾乎可忽略。舉例而言,每一個源/汲極結構220A的蝕刻移除的高度可在約0nm至約5nm的範圍內。如本發明所屬技術領域中具有通常知識者可理解的,可經由蝕刻製程參數來調整側向蝕刻選擇性(沿著(110)面),例如蝕刻氣體的氣體流速和製程溫度。蝕刻速率和側向選擇性也可以取決於每一個源/汲極結構220A中第一磊晶層220-1和第二磊晶層220-2的硼和鍺的原子百分比。可使用蝕刻氣體的流速、製程溫度、鍺的原子百分比和硼的摻質濃度中的任一者或全部的組合來調整最終蝕刻製程並使側向選擇性最佳化。一些實施例中,較高的製程溫度、較高的鍺原子百分比和較高的氣體流速有利於沿著(110)面的側向蝕刻選擇性,(110)面的方向即鰭的寬度的方向(x方向)。
根據一些實施例,如第3A和3B圖所示,在實施選擇性蝕刻製程360之後,每一個源/汲極結構220A在沿著(110)面的方向上具有寬度W2,且在(100)面的方向上具有高度H2。舉例而言,每一個源/汲極結構220A的寬度W2可窄於實施選擇性蝕刻製程360之前每一個源/汲極結構220A的寬度W1(第2B圖),且差值在約0nm至約20nm的範圍內。另外,由於選擇性蝕刻製程360的側向選擇性,選擇性蝕刻製程360大抵上並未影響高度H2。舉例而言,每一個源/汲極結構220A的高度H2可低於實施選擇性蝕刻製程360之前每一個源/汲極結構220A的高 度H1,且差值在約0nm至約5nm的範圍內。此外,在實施選擇性蝕刻製程360之後,每一個源/汲極結構220A的一對側表面320之間的角度382可在約55度至約180度的範圍內。
隨後,根據一些實施例,如第4A和4B圖所示,在每一個源/汲極結構220A的第二磊晶層220-2(第3A和3B圖)上成長覆蓋磊晶層220-3。覆蓋磊晶層220-3可包含鍺原子百分比和硼摻質濃度與第一磊晶層220-1相似的矽鍺磊晶層。一些實施例中,覆蓋磊晶層220-3的鍺原子百分比在約0%至約40%的範圍內,且硼摻質濃度在約5x1019原子/cm3至約1x1021原子/cm3的範圍內。一些實施例中,覆蓋磊晶層220-3的厚度在大於0nm至約15nm的範圍內。覆蓋磊晶層220-3的製程可相似或相同於第一磊晶層220-1和第二磊晶層220-2的製程,細節在此便不重複。
根據一些實施例,如第4A和4B圖所示,在每一個源/汲極結構220A的第二磊晶層220-2上成長覆蓋磊晶層220-3之後,即在相應的鰭片結構204內形成與相應的虛設閘極結構215相鄰的源/汲極結構220。每一個源/汲極結構220可具有「延伸」的鑽石形狀。明確而言,源/汲極結構220係沿著大抵上垂直於鰭片結構204的頂面205的方向上延伸。此外,每一個源/汲極結構220包含第一磊晶層220-1、第二磊晶層220-2和覆蓋磊晶層220-3。在同一個源/汲極結構220中,覆蓋磊晶層220-3的頂面223可作為此源/汲極結構220的頂面。再者,第一磊晶層220-1的底面225可作為源/汲極結構220的底面。因此,覆蓋磊晶層220-3的頂面223和第一磊晶層220-1的底面225可共同作為 每一個源/汲極結構220的邊界。一些實施例中,第一磊晶層220-1位於邊界的下部(即第一磊晶層220-1的底面225),且接觸相應的鰭片結構204。覆蓋磊晶層220-3可位於邊界的上部(即覆蓋磊晶層220-3的頂面223)。此外,第二磊晶層220-2可位於第一磊晶層220-1與覆蓋磊晶層220-3之間。
舉例而言,源/汲極結構220可為P型矽鍺源/汲極結構。由於使用例如為硼的電洞施體(hole-donor)摻質的緣故,P型矽鍺源/汲極結構可具有高濃度的電洞(多數載子)。一些實施例中,每一個源/汲極結構的鍺百分比自源/汲極結構220的中心(例如第二磊晶層220-2所在的位置)往源/汲極結構220的邊界(例如第一磊晶層220-1和覆蓋磊晶層220-3所在的位置)降低。一些實施例中,每一個源/汲極結構220的硼摻質濃度自源/汲極結構220的中心往源/汲極結構220的邊界降低。
一些實施例中,如第4B圖所示,每一個源/汲極結構220沿著(110)面具有寬度W3,且沿著(100)面具有高度H3。舉例而言,每一個源/汲極結構220的寬度W3可大抵上相同於每一個源/汲極結構220的寬度W2(第3B圖)。舉例而言,每一個源/汲極結構220的高度H3可高於源/汲極結構220的高度H2(第3B圖),且差值在約0nm至約10nm的範圍內。在形成覆蓋磊晶層220-3之後,每一個源/汲極結構220的一對側表面320之間的角度384在約55度至約180度的範圍內。此外,每一個源/汲極結構220的一對側表面320係位於相應的鰭片結構204之同一側。一些實施例中,每一個源/汲極結構220的高度H3大於鰭片結構204的間距P1。
一些實施例中,如第4B圖之沿著大抵上垂直於相鄰之鰭片結構204的軸向(通道方向)的方向上所示,設置於相鄰之鰭片結構204上的源/汲極結構220彼此隔開。由於選擇性蝕刻製程360的緣故,使得所產生的相鄰的鰭片結構204的源/汲極結構220彼此間可較佳的絕緣,且可避免電性短路。
一些實施例中,每一個鰭片結構204的頂面205位於每一個源/汲極結構220的頂面223與底面225之間。舉例而言,每一個源/汲極結構220的頂面223位於每一個鰭片結構204的頂面205上,且兩者相差距離D(抬升高度),距離D在約0nm至約15nm的範圍內,例如為5nm至10nm。由於源/汲極結構220具有抬升高度(距離D),可降低源/汲極結構220的阻值。因此,每一個源/汲極結構220可作為一抬升的源/汲極結構。
根據一些實施例,如第5圖所示,在形成源/汲極結構220之後,藉由薄膜沉積製程在源/汲極結構220和閘極間隙物218上共形地(conformally)沉積接觸蝕刻停止層(contact etch stop layer,CSEL)221。一些實施例中,接觸蝕刻停止層221的底面接觸源/汲極結構220的頂面223。接觸蝕刻停止層221可作為後續用以形成源/汲極接觸孔(未繪示)的蝕刻製程的蝕刻停止層。一些實施例中,接觸蝕刻停止層221可為單層或多層。接觸蝕刻停止層221可由碳化矽(SiC)、氮化矽(SixNy)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、四乙氧基矽烷(tetraethoxysilane,TEOS)或其他合適的材料製成。一些實施例中,接觸蝕刻停止層221具有雙層結構,且包含形成在碳化矽層上的四乙氧基矽烷層。四乙氧基矽烷層相較於碳化矽 層具有較佳的抗濕性(moisture prevention)。此外,碳化矽層係作為黏著層以改善四乙氧基矽烷層與其下方的材料層之間的黏著性。一些實施例中,藉由實施電漿增強化學氣相沉積(plasma enhanced CVD)製程、低壓化學氣相沉積(low pressure CVD)製程、原子層沉積(atomic layer deposition,ALD)製程或其他合適的製程以形成接觸蝕刻停止層221。
根據一些實施例,如第5圖所示,在形成接觸蝕刻停止層221之後,在鰭片結構204、虛設閘極結構215(第4A圖)、閘極間隙物218和源/汲極結構220上形成介電層222(例如第一層間介電(inter-layer dielectric,ILD)層)。一些實施例中,虛設閘極結構215(第4A圖)由介電層222所環繞。
一些實施例中,實施沉積製程以在接觸蝕刻停止層221、源/汲極結構220和虛設閘極結構215(第4A圖)上形成介電層222。隨後,實施平坦化製程使得接觸蝕刻停止層221、介電層222、閘極間隙物218和虛設閘極結構215(第4A圖)的頂面在同一水平位置。
一些實施例中,介電層222由介電材料製成,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、無摻雜矽玻璃(undoped silicate glass,USG)、碳摻雜矽玻璃(canbon-doped silicate glass)、氮化矽或氮氧化矽。一些實施例中,介電層222由極低介電常數(extreme low-k,ELK)之介電材料(介電常數小於約2.5)製成。當幾何尺寸隨著技術結點進化至30nm以下,極 低介電常數(ELK)之介電材料用以減緩裝置的電阻電容(resistance capacitance,RC,即時間常數)延遲。一些實施例中,極低介電常數(ELK)之介電材料包含摻雜碳的氧化矽、非晶氟化碳(amorphous fluorinated carbon)、聚對二甲苯(parylene)、雙苯並環丁烯(bis-benzocyclobutenes,BCB)、聚四氟乙烯(polytetrafluoroethylene,PTFE)(特氟龍)或碳氧化矽聚合物(silicon oxycarbide polymers,SiOC)。一些實施例中,極低介電常數(ELK)之介電材料包含多孔形式的既有的介電材料,例如氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、多孔甲基倍半矽氧烷(porous methyl silsesquioxane,MSQ)、多孔聚芳醚(porous polyarylether,PAE)、多孔SiLK(porous SiLK)或多孔氧化矽(SiO2)。一些實施例中,藉由電漿增強化學氣相沉積(PECVD)製程或旋轉塗佈製程沉積極低介電常數(ELK)之介電材料。
一些實施例中,介電層222的沉積製程包含電漿增強化學氣相沉積(PECVD)製程、低壓化學氣相沉積(LPCVD)製程、原子層沉積(ALD)製程、流動式化學氣相沉機(flowable CVD,FCVD)製程、旋轉塗佈製程或其他合適的製程。一些實施例中,介電層222的平坦化製程包含化學機械研磨(chemical mechanical polishing,CMP)製程、研磨製程、蝕刻製程、其他合適的製程或前述之組合。
根據一些實施例,如第5圖所示,在形成介電層222之後,藉由移除製程、沉積製程及後續的平坦化製程形成閘極結構256,例如金屬閘極結構,以取代虛設閘極結構215(第4A 和4B圖)。一些實施例中,每一個閘極結構256係由相應的閘極間隙物218所環繞,且每一個閘極結構256皆包含閘極介電層252和閘極介電層252上的閘極電極層254。源/汲極結構220可設置為相鄰於閘極結構256。一些實施例中,閘極間隙物218係設置於閘極結構256的相對側表面255上。
一些實施例中,每一個閘極介電層252包含單層或多層。一些實施例中,閘極介電層252由氧化矽、氮化矽、包含金屬氧化物或鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的矽化物的高介電常數(介電常數大於7)之介電材料或前述之組合製成。閘極介電層252的形成方法可包含分子束沉積(molecular beam deposition,MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)或相似的方法。
一些實施例中,閘極電極層254由含金屬的材料製成,例如氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭(TaC)、鈷(Co)、釕(Ru)、鋁(Al)、前述之組合或前述之多層,且由沉積製程形成,例如電鍍、無電電鍍(electroless plating)或其他合適的方法。
一些實施例中,可在每一個閘極結構256內形成功函數層(未繪示)。功函數層可包含N型功函數層或P型功函數層。P型功函數金屬層可包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、其他合適的P型功函數材料或前述之組合。N型功函數金屬層可包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的N型功函數材料或前述之組合。一些實施例中,如第1A圖所示,每一個閘 極結構256中的功函數層可包含P型功函數層。
隨後,根據一些實施例,如第5圖所示,在介電層222和閘極結構256上形成介電層226(例如第二層間介電(ILD)層)。舉例而言,介電層226可為藉由流動式化學氣相沉積方法形成的可流動的膜。一些實施例中,介電層226由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)、無摻雜矽玻璃(USG)或其相似的方法,且可藉由任何合適的方法進行沉積,例如化學氣相沉積(CVD)或電漿增強化學氣相沉積(PECVD)。
根據一些實施例,如第6圖所示,在形成介電層226之後,藉由圖案化製程在介電層222和226內形成開口232。可實施圖案化製程以移除介電層222和226的一部分、接觸蝕刻停止層221的一部分和源/汲極結構220的一部分,以形成開口232並停止於源/汲極結構220上。因此,形成穿過介電層222和226以及接觸蝕刻停止層221以暴露出源/汲極結構220的開口232A和232B。一些實施例中,源/汲極結構220在開口232中的上表面235係位於鰭片結構204的頂面205上。舉例而言,源/汲極結構220的上表面235可設置於源/汲極結構220的覆蓋磊晶層220-3或第二磊晶層220-2內。
一些實施例中,開口232的圖案化製程包含微影製程以及接續的蝕刻製程。微影製程可在介電層226的頂面228上形成光阻圖案(未繪示)。微影製程可包含光阻塗佈(例如旋轉塗佈)、軟烤、遮罩對準、曝光、曝光後烘烤、對光阻顯影、清洗和烘乾(例如硬烤)。一些實施例中,蝕刻製程為乾蝕刻製程。 此外,蝕刻製程中使用的蝕刻氣體可包含含氟(F)氣體。在形成開口232之後,可移除光阻圖案。
隨後,根據一些實施例,如第6圖所示,在開口232的側表面233沉積內襯的阻擋層234。在介電層222和226以及源/汲極結構220上共形地形成阻擋層234。一些實施例中,阻擋層234和閘極間隙物218係由相同的材料製成。阻擋層234和接觸蝕刻停止層221可由相同的材料製成。舉例而言,阻擋層234可由低介電常數(low-k)之介電材料(例如介電常數小於5)製成,例如氧化矽、氮化矽、氮氧化矽、碳化矽(SiC)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、四乙氧基矽烷(TEOS)、其他合適的材料或前述之組合。一些實施例中,閘極間隙物218由沉積製程及接續的蝕刻製程形成。沉積製程可包含化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、其他合適的製程或前述之組合。
隨後,根據一些實施例,如第7圖所示,移除開口232內一部分的阻擋層234(第6圖)以形成阻擋層236。阻擋層236可在產生的鰭式場效電晶體的源/汲極結構220與閘極結構256之間提供額外的絕緣。一些實施例中,形成內襯於開口232之側表面233的阻擋層236,並藉由蝕刻製程362暴露出源/汲極結構220。此外,實施蝕刻製程362以移除在介電層226的頂面228上的一部分的阻擋層234。再者,實施蝕刻製程362以自源/汲極結構220的上表面235(第6圖)移除開口232內一部分的源/汲極結構220。在實施蝕刻製程362之後,暴露出開口232中的源/汲極結構220。一些實施例中,開口232的底面高於第二磊晶層 220-2的頂面。
一些實施例中,開口232中源/汲極結構220的上表面237位於鰭片結構204的頂面205上。此外,源/汲極結構220的上表面237的位置(第7圖)在源/汲極結構220的上表面235(第6圖)的位置下方。舉例而言,源/汲極結構220的上表面237可設置在源/汲極結構220的覆蓋磊晶層220-3或第二磊晶層220-2內。
一些實施例中,每一個阻擋層236的底面238位於鰭片結構204的頂面205與每一個源/汲極結構220的頂面223(第5圖)之間。此外,每一個阻擋層236的底面238可設置於每一個閘極結構256的頂面364與每一個閘極結構256的底面366之間(每一個閘極結構256的底面366皆水平於每一個鰭片結構204的頂面205)。每一個阻擋層236的底面238接觸相應的源/汲極結構220。
隨後,根據一些實施例,如第8圖所示,藉由矽化製程在開口232內的源/汲極結構220上形成源/汲極矽化物層240。舉例而言,可在一部分的覆蓋磊晶層220-3內形成源/汲極矽化物層240。舉例而言,可在源/汲極結構220的一部分的第二磊晶層220-2內形成源/汲極矽化物層240。一些實施例中,矽化製程包含實施一系列的金屬材料沉積製程和退火製程。一些實施例中,矽化製程的沉積製程包含物理氣相沉積(PVD)製程、原子層沉積(ALD)製程或其他合適的製程。一些實施例中,矽化製程的退火製程在約300℃至約800℃的溫度範圍內實施。在退火製程之後,移除未反應的金屬材料。
一些實施例中,源/汲極矽化物層240由一或多個鈷矽化物(例如CoSi、CoSi2、Co2Si、Co3Si,合稱為「鈷矽化物」)、鈦矽化物(例如Ti5Si3、TiSi、TiSi2、TiSi3、Ti6Si4,合稱為「鈦矽化物」)、鎳矽化物(例如Ni3Si、Ni31Si12、Ni2Si、Ni3Si2、NiSi、NiSi2,合稱為「鎳矽化物」)、銅矽化物(例如Cu17Si3、Cu56Si11、Cu5Si、Cu33Si7、Cu4Si、Cu19Si6、Cu3Si、Cu87Si13,合稱為「銅矽化物」)、鎢矽化物(例如W5Si3、WSi2,合稱為「鎢矽化物」),以及鉬矽化物(例如Mo3Si、Mo5Si3、MoSi2,合稱為「鉬矽化物」)。
隨後,根據一些實施例,如第9圖所示,形成覆蓋開口232(第8圖)的側表面233的黏著層242。形成黏著層242覆蓋開口232(第8圖)內的源/汲極結構220。此外,形成填入開口232(第8圖)內的接觸結構244。接觸結構244穿過介電層222和226。
根據一些實施例,如第9圖所示,可在源/汲極矽化物層240上共形地形成內襯於每一個開口232的底部和側表面233的黏著層242。阻擋層236可設置為圍繞相應的黏著層242的側表面241。此外,黏著層242的底面243分別由阻擋層236暴露出來。一些實施例中,阻擋層236的底面高於黏著層242的底面243。
一些實施例中,在源/汲極結構220上形成接觸結構244。一些實施例中,接觸結構244穿過介電層222和226。接觸結構244的頂面247可水平於介電層226的頂面228。舉例而言,接觸結構244可由介電層222和226所環繞。此外,接觸結構244 可由黏著層242環繞。一些實施例中,接觸結構244的底面249和側表面251由相應的黏著層242所覆蓋。再者,接觸結構244可經由黏著層242和源/汲極矽化物層240與源/汲極結構220電性連接。根據一些實施例,如第9圖所示,接觸結構244可作為源/汲極接觸結構。
一些實施例中,藉由沉積製程和接續的平坦化製程(例如化學機械研磨(CMP))以形成黏著層242和接觸結構244。黏著層242可包含導電材料,例如Ti、TiN、Ta、TaN或其相似物,且可藉由化學氣相沉積(CVD)製程形成,例如電漿增強化學氣相沉積(PECVD)。然而,也可使用其他替代的製程,例如濺鍍或金屬有機化學氣相沉積(MOCVD)、物理氣相沉積(PVD)、原子層沉積(ALD)。接觸結構244可由導電材料製成,例如銅(Cu)、鋁(Al)、鎢(W)、鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或其他合適的材料,且可藉由任何合適的沉積方法以形成,例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍(如電鍍)。應注意的是,黏著層242係用以提高接觸結構244與下方材料層之間的黏性。
根據一些實施例,如第9圖所示,在介電層222(或226)與黏著層242之間設置阻擋層236。一部分的阻擋層236、一部分的黏著層242和一部分的接觸結構244可嵌入源/汲極結構220內。一些實施例中,阻擋層236的底面238位於鰭片結構204的頂面205與相應的源/汲極結構220的頂面223之間。此外,阻擋層236的底面238可設置於源/汲極結構220的頂面233與黏著層242的底面243之間。
在實施前述的製程之後,在相應的鰭片結構204上形成鰭式場效電晶體500。再者,根據一些實施例,如第9圖所示,形成包含鰭式場效電晶體500A(例如P型鰭式場效電晶體)的半導體結構600。
應注意的是,如第9圖所示,源/汲極結構220在鰭片結構204的頂面205與源/汲極結構220的頂面223之間具有抬升高度(距離D),閘極介電層252在沿著大抵上垂直於基底200的頂面的方向上具有厚度T,且抬升高度D與閘極介電層252的厚度T之間的比值在約1至約20的範圍內。當抬升高度D與厚度T之間的比值太大時(例如大於20),源/汲極結構220可能太厚,使得製程成本提高。當抬升高度D與厚度T之間的比值太小時(例如小於1),源/汲極結構220至鰭式場效電晶體的通道區的電流路徑將受到阻擋層236的阻擋,導致電流擁擠問題的產生。
此外,接觸蝕刻停止層221的底面接觸源/汲極結構220的頂面223(即源/汲極結構220的最頂面),且接觸蝕刻停止層221的底面高於閘極介電層252與閘極電極層254之間的界面253。
一些實施例中,半導體結構600包含源/汲極結構220和阻擋層236。此外,源/汲極結構220的側表面320可藉由選擇性蝕刻製程360進行「修整(trim)」,以降低源/汲極結構220的寬度(沿著(110)面的方向)。「修整」的源/汲極結構220可在不限制鰭式場效電晶體之效能的情況下,降低或防止電性短路的發生。一些實施例中,為了補償源/汲極結構220縮小的寬度,源/汲極結構220可具有增加的抬升高度以提高每一個源 /汲極結構220的整體體積,可更加地減少源/汲極結構220的阻值。
一些實施例中,可形成內襯於接觸孔之側表面233的半導體結構600的阻擋層236以改善鰭式場效電晶體500的截止狀態電流(off-state current,Ioff,即漏電流)。阻擋層236的底面238高於鰭片結構204的頂面205。由於源/汲極結構220具有足夠的抬升高度(例如距離D)以在接觸孔的蝕刻製程中防止鰭片結構204的流失,源/汲極結構220至鰭式場效電晶體的通道區的電流路徑可不受阻擋層236的阻擋。因此,可避免電流擁擠的問題。
如前所述,半導體結構600包含源/汲極結構220和阻擋層236。源/汲極結構220位於鰭片結構204內且相鄰於閘極結構256。源/汲極結構220包含接觸鰭片結構204的頂面205的第一磊晶層220-1,以及在第一磊晶層220-1上的第二磊晶層220-2。阻擋層236係設置為圍繞黏著層242的側表面241。源/汲極結構220具有足夠的抬升高度(例如距離D)以在阻擋層236和接續的接觸結構244的蝕刻製程中防止鰭片結構204的流失。因此,阻擋層236的底面238位於鰭片結構204的頂面205與源/汲極結構220的頂面233之間。源/汲極結構220至鰭式場效電晶體的通道區的電流路徑可不受阻擋層236的阻擋。因此,可避免電流擁擠的問題。
本發明的一些實施例中提供半導體結構及其形成方法。半導體結構包含閘極結構、第一源/汲極結構、接觸結構、黏著層和阻擋層。第一源/汲極結構包含接觸第一鰭片結 構的頂面的第一磊晶層,以及在第一磊晶層上的第二磊晶層。黏著層係設置為覆蓋接觸結構的底面和側表面。阻擋層係設置為圍繞黏著層的側表面。阻擋層的底面位於第一鰭片結構的頂面與第一源/汲極結構的頂面之間,可更加地降低源/汲極結構的阻值。阻擋層可改善鰭式場效電晶體的截止狀態電流(Ioff,即漏電流)。源/汲極結構至鰭式場效電晶體的通道區的電流路徑可不受阻擋層的阻擋。因此,可避免電流擁擠的問題。
本發明的一些實施例中提供半導體結構。半導體結構包含閘極結構、第一源/汲極結構和接觸結構。閘極結構包含閘極介電層設置於第一鰭片結構上。閘極介電層在沿著大抵上垂直於第一鰭片結構的頂面的方向上具有厚度。第一源/汲極結構設置於第一鰭片結構內且相鄰於閘極結構。第一源/汲極結構包含第一磊晶層接觸第一鰭片結構的頂面,以及第二磊晶層設置於第一磊晶層上且延伸至閘極介電層的底面上。第一鰭片結構的頂面在第一源/汲極結構的頂面與底面之間。第一源/汲極結構在第一鰭片結構的頂面與第一源/汲極結構的頂面之間具有抬升高度,且抬升高度與閘極介電層的厚度的比值在約1至約20的範圍內。
在一實施例中,半導體結構更包含黏著層覆蓋接觸結構的底面和側表面,以及阻擋層圍繞黏著層的側表面,其中阻擋層的底面在第一鰭片結構的頂面與第一源/汲極結構的頂面之間。
在一實施例中,半導體結構更包含閘極間隙物設置在閘極結構的側壁上,接觸蝕刻停止層設置於第一源/汲極 結構和閘極間隙物上,以及介電層在接觸蝕刻停止層與阻擋層之間且環繞接觸結構,其中阻擋層和閘極間隙物由相同的材料製成。
在一實施例中,其中阻擋層的底面在第一源/汲極結構的頂面與黏著層的底面之間。
在一實施例中,半導體結構更包含第二鰭片結構相鄰於第一鰭片結構,以及第二源/汲極結構在第二鰭片結構內,其中第一源/汲極結構和第二源/汲極結構係沿著大抵上垂直於第一鰭片結構和第二鰭片結構的軸向的方向上設置,且其中第一源/汲極結構與相鄰於第一鰭片結構的第二鰭片結構內的第二源/汲極結構隔開。
在一實施例中,其中第一源/汲極結構的一對側表面形成的角度在約55度至約180度的範圍內,且其中第一源/汲極結構的一對側表面係位於第一鰭片結構的同一側。
在一實施例中,其中第一磊晶層包含矽鍺磊晶層,前述之矽鍺磊晶層的鍺原子百分比在約0%至約40%的範圍內,硼的摻質濃度在約5x1019原子/cm3至約1x1021原子/cm3的範圍內,且厚度的範圍在大於0nm至約60nm的範圍內。
在一實施例中,其中第二磊晶層包含矽鍺磊晶層,前述之矽鍺磊晶層的鍺原子百分比在約20%至約80%的範圍內,硼的摻質濃度在約1x1020原子/cm3至約3x1021原子/cm3的範圍內,且厚度的範圍在大於0nm至約60nm的範圍內。
在一實施例中,其中第一源/汲極結構更包含設置於第二磊晶層上的覆蓋磊晶層,其中覆蓋磊晶層包含矽鍺磊晶 層,前述之矽鍺磊晶層的鍺原子百分比在約0%至約40%的範圍內,硼的摻質濃度在約5x1019原子/cm3至約1x1021原子/cm3的範圍內,且厚度的範圍在大於0nm至約15nm的範圍內。
本發明的一些實施例中提供半導體結構的形成方法。方法包含在第一鰭片結構上形成閘極結構,以及在第一鰭片結構內形成相鄰於閘極結構的源/汲極結構。形成源/汲極結構包含在第一鰭片結構上形成第一磊晶層,以及在第一磊晶層上形成第二磊晶層。方法也包含在閘極結構和源/汲極結構上形成介電層,以及移除一部分的介電層和一部分的源/汲極結構以形成開口。此外,方法包含在開口內形成矽化物層。矽化物層的底面在第二磊晶層的頂面與第一鰭片結構的頂面之間。方法也包含在第二磊晶層和矽化物層上形成阻擋層,以及形成接觸結構填入開口,其中接觸結構的側壁表面由阻擋層所環繞。
在一實施例中,更包含在第二磊晶層上成長覆蓋磊晶層,其中每一個源/汲極結構的硼摻質濃度自每一個源/汲極結構的中心往源/汲極結構的邊界降低。
在一實施例中,更包含形成相鄰於第一鰭片結構的第二鰭片結構,其中第一鰭片結構和第二鰭片結構設置為之間具有間距,且其中源/汲極結構的頂面與底面之間的高度大於前述之間距。
在一實施例中,其中鰭片結構之間的間距在約10nm至約60nm的範圍內。
在一實施例中,更包含在第一鰭片結構上以及閘 極結構與介電層之間形成閘極間隙物,以及在源/汲極結構和閘極間隙物上形成接觸蝕刻停止層,其中接觸蝕刻停止層的底面接觸源/汲極結構的最頂面。
在一實施例中,其中在形成矽化物層之前,開口的底面高於第二磊晶層的頂面。
在一實施例中,更包含在阻擋層與接觸結構之間形成黏著層,其中阻擋層的底面高於黏著層的底面。
本發明的一些實施例中提供半導體結構的形成方法。方法包含在鰭片結構上形成閘極結構。方法包含在鰭片結構內形成相鄰於閘極結構的源/汲極結構,其中源/汲極結構在沿著(110)面的方向上具有寬度。方法更包含選擇性地蝕刻源/汲極結構以縮小源/汲極結構的寬度。方法更包含在閘極結構和源/汲極結構上形成介電層。方法更包含移除一部分的介電層和一部分的源/汲極結構以形成開口。源/汲極結構在開口內的第一表面在鰭片結構的頂面上。方法更包含沉積阻擋層內襯於開口的側表面且在源/汲極結構的第一表面上,移除開口內的一部份的阻擋層,以及在介電層的開口內填入接觸結構。接觸結構的側壁表面由阻擋層所環繞。
在一實施例中,形成源/汲極結構包含在第一鰭片結構上成長第一磊晶層,以及在第一磊晶層上成長第二磊晶層。
在一實施例中,更包含在形成介電層之前,在源/汲極結構上成長覆蓋磊晶層。
在一實施例中,其中選擇性蝕刻製程的蝕刻氣體 包含氫氯酸(HCl)、鍺烷(GeH4)、氯氣(Cl2),且其中氫氯酸的流速在約40至約1000sccm的範圍內,鍺烷的流速在約0至約1000sccm的範圍內,且氯氣的流速在約0至約100sccm的範圍內。
以上概述數個實施例或範例之特徵,以便在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例或範例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並無悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例之精神和範圍之下,做各式各樣的改變、取代和替換。

Claims (1)

  1. 一種半導體結構,包括:一閘極結構,包括一閘極介電層設置於一第一鰭片結構上,其中該閘極介電層在沿著大抵上垂直於該第一鰭片結構的一頂面的方向上具有一厚度;以及一第一源/汲極結構,設置於該第一鰭片結構內且相鄰於該閘極結構,其中該第一源/汲極結構包括:一第一磊晶層,接觸該第一鰭片結構的該頂面;一第二磊晶層,設置於該第一磊晶層上且延伸至該閘極介電層的一底面上;以及一接觸結構,延伸至該第一源/汲極結構內,其中該第一鰭片結構的該頂面在該第一源/汲極結構的一頂面與一底面之間;其中該第一源/汲極結構在該第一鰭片結構的該頂面與該第一源/汲極結構的該頂面之間具有一抬升高度,且該抬升高度與該閘極介電層的該厚度的比值在約1至約20的範圍內。
TW107133359A 2017-09-27 2018-09-21 半導體結構 TW201916254A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762563875P 2017-09-27 2017-09-27
US62/563,875 2017-09-27
US16/101,897 US10868181B2 (en) 2017-09-27 2018-08-13 Semiconductor structure with blocking layer and method for forming the same
US16/101,897 2018-08-13

Publications (1)

Publication Number Publication Date
TW201916254A true TW201916254A (zh) 2019-04-16

Family

ID=65806960

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133359A TW201916254A (zh) 2017-09-27 2018-09-21 半導體結構

Country Status (3)

Country Link
US (3) US10868181B2 (zh)
CN (1) CN109560124A (zh)
TW (1) TW201916254A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750041B (zh) * 2020-02-27 2021-12-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11784187B2 (en) 2020-02-27 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152461B2 (en) * 2018-05-18 2021-10-19 Intel Corporation Semiconductor layer between source/drain regions and gate spacers
US11742400B2 (en) * 2018-08-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with deep contact structure
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
JP2020043163A (ja) * 2018-09-07 2020-03-19 キオクシア株式会社 半導体装置
US11257928B2 (en) * 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11107923B2 (en) * 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11004725B2 (en) * 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
KR20210017167A (ko) * 2019-08-07 2021-02-17 삼성전자주식회사 반도체 소자
US11133416B2 (en) * 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
US11289541B2 (en) * 2019-11-14 2022-03-29 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
KR20210061486A (ko) 2019-11-19 2021-05-28 삼성전자주식회사 에피택시얼 영역을 포함하는 반도체 소자
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
DE102020112203A1 (de) 2020-03-13 2021-09-16 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum einbetten planarer fets mit finfets
DE102020121223A1 (de) * 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren
US11342413B2 (en) * 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11380794B2 (en) 2020-05-08 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having contact plugs with re-entrant profile
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11450572B2 (en) * 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102021102939A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelemente und herstellungsverfahren
US11532731B2 (en) * 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11888064B2 (en) * 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11824099B2 (en) * 2020-06-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drains in semiconductor devices and methods of forming thereof
CN114256347A (zh) * 2020-09-21 2022-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN114497034A (zh) * 2020-10-26 2022-05-13 联华电子股份有限公司 半导体元件
US11688807B2 (en) * 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
KR20220151085A (ko) * 2021-05-04 2022-11-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11705371B2 (en) * 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US11869806B2 (en) 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
US20220392894A1 (en) * 2021-06-04 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial Source/Drain Structures for Multigate Devices and Methods of Fabricating Thereof
US11908914B2 (en) 2021-07-15 2024-02-20 Applied Materials, Inc. Methods of forming semiconductor structures
CN114121664A (zh) * 2021-11-04 2022-03-01 上海华力集成电路制造有限公司 一种FinFET及其形成方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8778767B2 (en) * 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8975672B2 (en) * 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9012964B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
US9564332B2 (en) * 2013-09-26 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102458923B1 (ko) * 2016-02-01 2022-10-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102551745B1 (ko) * 2016-11-09 2023-07-06 삼성전자주식회사 반도체 장치
US10515951B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102276650B1 (ko) * 2017-04-03 2021-07-15 삼성전자주식회사 반도체 소자의 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11901229B2 (en) 2019-07-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
TWI750041B (zh) * 2020-02-27 2021-12-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11784187B2 (en) 2020-02-27 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices

Also Published As

Publication number Publication date
US20230113464A1 (en) 2023-04-13
US11532749B2 (en) 2022-12-20
US20210119037A1 (en) 2021-04-22
CN109560124A (zh) 2019-04-02
US10868181B2 (en) 2020-12-15
US20190097006A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
TW201916254A (zh) 半導體結構
US11990550B2 (en) Semiconductor structure with source/drain multi-layer structure and method for forming the same
CN111490012B (zh) 半导体装置结构及其形成方法
US11296198B2 (en) Semiconductor structure with barrier layer and method for forming the same
KR101780869B1 (ko) 수직 게이트 올 어라운드 소자 내의 실리사이드 영역 및 그 형성 방법
TWI740522B (zh) 半導體裝置及其形成方法
US9401415B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
TW202021002A (zh) 半導體結構及其形成方法
US10157997B2 (en) FinFETs and methods of forming the same
TW201905961A (zh) 半導體結構的製造方法
TWI806103B (zh) 形成半導體裝置的方法
US11289574B2 (en) Methods of forming epitaxial source/drain features in semiconductor devices
TW202310007A (zh) 具有氣隙的半導體結構及用於製造該結構的方法
TW202141691A (zh) 互連結構及其製造方法
US11469170B2 (en) Multilevel interconnection structure and method for forming the same
TWI825819B (zh) 半導體裝置及其製造方法
US11569234B2 (en) Semiconductor device structure and methods of forming the same
US20240038858A1 (en) Semiconductor device structure and methods of forming the same
US20240063093A1 (en) Semiconductor device and method of manufacturing the same
US20240243015A1 (en) Semiconductor device structure and methods of forming the same
US20220399461A1 (en) Semiconductor device structure and method for forming the same
US10516035B2 (en) Semiconductor device structure with a low-k spacer layer and method for manufacturing the same
TW202240669A (zh) 半導體裝置的製造方法