TW201913739A - 整合式磊晶與預清洗系統 - Google Patents

整合式磊晶與預清洗系統 Download PDF

Info

Publication number
TW201913739A
TW201913739A TW107128243A TW107128243A TW201913739A TW 201913739 A TW201913739 A TW 201913739A TW 107128243 A TW107128243 A TW 107128243A TW 107128243 A TW107128243 A TW 107128243A TW 201913739 A TW201913739 A TW 201913739A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing
gas
plasma
Prior art date
Application number
TW107128243A
Other languages
English (en)
Other versions
TWI785094B (zh
Inventor
拉拉 華瑞恰克
紹芳 諸
圖沙爾 曼德瑞卡
艾羅C 聖契茲
建邦 勞
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201913739A publication Critical patent/TW201913739A/zh
Application granted granted Critical
Publication of TWI785094B publication Critical patent/TWI785094B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Led Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本申請案的實施方式大體上涉及耦接到至少一個氣相磊晶腔室的轉移腔室及耦接至轉移腔室的電漿氧化物移除腔室,電漿氧化物移除腔室包括具有混合腔室及氣體分配器的蓋組件;穿過蓋組件的一部分形成並與混合腔室流體連通的第一氣體入口;穿過蓋組件的一部分形成並與混合腔室流體連通的第二氣體入口;穿過蓋組件的一部分形成並與混合腔室流體連通的第三氣體入口;及具有基板支撐表面的基板支撐件;升舉構件,該升舉構件設置在基板支撐表面的凹槽中且經由基板支撐件耦接至升舉致動器;及耦接至傳送腔室的負載鎖定腔室。

Description

整合式磊晶與預清洗系統
本申請案的實施方式大體上與用於清洗基板表面的設備及方法相關。
積體電路形成在矽及其他半導體基板中及上。在單晶矽的情況下,藉由從熔融矽浴中生長晶棒、接著將經固化的晶棒鋸成多個基板來製造基板。接著可在單晶矽基板上形成磊晶矽層,以形成可摻雜或未摻雜的無缺陷矽層。如電晶體的半導體裝置可由磊晶矽層製造。所形成的磊晶矽層的電特性通常優於單晶矽基板的電特性。
當暴露於典型的基板製造設施周圍條件時,單晶矽及磊晶矽層的表面易受污染。例如,由於處理基板及/或暴露於基板處理設施中的周圍環境,故在沉積磊晶層之前可在單晶矽表面上形成自然氧化物層。另外,存在於周圍環境中的外來污染物(如碳及氧物質)可沉積在單晶表面上。在單晶矽表面上存在自然氧化物層或污染物會對隨後在單晶表面上所形成之磊晶層的質量產生負面影響。因此,希望預先清洗基板,以便在磊晶層在基板上生長之前移除表面氧化及其他污染物。然而,預清洗處理通常係在一或多個獨立的真空處理腔室中進行,這可能增加基板處理時間及將基板暴露於周圍環境的機會。
因此,本領域需要提供一種改進之用於在執行磊晶沉積處理之前清洗基板表面的基板處理系統,該基板處理系統最小化了基板處理時間及對周圍環境的暴露。
本申請案描述了一種處理系統,該處理系統包括耦接到至少一個膜形成腔室的傳送腔室;耦接至該傳送腔室的電漿氧化物移除腔室,該電漿氧化物移除腔室包括遠端電漿源及基板支撐件,該基板支撐件包括冷卻通道及加熱器;及連接到該傳送腔室的負載鎖定腔室。
本文亦描述了一種處理基板的方法,該方法包括以下步驟:藉由包括將基板暴露於包含NH3 、HF及自由基的處理氣體的處理來自基板移除氧化物;藉由氣相磊晶處理在基板上形成薄膜。
本文亦描述了一種處理設備,該處理設備包括耦接到至少一個氣相磊晶腔室的第一傳送腔室;耦接到傳送腔室的電漿氧化物移除腔室,該電漿氧化物移除腔室包括具有混合腔室及氣體分配器的蓋組件;第一氣體入口,該第一氣體入口穿過蓋組件的一部分形成並與混合腔室流體連通;第二氣體入口,該第二氣體入口穿過蓋組件的一部分形成並與混合腔室流體連通;第三氣體入口,該第三氣體入口穿過蓋組件的一部分形成並與混合腔室流體連通;及具有基板支撐表面的基板支撐件;冷卻通道及一或多個嵌入基板支撐件中的電阻式加熱器;升舉構件,該升舉構件設置在基板支撐表面的凹槽中且經由基板支撐件耦接到升舉致動器;及耦接到傳送腔室的負載鎖定腔室。
圖1示出了根據本申請案的一個實施方式的處理序列100。在方塊102中,使用清洗處理自半導體基板的表面移除氧化物。基板可包括含矽材料,及表面可包括如矽(Si)、鍺(Ge)或矽鍺合金(SiGe)的材料。在一些實施方案中,Si、Ge或SiGe表面可具有氧化物層,如天然氧化物層及設置在其上的污染物。由於磊晶沉積處理對氧化物及污染物(如含碳污染物)的敏感性,故暴露於大多數典型潔淨室環境幾小時所產生的表面污染物可能變得足夠大而使累積的氧化物及污染物影響隨後形成的磊晶層的質量。
可藉由執行氧化物移除處理及污染物移除處理來清洗基板表面。在一種實施方式中,使用清洗處理從基板表面移除氧化物(方塊102),及(例如)使用還原處理從基板表面移除污染物(如含碳污染物)。清洗處理可包括電漿處理。電漿處理可使用由包括氫氣(H2 )、氦氣(He)、氬氣(Ar)、氨氣(NH3 )及含氟氣體(如NF3 、HF或該等氣體的任何組合)的氣體形成的電漿。電漿可為電感耦接或電容耦接的,或電漿可由處理腔室中的微波源形成。處理腔室可為遠端電漿腔室,該遠端電漿腔室在空間上與設置基板的處理區域分離。本文所描述的術語「空間上分離」可指藉由一或多個腔室元件(如圖2A中所示的阻擋板材228及氣體分配器230,或甚至是遠端電漿腔室及基板處理腔室之間的導管)而與基板處理區域分離的電漿形成區域。
在一個實施方式中,使用電容耦接電漿源來形成電漿。來自電漿的自由基可穿過設置在基板上方的氣體分配板,該氣體分配板在溫度為約5攝氏度至約100攝氏度(如約5攝氏度至約75攝氏度的溫度;例如約10攝氏度)處位於支撐件上。處理壓力可低於大氣壓力(例如約500 mTott至約20 Torr之間的壓力,如約2 Torr至約10 Torr之間的壓力)。自由基到達基板接著與表面氧化物反應。可適於執行電漿蝕刻處理的示例性處理腔室包括可從加州聖克拉拉市的應用材料公司獲得的SiCoNiTM 或SelectraTM 腔室。亦可使用其他製造商的腔室。
在一個示例性實施方式中,電漿清洗處理為遠端電漿輔助乾洗處理,該處理涉及同時將基板暴露於HF及NH3 ,可選地包括一或多種氣體的電漿副產物。亦可使用惰性氣體(如氬氣及氦氣)。如上所述,惰性/HF/NH3 中的任何一種或三種氣體的組合可暴露於能量以在其中形成電漿。電漿與其他氣體混合以充電到處理腔室,或可沿著不同的路徑將電漿及其他氣體提供給處理腔室並在到達處理腔室時混合。在一個範例中,電漿清洗處理可類似於或可包括可從加州聖克拉拉市的應用材料公司獲得的SiCoNiTM 處理。
遠端電漿處理可在很大程度上保形且對氧化物層具有選擇性;因此無論層是無定形的、結晶的還是多晶的,都不容易蝕刻矽、鍺或氮化物層。氧化物相對矽或鍺的HF/NH3 電漿清洗處理的選擇性至少約為3:1,通常為5:1或更好,有時為10:1。HF/NH3 電漿清洗處理對氧化物與氮化物的選擇性也很高。HF/NH3 電漿清洗處理相對於氮化物的選擇性至少約為3:1,通常為5:1或更好,有時為10:1。
在一些實施例中,在遠端電漿處理期間或在執行遠端電漿處理之後,可將一定量的熱能施加到處理過的基板上以幫助移除任何產生的副產物。在一些實施方式中,經由使得在基板表面上所發現之不需要的副產物昇華的輻射、對流及/或傳導傳熱過程來提供熱能。
在可選的方塊103中,可藉由從基板表面移除碳污染物來執行第二清洗處理。在方塊106中,在基板的表面上形成磊晶層。若先前如上所述地清洗,則基板表面被均勻地氧化且無污染,這改善了隨後在基板表面上形成的層的質量。示例性的磊晶處理可為在小於約800攝氏度(例如約450至650攝氏度)的溫度下執行的選擇性磊晶處理。可使用高溫化學氣相沉積(CVD)處理來形成磊晶層。磊晶層可為結晶矽、鍺或矽鍺,或任何合適的半導體材料(如III-V族化合物或II-VI族化合物)。在一個示例性熱CVD處理中,如氯矽烷SiHx Cl4-x (單、二、三、四)、矽烷Six H2X+2 (矽烷、乙矽烷及丙矽烷等)、鍺烷Gex H2x +2 (鍺烷及二鍺烷等)、氯化氫(HCl)、氯氣Cl2 或其組合之處理氣體用於形成磊晶層。處理溫度低於800攝氏度(如約300攝氏度至約600攝氏度,例如約450攝氏度),且處理壓力在5 Torr至600 Torr之間。可用於執行磊晶沉積處理的示例性處理腔室為CenturaTM Epi腔室,其可從加州聖克拉拉市的應用材料公司獲得。亦可使用其他製造商的腔室。
可在一個處理系統(如圖9中所示的處理系統)中執行方塊102、103及106,並在下文進一步描述方塊102、103及106。在執行106的層形成處理之前,亦可在處理102及103之間或之後進行任選的熱處理,以移除任何殘留的副產物或污染物,並使表面退火以移除任何表面缺陷。此種退火可在可選地包括惰性氣體(如氬氣及氦氣)的氫氣氛下執行,且可在400至800攝氏度的溫度及1托至300托的壓力下執行。
圖2A為處理腔室200的橫截面視圖,該處理腔室200經調適以執行方塊102中所發現的至少一些處理,且因此被配置成從基板的表面移除污染物(如氧化物)。圖2B為圖2A的處理腔室200的一部分的放大視圖。
處理腔室200可特別用於執行基於熱或電漿的清洗處理及/或電漿輔助的乾蝕刻處理。處理腔室200包括腔室主體212、蓋組件214及支撐組件216。蓋組件214經設置在腔室主體212的上端,且支撐組件216至少部分地設置在腔室主里212內。可使用真空系統來從處理腔室200中移除氣體。真空系統包括真空泵218,該真空泵耦接到設置在腔室主體212中的真空埠221。處理腔室200亦包括用於控制處理腔室200內的處理的控制器202。
蓋組件214包括複數個堆疊組件,該複數個堆疊組件經配置成將前驅物氣體及/或電漿提供給腔室200內的處理區域222。第一板材220耦接到第二板材240。第三板材244耦接到第二板材240。蓋組件214可連接到用於將電漿供應至形成在蓋組件214中的錐形腔室242的電源224。蓋組件214亦可連接到遠端電漿源,該遠端電漿源在蓋堆疊的上游產生電漿。遠端電漿腔(例如,圖2A至2B中的項目222、220及240)經耦接到氣體源252(或在沒有遠端電漿源224的情況下,氣源252直接耦接到蓋組件214)。氣源252可包括經配置成提供氦氣、氬氣或其他惰性氣體的氣源。在一些配置中,由氣源252提供的氣體可被激發成藉由使用遠端電漿源224提供給蓋組件214的電漿。在替代實施例中,氣源252可提供可在被引入設置在處理腔室200內的基板表面之前由遠端電漿源224激發的處理氣體。參見圖2B,錐形腔室242具有開口246,該開口246允許形成的電漿從遠端電漿源224流出至形成在蓋組件214的第四板材250中的容積248。
在蓋組件214的一些配置中,藉由施加從電漿源傳遞的能量在錐形腔室242內產生電漿。在一個範例中,可藉由偏置蓋組件214來提供能量,以將RF、VHF及/或UHF能量電容耦接到位於錐形腔室242中的氣體。在蓋組件214的此種配置中,可不使用蓋組件214內的遠端電漿源224,或不安裝遠端電漿源224於蓋組件214內。
形成在第四板材250中的中央導管270經調適以將從容積248通過第五板材254提供的電漿產生的物質提供到形成在蓋組件214的第六板材268中的混合腔室266。中央導管270通過第五板材254中的開口264與混合腔室266連通。開口264的直徑可小於、大於或等於中央導管270的直徑。在圖2B所示的實施例中,開口264的直徑與中央導管270的直徑相同。
第四板材250亦包括複數個入口256及258,該複數個入口256及258經配置成向混合腔室266提供氣體。入口256耦接到第一氣源260,及入口258耦接到第二氣源262。第一氣源260及第二氣源262可包括處理氣體及惰性氣體,(例如)作為攜帶氣體的惰性氣體,如氬氣及/或氦氣。第一氣源260可包括氨(NH3 )及氬氣。第二氣源262可包含含氟氣體、含氫氣體或上述氣體的組合。在一範例中,第二氣源262可包含氟化氫(HF)及氬氣。
如圖2B所示,在一些配置中,入口256通過圓柱形通道259(以虛線示出)及形成在板材254中的複數個孔265而耦接到混合腔室266。入口258通過圓柱形通道257(以虛線示出)及形成在第五板材254中的複數個孔267而耦接到混合腔室266。形成在板材254中的孔265及267的尺寸通常設計成使得它們能夠均勻地流動從它們各自的氣源260及262提供至混合腔室266的氣體。在一種配置中,孔267的直徑小於由形成在第四板材250中的圓柱形通道257的相對側壁所限定的開口的寬度。孔267通常圍繞圓柱形通道257的中心線的圓周分佈,以提供均勻流體流入至混合腔室266中。在一種配置中,孔265的直徑小於由形成在第四板材250中的圓柱形通道259的相對側壁所限定的開口的寬度。孔265通常圍繞圓柱形通道259的中心線的圓周分佈,以提供均勻的流體流流入至混合腔室266中。
入口256及258提供橫向穿過第四板材250、朝向並穿過第五板材254到達混合腔室266之相應的流體流動路徑。蓋組件214亦包括第七板材或第一氣體分配器272,該第七板材或第一氣體分配器272可為氣體分配板,如在蓋組件214中所混合的各種氣體流過形成在噴頭中的穿孔274的噴頭。穿孔274與混合室266流體連通,以提供從混合腔室266通過第一氣體分配器272的流動路徑。返回圖2A,可為如噴頭的氣體分配板的阻擋板材228及氣體分配板(如第二氣體分配器230)被設置在蓋組件214下方。
或者,可使用不同的清洗處理來清洗基板表面。例如,可通過蓋組件214來將包含He及NF3 的遠端電漿引入至處理腔室200中,同時可通過設置在腔室主體212的側面並耦接到氣源(未示出)之單獨的氣體入口225來將NH3 直接注入處理腔室200中。
支撐組件216可包括基板支撐件232,以在處理期間在其上支撐基板210。基板支撐件232可經由軸236耦接到致動器234,該軸236延伸穿過形成在腔室主體212的底部中的中心開口。致動器234可藉由防止軸236周圍的真空洩漏的波紋管(未示出)來柔性地密封至腔室主體212。致動器234允許基板支撐件232於處理位置及裝載位置之間在腔室主體212內垂直移動。裝載位置略低於形成在腔室主體212的側壁中的通道(未示出)的開口。
基板支撐件232具有平坦的(或基本上平坦的)基板支撐表面,以支撐在其上待處理的基板。可藉由致動器234在腔室主體212內垂直移動基板支撐件232,該致動器234經由軸236來耦接到基板支撐件232。對於一些步驟來說,可將基板支撐件232升高到緊鄰蓋組件214的位置,以控制正在處理的基板210的溫度。如此一來,可經由從第二氣體分配器230或另一輻射源發出的輻射來加熱或藉由來自第二氣體分配器230之經由中間氣體的對流或傳導來加熱基板210。在一些處理步驟中,基板可設置在升舉銷251上,以執行額外的熱處理步驟,如執行退火步驟。
圖2C為圖2A的基板支撐件232的放大橫截面視圖。基板支撐件232包括與流體供應導管241及流體回流導管243流體連通的熱控制氣室235,導管241及243中的每一者穿過軸236設置。熱控制氣室235可為藉由使冷卻流體循環通過流體供應導管241、進入熱控制氣室235,並通過流體回流導管243流出之用於基板支撐件232的冷卻特徵。
基板支撐件232亦可包括複數個加熱器237及239。在此實施例中,複數個加熱器包括第一加熱器237及第二加熱器239。第一加熱器237及第二加熱器239以基本上共面的關係設置在基板支撐件232內的位置,以使加熱器及基板支撐表面之間能夠熱耦接。第一加熱器237設置在基板支撐件232的外圍,及第二加熱器239設置在基板支撐件232的中心區域中,以提供區域溫度控制。第一加熱器237及第二加熱器239中的每一者可為電阻式加熱器,該電阻式加熱器藉由相應的電力導管249及247耦接到電源(未示出),每個電力導管249及247穿過軸236設置。
在操作中,可藉由熱控制氣室235及加熱器237及239的同時操作來提供溫度控制。如上所述,可向熱控制氣室235供應冷卻流體,且可提供電力給加熱器237及239來作為電阻式加熱器。以此方式,可調諧單獨的控制電路以對一項目(例如,加熱器237及239)提供快速回應,及對熱控制氣室235提供較慢的回應,反之亦然。至少,可將不同的控制參數應用於熱控制氣室235、第一加熱器237及第二加熱器239,以實現最佳化的區域溫度控制系統。
如圖2C所示,單獨的升舉構件245可包括在支撐組件216中。可在基板支撐表面中提供凹槽,以當基板放置在基板支撐表面上時容納升舉構件245的升舉銷251。升舉構件245可藉由經設置穿過軸236的升舉構件245的延伸部耦接到升舉致動器255。升舉致動器可垂直移動升舉構件245以從基板支撐表面朝向第一氣體分配器272將基板升舉起來。升舉構件245可為箍,如開口箍或閉合箍,其可為U形、圓形、馬蹄形或任何方便的形狀。升舉構件245具有在提升基板時提供結構強度的厚度。在一個範例中,升舉構件由陶瓷材料製成且厚度為約1mm。
圖3示出了根據一實施例的單基板化學氣相沉積(CVD)反應器300,其包括石英處理腔室或反應腔室305。反應器300可用於許多不同材料的CVD,其包括如本文所揭露的SiGe及Ge膜。此外,所示的反應器300可在同一腔室305中完成多個沉積步驟;這將從下文的論述中顯而易見。
反應器300通常可具有矩形盒的形狀。複數個輻射熱源經支撐在處理腔室305的外部,以在處理腔室305中提供熱能,而不會被處理腔室305的壁明顯吸收。雖然在用於處理半導體基板的「冷壁」CVD反應器的背景下描述了實施例,但應該理解的是,本文所描述的方法可與其他加熱/冷卻系統結合使用,如採用感應加熱或電阻式加熱的系統。
輻射熱源包括上部加熱組件,該上部加熱組件包括複數個細長的加熱元件310(在此視圖中僅示出一者)。加熱元件310為細長的管型輻射加熱元件,如燈。加熱元件310以間隔開的平行關係設置,且還基本上平行於通過處理腔室305的反應氣體流動路徑(由箭頭312示出)。下部加熱組件包括位於處理腔室305下方及橫向於加熱元件310定向之類似的加熱元件315(如燈)。一部分輻射熱分別藉由上部加熱元件310及下部加熱元件315上方及下方的粗糙鏡面反射板材(未示出)漫反射到處理腔室305中。此外,複數個聚光燈320將集中的熱供應至基板支撐結構的下側(下文描述),以抵消由延伸通過處理腔室305底部的冷支撐結構所產生的散熱效果。在一些實施例中,每個加熱元件310及315的一部分為高強度鎢絲燈,該高強度鎢絲燈產生穿過處理腔室305的壁所傳送而沒有明顯吸收的輻射熱能。如在半導體處理設備領域中已知,可回應於溫度感測器而獨立地或在分組區域中控制各種加熱元件310、315及320的功率。
包括矽基板325的工件被示出為在基板支撐結構330上支撐在處理室305內。所示支撐結構330包括基板支架332(基板325放置在基板支架332上)及支撐支架334。支架334安裝在軸336上,軸336向下延伸穿過管338,該管338延伸穿過腔室下壁。管338與淨化氣體源連通,淨化氣體源可在基板處理期間流動。淨化氣體可用於抑制處理氣體進入處理腔室305的下部分。淨化氣體亦可在基板325下方水平流動。
複數個溫度感測器定位在基板325附近。可採用多種形式的溫度感測器,如光學高溫計或熱電偶。在所示實施例中,溫度感測器包括熱電偶,該等熱電偶包括第一或中央熱電偶340,該第一或中央熱電偶340以任何合適的方式懸掛在基板支架332下方。中央熱電偶340在基板支架332附近穿過支架334。反應器300進一步包括亦在基板325附近的複數個輔助或外圍熱電偶,該複數個輔助或外圍熱電偶包括前緣或前熱電偶345、後緣或後熱電偶350及側熱電偶(未示出)。每個外圍熱電偶容納在滑環352內,該滑環352圍繞基板支架332及基板325。中心及外圍熱電偶中的每一者連接到溫度控制器,該溫度控制器回應熱電偶的讀數來設定各種加熱元件310、315及320的功率。
除了容納外圍熱電偶之外,滑環352在高溫處理期間吸收並發射輻射熱。滑環352可用於補償基板邊緣處的較大熱損失或吸收,已知由於在基板邊緣附近的區域中表面積與體積的比率較大所發生的現象。藉由最小化邊緣損失,滑環352可降低穿過基板325之徑向溫度不均勻的風險。可藉由任何合適的方式懸掛滑環352。例如,圖示的滑環352放置在支撐構件354上,該支撐構件354從前腔室分隔器356及後腔室分隔器358延伸。分隔器356及358理想地由石英形成。在一些佈置中,可省略後分隔器358。
所圖示的處理腔室305包括用於注入反應物及攜帶氣體的入口埠360,且也可通過其接收基板325。出口埠364位於處理腔室305的相對側,及基板支撐結構330位於入口埠360及出口埠364之間。
入口元件365裝配到經調適於圍繞入口埠360的處理腔室305,及入口元件365包括水平伸長的槽367,基板325可通過該槽367***。大體上垂直的入口368接收來自氣源的氣體並將該等氣體與槽367及入口埠360連通。雖然未在圖3中單獨示出,但氣源可包括氫、矽及鍺前驅物,及控制如本文所述的一系列步驟的控制器(例如,預先程式化的電腦),該系列步驟包括在Si及/或Ge沉積之前的冷卻步驟期間使表面活性化合物流入腔室中。入口368可包括氣體注射器,該氣體注射器設計成使單基板反應器的氣流均勻性最大化。
類似地,出口元件370安裝到處理腔室305,使得排氣口372與出口埠364對齊並通向排氣導管374。導管374接著可與合適之用於從處理腔室305排出處理氣體的真空工具(未示出)連通。在一實施例中,經由處理腔室305及下游洗滌器(未示出)抽吸處理氣體。如下所述,較佳地包括泵或風扇以幫助經由處理腔室305抽吸處理氣體及以抽空腔室來進行減壓處理( ,低於大氣壓但高於超高真空壓力範圍)。
圖示的反應器300亦包括位於反應器300上游的激發物種源376。所示實施例的激發物種源376包括遠端電漿產生器,該遠端電漿產生器包括磁控管發電機及沿氣體接線378的施加器。在所示的實施例中,來自磁控管的微波能量沿著氣體接線378耦接到施加器中的流動氣體。前驅物氣源380耦接到氣體接線378以引入至激發物種源376中。攜帶氣體氣源382亦耦接到氣體管接線378。亦可提供一或多個支線384以用於另外的反應物。如所屬技術領域中已知的,取決於反應物種的形式及揮發性,氣源380及382可包括氣罐及起泡器等。如圖所示,每個氣體接線可設置有單獨的質量流量控制器(MFC)及閥,以允許選擇引入至源376並因此進入處理腔室305的載體及反應物種的相對量。激發物種源376可用於電漿增強沉積,但亦可用於激發蝕刻劑,以在腔室305中沒有基板時清洗腔室305過量沉積。
例如,設計用於處理200mm基板的單基板處理腔室305的總容積容量小於約30升,如小於約20升,且在一個實施例中小於約10升。所圖示的腔室305具有約7.5升的容量。因所示的處理腔室305由分隔器356及358、基板支架332、環352及從管338流出的淨化氣體分隔,處理氣體流過的有效容積大約是總容積的一半(例如,在所示實施例中約為3.77升)。要理解的是,單基板處理腔室305的容積可為不同,取決於處理腔室305設計用於容納的基板的尺寸。例如,用於300mm基板的單基板處理腔室305具有小於約100升的容量,如約60升,且在一個實施例中小於約30升。在一個範例中,用於處理300mm基板的單基板處理腔室305具有約24升的總容積,有效體積為約12升。
含Ge層的沉積溫度通常在約250攝氏度(C)至約600攝氏度的範圍內,例如約300攝氏度至約450攝氏度。例如,較低的沉積溫度會更合適,因前驅物的熱穩定性降低。單基板處理室305中的總壓力在約10 -5Torr至約800Torr的範圍內。在一些實施方式中,壓力為約200mTorr至約760Torr,如約1Torr至約200Torr,例如約1Torr至約60Torr。
圖4示出了根據一個實施例之經配置用於低壓磊晶沉積的背面加熱處理腔室400的示意性剖面圖。處理腔室400可用於處理一或多個基板,包括在基板325的上表面上沉積材料。處理腔室400可包括用於加熱的輻射加熱燈402的陣列及除其他元件外設置在處理室400內基板支撐件406的背面404。基板支撐件406可為如所示的盤狀基板支撐件406,或可為(具有中心開口的)環狀基板支撐件,其支撐來自基板邊緣的基板,以便於基板暴露於燈402的熱輻射。
基板支撐件406位於上圓頂428及下圓頂414之間的處理腔室400內。上圓頂428、下圓頂414及設置在上圓頂428與下圓頂414之間的基環436大體上限定了處理腔室400的內部區域。基板325(未按比例)被轉移到處理腔室400中並通過此視圖中未示出的裝載口定位在基板支撐件406上。
基板支撐件406由中央軸432支撐,中央軸432在裝載及卸載期間(在一些情況下係在基板325的處理期間)沿垂直方向434移動基板325。以圖4中的升高處理位置示出基板支撐件406,但可由耦接到中央軸432的致動器(未示出)垂直地移動到處理位置下方的裝載位置。當降低到處理位置以下時,升舉銷(未示出)接觸基板325並從基板支撐件406升高基板325。機器人(未示出) 接著可進入處理腔室400以通過裝載埠接合並從其移除基板325。接著可垂直於處理位置來致動基板支撐件406,以將基板325(其裝置側416面朝上)放置在基板支撐件406的正面410上。
當基板支撐件406位於處理位置時,基板支撐件406將處理腔室400的內部容積劃分成基板325上方的處理氣體區域456及基板支撐件406下方的淨化氣體區域458。在處理期間,基板支撐件406由中央軸432旋轉,以最小化處理腔室400內之熱及處理氣流空間異常的影響,從而促進基板325的均勻處理。基板支撐件406可由碳化矽或塗有碳化矽的石墨形成,以吸收來自燈402的輻射能並將輻射能傳導到基板325。
一般來說,上圓頂428的中央窗部分及下圓頂414的底部由如石英的光學透明材料形成。上圓頂428的厚度及曲率可配置成提供更平坦的幾何形狀,以在處理腔室中有均勻的流動均勻性。
可用指定的、最佳期望的圍繞中心軸432的方式設置燈402的陣列鄰近下圓頂414並位於下圓頂414下方,以在處理氣體經過時獨立地控制基板325的各個區域處的溫度,這有助於將材料沉積至基板325的上表面上。雖然本文並未詳細論述,但沉積的材料可包括砷化鎵、氮化鎵或氮化鋁鎵。在一些實施例中,輻射加熱燈陣列(如燈402)可設置在上圓頂428上方。
燈402可被配置程包括燈泡,該等燈泡經配置為將基板325加熱到約200攝氏度至約1600攝氏度的溫度範圍內。每個燈402耦接到電源分配板(未示出),通過該電源分配板電力供應至每個燈402。燈402位於燈頭445內,可在處理期間或之後藉由(例如)被引入位於燈402之間的通道449中的冷卻流體來冷卻燈頭445。部分由於燈頭445緊密靠近下圓頂414,故燈頭445導電且輻射地冷卻下圓頂414。燈頭445亦可冷卻燈壁及燈周圍的反射器壁(未示出)。或者,可藉由對流方法冷卻下圓頂414。取決於應用,燈頭445可或可不與下圓頂414接觸。
圓形的罩467可以可選地設置在基板支撐件406周圍並由襯墊組件463圍繞。罩467防止或最小化從燈402到基板325的裝置側416的熱/光雜訊的洩漏,同時為處理氣體提供預熱區。罩467可由CVD SiC、塗有SiC的燒結石墨、生長的SiC、不透明的石英、塗覆的石英或任何藉由處理及淨化氣體抵抗化學分解之類似的、合適的材料製成。
襯墊組件463的尺寸設計成嵌套在基環436的內圓周內或由基環436的內圓周圍繞。襯墊組件463將處理空間(即,處理氣體區域456及淨化氣體區域458)與處理腔室400的金屬壁屏蔽開來。金屬壁可與前驅物反應並導致處理容積中的污染。雖然襯墊組件463被示出為單個主體,但襯墊組件463可包括具有不同配置的一或多個襯墊。
作為基板支撐件406加熱基板325的背面的結果,可執行光學高溫計418在基板支撐件上的溫度量測/控制的使用。亦可在具有未知發射率的基板325的裝置側416上完成光學高溫計418的這種溫度量測(因以這種方式加熱基板正面410是與發射率無關的)。結果,光學高溫計418僅能感測來自熱基板325的輻射,該輻射係從基板支撐件406傳導熱量且具有直接到達光學高溫計418之來自燈402的最小背景輻射。
反射器422可以可選地放置在上圓頂428的外部,以將從基板325輻射的光反射回到基板325上。可使用夾環430來將反射器422固定到上圓頂428。反射器422可由如鋁或不銹鋼的金屬製成。藉由用如金的高反射塗層塗覆反射器區域可提高反射效率。反射器422可具有連接到冷卻源(未示出)的一或多個通道426。通道426連接到用於冷卻反射器422之形成在反射器422的一側上的通道(未示出)。通道經配置成承載如水的流體流,且該通道可沿反射器422的側面水平延伸成覆蓋反射器422的一部分或整個表面的任何所需圖案。
從處理氣體供應源472供應的處理氣體通過形成在基環436的側壁中的處理氣體入口474而經引入至處理氣體區域456。處理氣體入口474經配置成以大致徑向向內的方向引導處理氣體進入。在膜形成處理期間,基板支撐件406可位於處理位置中,該處理位置與處理氣體入口474相鄰且處於與處理氣體入口474大致相同的高度,從而允許處理氣體以層流方式沿著穿過基板325的上表面的流動路徑473向上且環繞該流動路徑473流動。處理氣體通過與處理氣體入口474相對之位於處理腔室400之一側的氣體出口478(沿著流動路徑475)而離開了處理氣體區域456。可藉由與氣體出口478耦接的真空泵480來助於移除通過氣體出口478的處理氣體。因處理氣體入口474及氣體出口478彼此對齊且大致設置在相同的高度處,因此認為此種平行佈置當與更平坦的上圓頂428結合時能夠實現大體上平坦的、均勻的通過基板325的氣流。可藉由基板325通過基板支撐件406的旋轉來提供進一步的徑向均勻性。
可通過在基環436的側壁中所形成的可選的淨化氣體入口464(或通過處理氣體入口474)自淨化氣源462將淨化氣體供應至淨化氣體區域458。淨化氣體入口464經設置在處理氣體入口474下方的高度處。若使用圓形的罩467或預熱環(未示出),則圓形的罩或預熱環可設置在處理氣體入口474及淨化氣體入口464之間。在任一種情況下,淨化氣體入口464經配置成沿大體上徑向向內的方向引導淨化氣體。在膜形成處理期間,基板支撐件406可位於使得淨化氣體以層流方式沿著穿過基板支撐件406的後側404的流動路徑465向下且環繞該流動路徑465流動的位置。不受任何特定理論的束縛,認為淨化氣體的流動防止或基本上避免處理氣體的流動進入淨化氣體區域458,或減少進入淨化氣體區域458( ,基板支撐件406下方的區域)之處理氣體的擴散。淨化氣體(沿著流動路徑466)離開淨化氣體區域458且通過氣體出口478排出處理腔室,該氣體出口478位於處理腔室400與淨化氣體入口464相對的一側。
圖5為CVD或磊晶沉積處理腔室500的示意性橫截面視圖,該處理腔室500可為可從加州聖克拉拉市的應用材料公司獲得的CENTURA®整合處理系統的一部分。處理腔室500包括由耐加工材料(如鋁或不銹鋼,例如316L不銹鋼)製成的外殼結構501。外殼結構501包圍如石英腔室530之處理腔室500的各種功能元件,處理腔室500包括其中包含處理容積518的上腔室505及下腔室524。藉由氣體分配組件550將反應物種提供給石英腔室530,且藉由出口埠538從處理容積518移除處理副產物,出口埠538通常與真空源(未示出)連通。
基板支撐件517適於接收經傳送至處理容積518的基板325。沿著處理腔室500的縱軸502設置基板支撐件517。基板支撐件517可由陶瓷材料或塗有矽材料的石墨材料(如碳化矽或其他耐加工材料)製成。將來自前驅反應物材料的反應物種施加到基板325的表面516,且隨後可從表面516移除副產物。可由如上燈模組510A及下燈模組510B的輻射源提供基板325及/或處理容積518的加熱。
在一實施例中,上燈模組510A及下燈模組510B為紅外(IR)燈。來自燈模組510A及510B的非熱能或輻射穿過上石英腔室505的上石英窗504及穿過下石英腔室524的下石英窗503。若需要,上石英腔室505的冷卻氣體通過入口512進入及通過出口513離開。前驅反應物材料及處理腔室500的稀釋劑、淨化氣體及排出氣體通過氣體分配組件550進入及通過出口埠538離開。雖然上石英窗504經顯示為彎曲的或突起的,但當上石英窗504的兩側的壓力大體上相同(即,大氣壓)時上石英窗504可為平的或凹的。
用於激發反應物種並有助於反應物的吸附及處理副產物從基板325的表面516的解吸附的處理容積518中的低波長輻射通常在約0.8μm至約1.2μm的範圍內(例如,在約0.95μm至約1.05μm之間);提供各種波長的組合,這取決於(例如)磊晶生長的膜的組成。
成分氣體經由氣體分配組件550進入處理容積518。如在522處大體上展示地,氣體從氣體分配組件550流出並通過埠538離開。用於清洗/鈍化基板表面或形成磊晶生長的含矽及/或鍺的膜的成分氣體的組合通常在進入處理容積之前混合。可經由出口埠538上的閥(未示出)來調整處理容積518中的總壓力。處理容積518的內表面的至少一部分被襯墊531覆蓋。在一個實施例中,襯墊531包括不透明的石英材料。以此方式,腔室壁與處理容積518中的熱隔離。
可藉由冷卻氣體的流動及結合來自位於上石英窗504上方的上燈模組510A的輻射來將處理容積518中的表面溫度控制在約200℃至約600℃或更高的溫度範圍內,該冷卻氣體係通過入口512進入並通過出口513離開。藉由調節未示出的送風機單元的速度及來自設置在下石英室524下方的下燈模組510B的輻射,可將下石英室524中的溫度控制在約200℃至約600℃或更高的溫度範圍內。處理容積518中的壓力可在約0.1Torr至約600 Torr之間,如在約5 Torr至約30 Torr之間。
可藉由對下石英腔室524中的下燈模組510B的功率調節、或藉由對覆蓋上石英窗504的上燈模組510A及下石英腔室524中的下燈模組510B兩者的功率調節來控制基板325表面516上的溫度。處理容積518中的功率密度可在約40 W/cm2 至約400 W/cm2 之間,如約80 W/cm2 至約120 W/cm2
在一態樣中,氣體分配組件550相對於處理腔室500或基板325的縱軸502垂直於或沿徑向方向506設置。在此取向中,氣體分配組件550經調適以越過基板325的表面516或與基板325的表面516平行以徑向方向506流動處理氣體。在一個處理應用中,在引入處理腔室500的位置處預熱處理氣體,以在引入處理容積518前開始預熱氣體及/或破壞氣體中的特定鍵。以此方式,可獨立於基板325的熱溫度改變表面反應機制。
在操作中,從一或多個氣源540A及540B將形成Si及SiGe覆蓋層或選擇性膜的前驅物提供給氣體分配組件550。IR燈556(圖5中僅示出一個)可用於加熱氣體分配組件550內及沿著流動路徑522的前驅物。氣源540A及540B可用經配置成便於氣體分配組件550內的引入區域(如從頂部平面圖所觀察的外部區域之間的徑向外部區域及徑向內部區域)的方式來耦接氣體分配組件550。氣源540A及540B可包括閥(未示出)以控制引入區域的速率。
氣源540A及540B可包括如矽烷的矽前驅物,該等矽前驅物包括矽烷(SiH4 )、乙矽烷(Si2 H6 )、二氯矽烷(SiH2 Cl2 )、六氯乙矽烷(Si2 Cl6 )、二溴矽烷(SiH2 Br2 )、高級矽烷、其衍生物及上述組合。氣源540A及540B亦可包括含鍺前驅物,如鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、四氯化鍺(GeCl4 )、二氯鍺烷(GeH2 Cl2 )、其衍生物及上述組合。含矽及/或鍺的前驅物可與氯化氫(HCl)、氯氣(Cl2 )、溴化氫(HBr)及上述組合結合使用。氣源540A及540B可包括氣源540A及540B中之一者或兩者中的一或多種含矽及鍺的前驅物。
前驅物材料通過開口或處於此激發態之穿孔板材554中的複數個孔558(圖5中僅示出一個)進入處理容積518,該複數個孔558在一實施例中為石英材料,該石英材料具有形成於其中的孔558。穿孔板材554對IR能量係透明的且可由透明的石英材料製成。在其他實施例中,穿孔板材554可為對IR能量透明且耐製程化學及其他處理化學物質的任何材料。經激發的前驅物材料通過穿孔板材554中的複數個孔558並通過複數個通道552N (圖5中僅示出一個)朝處理容積518流。來自IR燈556的一部分光子及非熱能亦穿過孔558、穿孔板材554及由設置在氣體分配組件550的內表面上的反射材料及/或表面所幫助的通道552N ,從而照亮前驅物材料的流動路徑(如圖5中的箭頭522所示)。以此方式,可從沿著流動路徑引入處理容積518的點保持前驅物材料的振動能量。
圖6示出了根據本申請案的實施方式的可用於完成圖1中所示的處理序列100的示例性真空處理系統600。如圖6所示,複數個處理腔室602a、602b、602c及602d經耦接到第一傳送腔室604。處理腔室602a至602d可用於執行任何與基板相關的處理,如退火、化學氣相沉積、物理氣相沉積、磊晶處理、蝕刻處理、熱氧化或熱氮化製程及脫氣等。在一個實施方式中,處理腔室602a可為如氣相磊晶沉積腔室的膜形成腔室,例如可從加州聖克拉拉市的應用材料公司所獲得之能夠形成晶體矽或矽鍺的Epi腔室。在另一實施方式中,處理腔室602a可為磊晶沉積腔室,如單基板處理腔室(例如,結合圖3描述的反應器300)。在另一實施方式中,處理腔室602a可為結合圖4描述的處理腔室400。在另一實施方式中,處理腔室602a可為結合圖5描述的處理腔室500。
處理腔室602b可為快速熱處理腔室(RTP)。處理腔室602c為電漿蝕刻腔室或電漿清洗腔室。例如,處理腔室602c可為結合圖2A描述的處理腔室200或結合圖3描述的處理腔室300。處理腔室602d可為脫氣室。第一傳送腔室604亦耦接到至少一個過渡站,例如一對直通站606及608。直通站606及608保持真空條件,同時允許基板在第一傳送腔室604及第二傳送腔室610之間傳送。第一傳送腔室604具有機器人基板處理機構(未示出),以在直通站606、直通站608及任何處理腔室602a至602d之間傳送基板。處理腔室602a至602d被示出為以圖6中的特定順序配置,但處理腔室602a至602d可用任何期望順序配置。
直通站606及608的一端耦接至第二傳送腔室610。因此,藉由直通站606及608分離及連接第一傳送腔室604及第二傳送腔室610。第二傳送腔室610耦接到第一電漿清洗腔室614,第一電漿清洗腔室614可為如處理腔室200(圖2A)的電漿腔室,該電漿腔室經調適以執行方塊602中的至少一些用於從基板表面移除氧化物的處理。在一實施方式中,第一電漿清洗腔室614為可從加州聖克拉拉市的應用材料公司獲得的SiconiTM 或SelectraTM 腔室。在另一種實施方式中,電漿清洗腔室614可為結合圖2A描述的處理腔室200。在另一種實施方式中,電漿清洗腔室614可為結合圖3描述的處理腔室300。
在一實施方式中,至少一個過渡站(例如,直通站606及608中的一者)經配置成電漿清洗腔室。或者,電漿清洗腔室可耦接到直通站606及608中的一者,以從基板表面移除污染物。因此,處理系統600可具有第二電漿清潔腔室,該第二電漿清潔腔室為或連接至直通站606及608中的一者。在圖6所示的一個實施方式中,直通站606包括第二電漿清洗腔室616。第二電漿清洗腔室616可為經調適以執行方塊102中所發現的至少一些用於從基板表面移除污染物的處理的一種形式的處理腔室300(圖3)。應注意的是,儘管僅示出了一個電漿清潔腔室616耦接到直通站(在此情況下為直通站606),但電漿清潔腔室(例如,一種形式的處理腔室300)仍可耦接直通站606及608兩者。
第二傳送腔室610亦具有用於在一組負載鎖定腔室612及第一電漿清洗腔室614或第二電漿清洗腔室616之間傳送基板的機器人基板處理機構(未示出),工廠介面620藉由負載鎖定腔室612連接到第二傳送腔室610。工廠介面620耦接到負載鎖定腔室612的相對側上的一或多個容器630。容器630通常是可從清洗室(未示出)進入的前開式晶圓傳送盒(FOUP)。
雖然示出了兩個傳送腔室,但可預期到可省略任何傳送腔室。在省略第二傳送腔室610的一實施方式中,第二電漿清洗腔室616可設置在第一傳送腔室604內或在當前示出為由直通站606或608佔據的位置處耦接到第一傳送腔室604。第一傳送腔室604可耦接到能形成晶體矽或矽鍺的一或多個如磊晶腔室的處理腔室,例如可從加州聖克拉拉市的應用材料公司獲得的CenturaTM Epi腔室。或者,可省略第一傳送腔室604,且第二電漿清洗腔室616可設置在直通站606內或耦接到直通站606,直通站606耦接到第二傳送腔室610。在此情況下,第二傳送腔室610可被配置成耦接到能夠形成晶體矽或矽鍺的一或多個處理腔室。
在操作中,基板從容器630運送到位於負載鎖定腔室612中的一者內的運輸盒(未示出)中的真空處理系統600。第二傳送腔室610內的機器人傳送機構一次一個地將基板自負載鎖定腔室612傳送至第一電漿清洗腔室614,在第一電漿清洗腔室614執行清洗處理(例如在方塊102中找到的處理),以從基板表面移除氧化物。一旦從基板表面移除了氧化物,設置在第二傳送腔室610內的機器人傳送機構將基板從第一電漿清洗腔室614傳送到第二電漿清洗腔室616,在第二電漿清洗腔室616執行還原處理(例如,在方塊103中找到的處理),以從基板表面移除如碳或碳氫化合物的污染物。可想到的是,亦可用相反順序執行預期本文步驟;即,使用機器人傳送機構將基板從第二電漿清洗腔室616傳送到第一電漿清洗腔室614。在任一情況下,接著藉由設置在第一傳送腔室604內的機器人傳送機構將清洗過的基板從第二電漿清洗腔室616(或第一電漿清洗腔室614)傳送到一或多個處理腔室602a-602d。一或多個處理腔室602a至602d可包括磊晶處理腔室,其中執行層形成處理(如方塊106中描述的磊晶沉積)。
在完成一或多個處理腔室602a至602d中的處理之後,設置在第一傳送腔室604內的機器人傳送機構就將基板從處理腔室602中的任一者移動至直通站608。接著藉由設置在第二傳送腔室610內的機器人傳送機構將基板從直通站608移除,並將基板傳送到另一個負載鎖定腔室612,通過另一個負載鎖定腔室612將基板從真空處理系統600中取出。
因在相同的真空處理系統600內執行圖1的所有三個方塊102、103及106的處理,故當基板在各個腔室之間傳送時不會破壞真空,這降低了污染的可能性並改善了沉積磊晶膜的質量。應該理解的是,本文係為了說明目的描述了基板的移動。控制器(未示出)可用於根據期望的排序程序來排程基板通過真空處理系統600的移動,可根據應用而變化該排序程序。
本申請案的益處包括經改善的真空處理系統,該經改善的真空處理系統將兩個不同類型的預清洗處理腔室與磊晶處理腔室整合在相同的真空處理系統上。預清洗處理腔室可包括第一電漿清洗處理腔室及第二電漿清洗處理腔室。在相同的真空處理系統上共存兩種類型的表面材料移除腔室允許基板在表面製備及磊晶沉積之間保持真空,這減少了基板暴露於環境的時間且消除了在分離的處理腔室或系統上製備基板的需要。因兩個傳送腔室之間的直通站亦作為預清洗處理腔室(這也減少了基板的總處理時間),故此種結構亦使真空系統上的處理腔室的數量最大化。
可在圖2A的處理腔室200中執行圖1的處理102的範例。經由遠端電漿單元224引導氬、通過入口256引導氬中的5至10%HF的第一混合物,及通過入口258引導氬中的25%NH3 的第二混合物。藉由向2sLm流動的氬施加500W的微波或RF功率形成遠端電漿。第一混合物以500sccm流過第一入口256,及第二混合物以500sccm流過第二入口258。藉由將溫度控制流體引導通過熱控制氣室235來將基板維持在10攝氏度的溫度。可給基板支撐件232供電以提供徑向溫度控制。將腔室保持在5Torr的壓力下,及將基板處理適於將基板表面上的所有所需氧化物轉化成可昇華固體的一時間,例如300秒。接著將基板移動靠近第二氣體分配器230,將第二氣體分配器230加熱到約200攝氏度以對基板表面提供輻射或傳導熱。將基板保持在從第二氣體分配器230輻射的熱的附近1至5分鐘,以使在基板表面上形成的固體昇華,留下無氧表面。接著可任選地在惰性氣氛下對基板進行熱處理,以從氧化物移除處理中移除任何殘留物種(如含氟物種)。熱處理可包括將基板設置在熱處理腔室中並激發腔室中的熱處理設備以將基板加熱到約300攝氏度的溫度約1分鐘。
雖然前述內容係針對本申請案的實施方式,但可在不脫離本申請案的基本範疇的情況下設計本申請案的其他及進一步的實施方式。
100‧‧‧處理序列
102‧‧‧方塊
103‧‧‧方塊
106‧‧‧方塊
200‧‧‧腔室
202‧‧‧控制器
210‧‧‧基板
212‧‧‧腔室主體
214‧‧‧蓋組件
216‧‧‧支持組件
218‧‧‧真空泵
220‧‧‧第一板材
221‧‧‧真空埠
222‧‧‧處理區域
224‧‧‧電源
224‧‧‧遠端電漿源
225‧‧‧進氣口
228‧‧‧阻擋板材
230‧‧‧氣體分配器
232‧‧‧基板支撐件
234‧‧‧致動器
235‧‧‧熱控制氣室
236‧‧‧軸
237‧‧‧第一加熱器
239‧‧‧第二加熱器
240‧‧‧第二板材
241‧‧‧流體供應導管
242‧‧‧錐形腔室
243‧‧‧流體回流導管
244‧‧‧第三板材
245‧‧‧升舉構件
246‧‧‧開口
247‧‧‧電力導管
248‧‧‧容積
249‧‧‧電力導管
250‧‧‧第四板材
251‧‧‧升舉銷
252‧‧‧氣源
254‧‧‧第五板材
255‧‧‧升降致動器
256‧‧‧第一入口
257‧‧‧圓柱形通道
258‧‧‧第二入口
259‧‧‧圓柱形通道
260‧‧‧第一氣源
262‧‧‧第二氣源
264‧‧‧開口
265‧‧‧孔
266‧‧‧混合腔室
267‧‧‧孔
268‧‧‧第六板材
270‧‧‧中央導管
272‧‧‧第一氣體分配器
274‧‧‧穿孔
300‧‧‧反應器
305‧‧‧處理腔室
310‧‧‧加熱元件
312‧‧‧箭頭
315‧‧‧加熱元件
320‧‧‧射燈
325‧‧‧基板
330‧‧‧支撐結構
332‧‧‧基板支架
334‧‧‧支撐支架
336‧‧‧軸
338‧‧‧管
340‧‧‧中央熱電偶
345‧‧‧前熱電偶
350‧‧‧後熱電偶
352‧‧‧滑環
354‧‧‧支撐構件
356‧‧‧前腔室分隔器
358‧‧‧後腔室分隔器
360‧‧‧入口埠
364‧‧‧出口埠
365‧‧‧入口元件
367‧‧‧槽
368‧‧‧入口
370‧‧‧出口元件
372‧‧‧排氣口
374‧‧‧排氣導管
376‧‧‧激發物種源
378‧‧‧氣體接線
380‧‧‧氣源
382‧‧‧氣源
384‧‧‧支線
400‧‧‧處理腔室
402‧‧‧燈
404‧‧‧背面
406‧‧‧基板支撐件
414‧‧‧下圓頂
416‧‧‧裝置側
418‧‧‧光學高溫計
422‧‧‧反射器
426‧‧‧通道
428‧‧‧上圓頂
430‧‧‧夾環
432‧‧‧中央軸
434‧‧‧垂直方向
436‧‧‧基環
445‧‧‧燈頭
449‧‧‧通道
456‧‧‧處理氣體區域
458‧‧‧淨化氣體區域
462‧‧‧淨化氣源
463‧‧‧襯墊組件
464‧‧‧淨化氣體入口
465‧‧‧流動路徑
466‧‧‧流動路徑
467‧‧‧罩
472‧‧‧處理氣體供應源
473‧‧‧流動路徑
474‧‧‧處理氣體入口
475‧‧‧流動路徑
478‧‧‧氣體出口
480‧‧‧真空泵
500‧‧‧處理腔室
501‧‧‧外殼結構
502‧‧‧縱軸
503‧‧‧下石英窗
504‧‧‧上石英窗
505‧‧‧上腔室
506‧‧‧徑向
510A‧‧‧上燈模組
510B‧‧‧下燈模組
512‧‧‧入口
513‧‧‧出口
516‧‧‧表面
517‧‧‧基板支撐件
518‧‧‧處理容積
522‧‧‧流動路徑
524‧‧‧下腔室
530‧‧‧石英腔室
531‧‧‧襯墊
538‧‧‧出口埠
540A‧‧‧氣源
540B‧‧‧氣源
550‧‧‧氣體分配組件
552N‧‧‧通道
554‧‧‧穿孔板材
556‧‧‧燈
558‧‧‧孔
600‧‧‧真空處理系統
602‧‧‧方塊
602a‧‧‧處理腔室
602b‧‧‧處理腔室
602c‧‧‧處理腔室
602d‧‧‧處理腔室
604‧‧‧第一傳送腔室
606‧‧‧直通站
608‧‧‧直通站
610‧‧‧第二傳送腔室
612‧‧‧負載鎖定腔室
614‧‧‧第一電漿清洗腔室
616‧‧‧第二電漿清洗腔室
620‧‧‧工廠介面
630‧‧‧容器
藉由參考附加圖式中所描繪之本申請案的說明性實施方式,可理解上文所簡要概述且在下文更詳細論述的本申請案的實施方式。然而,應注意到的是,附加圖式僅示出了本申請案的典型實施方式,因此附加圖式不應視為限制本申請案的範疇,因本申請案可允許其他同等有效的實施方式。
圖1示出了根據本申請案的一個實施方式的處理序列。
圖2A為根據本申請案的一個實施方式的用於執行圖1的清洗處理的清洗腔室的橫截面視圖。
圖2B為圖2A的處理腔室的一部分的放大視圖。
圖2C為根據一個實施例的基板支撐件的放大橫截面視圖。
圖3示出了用於執行磊晶沉積處理的單個基板化學氣相沉積(CVD)反應器。
圖4示出了用於執行磊晶沉積處理的背面熱處理腔室的示意性截面視圖。
圖5為用於執行磊晶沉積處理的CVD腔室的示意性截面視圖。
圖6示出了用於執行如本文所述之清洗及沈積處理的示例性真空處理系統。
為了便於理解,儘可能使用相同的元件符號來表示圖式中共有的相同元件。未按比例繪製圖式,且為了清楚起見可簡化圖式。可預期到一種實施方式的元素及特徵可有利地併入其他實施方式中而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理系統,包括: 一傳送腔室,該傳送腔室耦接至至少一個膜形成腔室;一電漿氧化物移除腔室,該電漿氧化物移除腔室耦接至該傳送腔室,該電漿氧化物移除腔室包括一遠端電漿源及一基板支撐件,該基板支撐件包括一冷卻通道及一加熱器;及一負載鎖定腔室,該負載鎖定腔室耦接至該傳送腔室。
  2. 如請求項1所述之處理系統,其中該電漿氧化物移除腔室為一RF遠端電漿腔室。
  3. 如請求項1所述之處理系統,其中該膜形成腔室為一磊晶腔室。
  4. 如請求項1所述之處理系統,其中該電漿氧化物移除腔室為一氟處理腔室,及該膜形成腔室為一磊晶腔室。
  5. 如請求項4所述之處理系統,其中該電漿氧化物移除腔室中的該加熱器為一電阻式加熱器。
  6. 如請求項5所述之處理系統,進一步包括一退火腔室。
  7. 如請求項1所述之處理系統,其中該電漿氧化物移除腔室包括具有一腔室、具有一中央導管的一蓋組件、一圓柱形導管及兩個入口,該兩個入口全部流體地連接至該腔室。
  8. 如請求項7所述之處理系統,其中該電漿氧化物移除腔室的該加熱器為一電阻式加熱器。
  9. 一種處理一基板的方法,包括以下步驟: 藉由包括將一基板暴露於包含NH3 、HF及自由基的一處理氣體的一處理來從該基板移除氧化物;及 藉由一氣相磊晶處理在該基板上形成一膜。
  10. 如請求項9所述之方法,進一步包括以下步驟:在從該基板移除氧化物的同時冷卻該基板。
  11. 如請求項9所述之方法,進一步包括以下步驟:在從該基板移除氧化物之後在該基板上執行一熱處理製程。
  12. 如請求項11所述之方法,其中在一惰性氣氛下於400攝氏度或更高的一溫度處執行該熱處理製程。
  13. 如請求項9所述之方法,其中該氧化物移除處理包括以下步驟: 將該基板放置在一處理腔室中; 從一惰性氣體中形成一電漿; 使該電漿流入至具有NF3 及HF的一混合腔室中以形成一反應混合物; 使該反應混合物流入該處理腔室中,及 將該基板暴露於該反應混合物中。
  14. 如請求項13所述之方法,其中該氧化物移除處理進一步包括以下步驟:在暴露於該反應混合物之後將該基板加熱到至少100攝氏度的一溫度。
  15. 如請求項14所述之方法,進一步包括以下步驟: 在從該基板移除氧化物之後,執行一熱處理製程在該基板上,包括以下步驟: 將該基板放置在一熱處理腔室中; 使一惰性氣體流入該熱處理腔室中;及 將該基板加熱到400攝氏度或更高的一溫度。
  16. 如請求項15所述之方法,其中在與該熱處理相同的腔室中進行在該基板上形成該膜的步驟。
  17. 如請求項16所述之方法,其中執行該熱處理處理的步驟進一步包括以下步驟:將該基板暴露於一含氫氣體中。
  18. 一種處理設備,包括: 一第一傳送腔室,該第一傳送腔室耦接到至少一個氣相磊晶磊晶腔室; 一電漿氧化物移除腔室,該電漿氧化物移除腔室耦接至該第一傳送腔室,該電漿氧化物移除腔室包括: 一蓋組件,該蓋組件具有一混合腔室及一氣體分配器; 一第一氣體入口,該第一氣體入口穿過該蓋組件的一部分形成且與該混合腔室流體連通; 一第二氣體入口,該第二氣體入口穿過蓋組件的一部分形成且與該混合腔室流體連通; 一第三氣體入口,該第三氣體入口穿過蓋組件的一部分形成且與混合腔室流體連通;及 一基板支撐件,該基板支撐件具有: 一基板支撐表面; 一冷卻通道及一或多個嵌入該基板支撐件中的電阻式加熱器;及 一升舉構件,該升舉構件設置在該基板支撐表面的一凹槽中且通過該基板支撐件耦接至一升舉致動器;及 一負載鎖定腔室,該負載鎖定腔室連接至該第一傳送腔室。
  19. 如請求項18所述之處理設備,進一步包括藉由一或多個直通站耦接到該第一傳送腔室的一第二傳送腔室。
  20. 如請求項19所述之處理設備,進一步包括耦接到該第一傳送腔室或第二傳送腔室的一熱處理腔室。
TW107128243A 2017-08-30 2018-08-14 整合式磊晶與預清洗系統 TWI785094B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762552107P 2017-08-30 2017-08-30
US62/552,107 2017-08-30

Publications (2)

Publication Number Publication Date
TW201913739A true TW201913739A (zh) 2019-04-01
TWI785094B TWI785094B (zh) 2022-12-01

Family

ID=65437915

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111141075A TW202310006A (zh) 2017-08-30 2018-08-14 整合式磊晶與預清洗系統
TW107128243A TWI785094B (zh) 2017-08-30 2018-08-14 整合式磊晶與預清洗系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111141075A TW202310006A (zh) 2017-08-30 2018-08-14 整合式磊晶與預清洗系統

Country Status (6)

Country Link
US (2) US11164737B2 (zh)
JP (3) JP7029522B2 (zh)
KR (1) KR102300508B1 (zh)
CN (2) CN111052334B (zh)
TW (2) TW202310006A (zh)
WO (1) WO2019046001A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220024017A (ko) * 2019-05-15 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 시스템을 위한 동적 멀티 존 유동 제어
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN113192869A (zh) * 2021-04-29 2021-07-30 北京北方华创微电子装备有限公司 进气机构和半导体工艺设备
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030196588A1 (en) * 2000-02-10 2003-10-23 Norihiro Kobayashi Silicon boat with protective film, method of manufacture thereof, and silicon wafer heat-treated using silicon boat
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20050217799A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8728944B2 (en) 2010-08-04 2014-05-20 Applied Material, Inc. Method of removing contaminants and native oxides from a substrate surface
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US10232324B2 (en) 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN110735181A (zh) * 2013-08-09 2020-01-31 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9721792B2 (en) * 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TWI671787B (zh) * 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法

Also Published As

Publication number Publication date
JP2020532142A (ja) 2020-11-05
US20220059342A1 (en) 2022-02-24
TWI785094B (zh) 2022-12-01
CN117448783A (zh) 2024-01-26
CN111052334A (zh) 2020-04-21
WO2019046001A1 (en) 2019-03-07
CN111052334B (zh) 2023-10-13
JP7029522B2 (ja) 2022-03-03
JP7348975B2 (ja) 2023-09-21
TW202310006A (zh) 2023-03-01
US11164737B2 (en) 2021-11-02
KR102300508B1 (ko) 2021-09-08
JP2022084597A (ja) 2022-06-07
JP2023174653A (ja) 2023-12-08
KR20200035185A (ko) 2020-04-01
US20190066998A1 (en) 2019-02-28

Similar Documents

Publication Publication Date Title
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
TWI785094B (zh) 整合式磊晶與預清洗系統
US11057963B2 (en) Lamp infrared radiation profile control by lamp filament design and positioning
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
KR20090089882A (ko) 저온 cvd 시스템에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 복사 가스 예열
TW202039921A (zh) 成長摻雜iv族材料的方法
US11605544B2 (en) Methods and systems for cleaning high aspect ratio structures