TW201905966A - 光罩 - Google Patents

光罩

Info

Publication number
TW201905966A
TW201905966A TW107109434A TW107109434A TW201905966A TW 201905966 A TW201905966 A TW 201905966A TW 107109434 A TW107109434 A TW 107109434A TW 107109434 A TW107109434 A TW 107109434A TW 201905966 A TW201905966 A TW 201905966A
Authority
TW
Taiwan
Prior art keywords
layer
film stack
conductive
photomask
reflective film
Prior art date
Application number
TW107109434A
Other languages
English (en)
Inventor
王祥保
章勳明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905966A publication Critical patent/TW201905966A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/40Electrostatic discharge [ESD] related features, e.g. antistatic coatings or a conductive metal layer around the periphery of the mask substrate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2008Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

在一實施例中,光罩包括:基板,位於第一導電層上,且基板之組成為低熱膨脹材料;第二導電層,位於第一導電層上;反射膜堆疊,位於基板上;蓋層,位於反射膜堆疊上;吸收層,位於蓋層上;以及抗反射層,位於吸收層上,其中抗反射層與吸收層具有多個開口於第一區中以露出蓋層,其中抗反射層、吸收層、蓋層、與反射膜堆疊具有溝槽於第二區中以露出第二導電層。

Description

光罩
本發明實施例關於微影製程,更特別關於光罩與其形成方法。
半導體積體電路(IC)產業已經歷快速成長。IC材料與設計的技術進步,使每一代的IC比前一代的IC更小且電路更複雜。新一代的IC具有較大的功能密度(比如固定晶片面積中的內連線元件數目),與較小的尺寸(比如製程形成的最小構件或連線)。
製程尺寸縮小往往有利於增加製程效率並降低相關成本。製程尺寸縮小亦會增加製程複雜度。為了實現製程尺寸縮小,IC製程亦需有類似發展。舉例來說,對高解析度之微影製程的需求成長。一些下世代微影技術可包含極紫外線微影、深紫外線微影、X光微影、軟X光微影、離子束投影微影、電子束投影微影、或類似微影技術。
本發明一實施例提供之光罩,包括:基板,位於第一導電層上,且基板之組成為低熱膨脹材料;第二導電層,位於第一導電層上;反射膜堆疊,位於基板上;蓋層,位於反射膜堆疊上;吸收層,位於蓋層上;以及抗反射層,位於吸收層上,其中抗反射層與吸收層具有多個開口於第一區中以露出 蓋層,其中抗反射層、吸收層、蓋層、與反射膜堆疊具有溝槽於第二區中以露出第二導電層。
θ‧‧‧夾角
A-A‧‧‧剖線
LI‧‧‧入射射線束
LP‧‧‧圖案化射線束
10‧‧‧微影系統
20‧‧‧射線源
30‧‧‧照射器
40‧‧‧光罩
50‧‧‧投影光學盒
60‧‧‧目標
62‧‧‧目標基板台
100、104A、104B、904、1004A、1004B、1004C、1104‧‧‧導電層
102‧‧‧低熱膨脹材料基板
104‧‧‧導電膜堆疊
106‧‧‧反射膜堆疊
106A‧‧‧高折射率膜
106B‧‧‧低折射率膜
108‧‧‧吸收膜堆疊
108A‧‧‧蓋層
108B‧‧‧吸收層
108C‧‧‧抗反射層
110‧‧‧設計佈局圖案
112‧‧‧開口
114‧‧‧溝槽
114A、114B、114C‧‧‧部份
116‧‧‧方向
1204‧‧‧導電膜
1300‧‧‧製程系統
1302‧‧‧處理器
1304‧‧‧記憶體
1306、1308、1310‧‧‧介面
第1圖係一些實施例中,微影系統的方塊圖。
第2、3、4、5、與6圖係一些實施例中,光罩製程的中間步驟。
第7A與7B圖係一些實施例中,微影系統所用的光罩。
第8圖係一些實施例中,在微影系統中曝光時的目標。
第9圖係一些其他實施例中的光罩。
第10圖係一些其他實施例中的光罩。
第11圖係一些其他實施例中的光罩。
第12圖係一些其他實施例中的光罩。
第13圖係一些實施例中,製程系統的方塊圖。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化 說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
一些實施例提供微影光罩與方法,特別是形成光罩的方法。光罩包含反射膜堆疊於基板上,以及吸收膜堆疊於反射膜堆疊上。吸收膜堆疊經圖案化後,具有結構對應之後形成於目標中的結構。溝槽形成於吸收膜堆疊與反射膜堆疊中,以圍繞上述結構。溝槽底部露出導電材料。導電材料可中和溝槽底部因光電效應產生的電位差。中和電位差可避免電弧,並可讓因電弧釋放而形成於光罩上的粒子數量減少。
第1圖係一些實施例中,微影系統10的方塊圖。微影系統10包含射線(radiation)源20、照射器30、光罩40、投影光學盒50、與目標60。裝置亦可具有其他設置、包含其他裝置、或省略一些裝置。在一實施例中,微影系統10為極紫外線微影系統。在其他實施例中,微影系統10為深紫外線微影系統、X光微影系統、軟X光微影系統、離子束投影微影系統、電子束投影微影系統、或類似系統。
微影系統10產生的電磁射線可能使微影系統10中的氛圍離子化。如此一來,微影系統10的構件可在真空下操作,或者在電漿或氣體不會離子化的氛圍下操作。當微影系統10為極紫外線微影系統的實施例中,微影系統10的構件可在氫電漿的氛圍下操作。
射線源20提供的電磁射線具有所需範圍的波長。 射線源20產生入射射線束LI,且射線源20可為電漿源、雷射誘發源、放電氣體電漿源、或類似物。電漿源可包含氙、氧、鋰、或類似物。電漿可為高溫與高密度電漿、雷射產生電漿、或放電產生電漿。在微影系統10為極紫外線微影系統的實施例中,射線源20以電漿源產生極紫外線,比如波長介於約1nm至約100nm(如波長中心為約13.5nm)的射線。
照射器30包含多種光學構件,自射線源20聚焦射線,並將入射射線束LI導向光罩40上。照射器30可包含反射光學構件如單一透鏡或具有多個透鏡(波域片)的透鏡系統;或反射光學件如單一反射鏡或具有多個反射鏡的反射鏡系統。在微影系統10為極紫外線微影系統的實施例中,照射器包含反射光學件。在一實施例中,操作照射器30可設置反射光學構件,以提供離軸照射至光罩40。在一些實施例中,可切換照射器30的反射鏡,以反射入射射線束LI的極紫外線至不同的照射位置。在另一實施例中,在照射器30前可新增台(未圖示),其包含其他可切換的反射鏡,以搭配照射器30的反射鏡將入射射線束LI的極紫外線導至不同的照射位置。
光罩40圖案化入射射線束LI所用的圖案,將形成於目標60上的光敏層(未圖示並說明於後)中。入射射線束LI經圖案化後,產生圖案化射線束LP。舉例來說,電路圖案可形成於光罩40上,而電路圖案可為積體電路裝置或晶片的層狀物設計。接著採用光罩40將電路圖案轉移至目標60上。經由多種微影製程,可重複地將圖案轉移至多個晶圓上。多個光罩(如15至30個光罩的光罩組)可用以圖案化完整的積體電路裝置於目 標60上。吸盤(未圖示)可承載光罩40。
光罩40可為穿透式光罩、反射式光罩、光學鄰近修正光罩、或類似物。在微影系統10為極紫外線微影系統的實施例中,光罩40為反射式光罩。反射式光罩可為雙強度光罩或相移光罩。雙強度光罩包含幾乎全部的吸收區(又稱作不透光區)與反射區。在不透光區中存在吸收物,其幾乎完全吸收入射射線束LI。在反射區中移除吸收物,且多層可反射入射射線束LI。相移光罩包含吸收區與反射區。相對於自反射區反射的射線,自吸收區反射的部份入射射線具有合適相位差異,以增進解析度與成像品質。相移光罩可為衰減式相移光罩或交替式相移光罩。衰減式相移光罩自吸收物反射的反射率通常為2%至15%,而交替式相移光罩自吸收物反射的反射率通常大於50%。
在一些實施例中,皮膜(未圖示)可形成於光罩40上。皮膜為框上薄膜,其可覆蓋光罩40的圖案化表面以保護光罩40之表面免於落塵或粒子。當皮膜可能吸收過量射線及產生熱能的實施例(比如微影系統10為極紫外線微影系統的實施例)中,可省略皮膜。
投影光學盒50收集自光罩40反射(或穿透光罩40)的圖案化射線束LP,並將其投影至目標60上。投影光學盒50可放大圖案化射線束LP,且放大倍率可小於1,使圖案化射線束LP的圖案化影像縮小。投影光學盒50可包含折射或反射光學件。在一實施例中,投影光學盒50包含反射光學件如反射鏡(未圖示)。
目標60可為具有光敏層於其上的目標基板。目標基板可為半導體晶圓,其組成可為半導體如矽、鍺、類似物、或上述之組合。在其他實施例中,目標基板可包含半導體化合物及/或半導體合金。此外,目標基板視情況可包含磊晶層、可具有應力以增進效能、可包含絕緣層上矽結構、及/或可具有其他合適的增進結構。目標基板可包含多個晶粒形成(或部份地形成)其上。每一晶粒可包含任意數目的半導體裝置,比如場效電晶體、電容、電阻、導電內連線、及/或其他合適裝置。目標基板可包含多種摻雜區,或者具有合適n型或p型摻質(雜質)的目標基板(如半導體晶圓上的層狀物)其區域。例示性的區域包含金氧半裝置可形成其上的主動區,且可摻雜主動區以形成井區。摻雜區包含但不限於主動區,其可具有多種尺寸、摻雜等級、設置、及/或其他性質。主動區的邊界可由隔離結構(如淺溝槽隔離結構)定義。光敏層(如光阻)為對圖案化射線束LP敏感的材料,其可包含正型光阻或負型光阻。光阻層可形成於目標基板上,且其形成方法可為旋轉塗佈、軟烘烤、或上述之組合。
第2至16圖係一些實施例中,光罩40之形成製程的中間階段。第2至6圖為剖視圖。光罩40的形成方法包含空白光罩的製作,以及光罩圖案化製程。在製作空白光罩時,可沉積合適的層狀物(如多個反射層)於合適基板上。以光罩的圖案化製程圖案化空白的光罩40,以形成具有積體電路裝置的層狀物設計之圖案化的光罩40。在圖示的實施例中,光罩40為用於極紫外線微影系統的反射式光罩。應理解的是,類似技術可用以 形成其他種類的光罩。
在第2圖中,低熱膨脹材料基板102形成於導電層100上。導電層100可位於吸盤(未圖示)上,其組成可為氮化鉻或類似物。低熱膨脹材料基板102之材料在加熱時實質上不膨脹,可減少光罩40加熱時產生的影像扭曲。低熱膨脹材料基板102之組成可為玻璃、石英、矽、碳化矽、氧化矽、摻雜氧化矽、氧化鈦、黑鑽、類似物、或上述之組合。低熱膨脹材料基板102之形成方法可為沉積製程如物理氣相沉積、化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或類似製程。
在第3圖中,導電膜堆疊104形成於低熱膨脹材料基板102上。導電膜堆疊104可為多層膜,其包含一或多層的導電材料,或者單層的導電材料。在此處所示的實施例中,導電膜堆疊104包含導電層104A與104B。導電膜堆疊104的每一層組成可為導體或半導體。在一些實施例中,導電膜堆疊104的每一層組成可為鉻、鉭、硼化鉭、類似物、或上述之組合,且其可摻雜元素如硼、磷、氧、氮、砷、類似物、或上述之組合。舉例來說,導電層104A之組成可為硼氮化鉭、硼氧化鉭、硼氧氮化鉭、低反射率的硼氧氮化鉭、氮化鉻、氮氧化鉻、氧化鉻、銦錫氧化物、或氧化鉭,且其形成方法可為沉積製程如化學氣相沉積、原子層沉積、電漿增強原子層沉積、物理氣相沉積、或類似製程。導電層104B之材料選擇與導電層104A類似,且其形成方法亦與導電層104A的形成方法類似。導電層104A與104B之組成可為相同材料或不同材料。摻雜導電膜堆疊104的層狀物可形成吸收極紫外線與深紫外線的膜堆疊,其具有低電 阻。在一實施例中,摻雜的導電膜堆疊104的電阻在約20℃下低於約1000歐姆。在其他實施例中,導電膜堆疊104為單層的摻雜導電材料。
在第4圖中,反射膜堆疊106形成於導電膜堆疊104上,反射膜堆疊106的最底層可(或可不)物理接觸導電膜堆疊104的最頂層。反射膜堆疊106為多層膜,其可包含交替的高折射率膜106A與低折射率膜106B。高折射率膜106A之材料組成傾向散射極紫外線,而低折射率膜106B之材料組成傾向透過極紫外線。交替不同折射率材料的多個膜對,可提供共振反射以用於反射膜堆疊106。高折射率膜106A與低折射率膜106B之材料選擇,可讓反射膜堆疊106對用於相關微影製程中的選定射線種類及/或波長具有共振反射。膜對的高折射率膜106A與低折射率膜106B可為鉬-鈹膜對、鉬-矽膜對、或類似物。反射膜堆疊106對選定的射線種類/波長的反射率可高達70%。
高折射率膜106A、低折射率膜106B、與反射膜堆疊106的總厚度,取決於微影製程所用的射線波長與入射射線束LI的入射角。在一實施例中,高折射率膜106A為厚度介於約2.8nm至約5nm的鉬層,而低折射率膜106B為厚度介於約4.1nm至約6nm的矽層,不過亦可採用其他厚度的層狀物。在一實施例中,反射膜堆疊106的膜對數目介於20對至80對之間,而總厚度介於約138nm至約880nm之間,不過亦可採用其他數目的膜對。
在第5圖中,吸收膜堆疊108形成於反射膜堆疊106上。形成吸收膜堆疊108的實施例中,光罩40為雙強度光罩。 吸收膜多堆疊108包含一或多種吸收材料,其用於入射射線束LI(相對於反射膜堆疊106反射的入射射線)。在此實施例中,吸收膜堆疊108包含蓋層108A、吸收層108B、與抗反射層108C。在光罩40為相移光罩的實施例中,可改為形成相移膜堆疊(未圖示)於反射膜堆疊106上。相移膜多堆疊包含一或多種相移材料,其用於入射射線束LI(相對於反射膜堆疊106反射的入射射線)。
蓋層108A形成於反射膜堆疊106上,可避免後續光罩圖案化製程及吸收膜堆疊108的修復製程氧化反射膜堆疊106。此外,蓋層108A在後續圖案化吸收膜堆疊108的製程中,可作為蝕刻停止層。如此一來,蓋層108A與吸收層108B對相同的蝕刻製程具有不同的蝕刻特性。蓋層108A之組成可為釕、硼化釕、釕矽、鉻、氧化鉻、氮化鉻、氧化矽、非晶碳、類似物、或上述之組合,且其沉積製程可為低溫沉積、化學氣相沉積、物理氣相沉積、或類似製程。在一實施例中,蓋層108A的厚度可介於約20nm至約80nm之間,且其形成方法可為低溫沉積製程以減少或避免與反射膜堆疊106之間的互相擴散。上述低溫沉積製程的溫度可小於約450℃。
吸收層108B形成於蓋層108A上。吸收層108B在微影製程中,可吸收投影至圖案化的光罩40上的特定射線種類或波長,且可為吸收膜堆疊108的主要吸收層。吸收層108B之組成可為導電材料如鉻、氧化鉻、鉭、氮化鈦、硼氮化鉭、硼氧化鉭、鈦、鋁銅鉻、類似物、上述之多層、或上述之合金。在一實施例中,吸收層108B為鉻與氮化鉭的雙層。在另一實施例 中,吸收層108B與導電層104A及104B之一者的材料相同。吸收層108B的形成方法可為物理氣相沉積、化學氣相沉積、常壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、離子束沉積、旋轉塗佈、濺鍍製程、或類似製程,且可具有任何合適厚度使選用材料達到適當的吸收度。
抗反射層108C形成於吸收層108B上。在微影系統10為極紫外線微影系統的實施例中,抗反射層108C可減少來自吸收層108B的波長低於深紫外線之入射射線的反射。抗反射層108C的材料組成可為氧化鉭、硼氧化鉭、氧化鉻、銦錫氧化物、氧化矽、氮化矽、氧化鉭、類似物、或上述之組合,且其形成方法可為沉積製程如物理氣相沉積、化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或類似製程。
在第6圖中,在光罩40的第一區中圖案化抗反射層108C與吸收層108B,可形成設計佈局圖案100以對應之後形成的積體電路裝置的層狀物。抗反射層108C與吸收層108B的圖案化方法可為合適的光微影與蝕刻技術。在圖案化抗反射層108C與吸收層108B的例子中,可形成光阻於抗反射層108C與吸收層108B,並以用於積體電路的設計佈局圖案對應的圖案,圖案化光阻層。可進行蝕刻製程如乾蝕刻或濕蝕刻,以將光阻圖案轉移至抗反射層108C與吸收層108B。在上述蝕刻製程中,蓋層108A可作為蝕刻停止層。上述步驟可形成開口112於抗反射層108C與吸收層108B中,並露出蓋層108A。
在第6圖中,溝槽114形成於光罩40其第二區中的 蓋層108A與反射膜堆疊106中,並露出導電膜堆疊104。如下所述,溝槽114圍繞光罩40,因此亦圍繞光罩40的設計佈局圖案110。溝槽114可稱作黑框,其形成清楚的邊緣,可在入射射線束LI投影至目標60上時作為對準標記。開口112與溝槽114可依任何順序形成,其形成方法採用不同的微影光罩。在此處所示的實施例中,在形成溝槽114前先形成開口112。在形成開口112之後才形成溝槽114的例子中,可形成光阻於抗反射層108C與吸收層108B上以及開口112中。可依對應溝槽114的圖案圖案化光阻。可進行蝕刻製程如乾蝕刻或濕蝕刻,以將光阻圖案轉移至蓋層108A與反射膜堆疊106。在其他實施例中,在形成開口112之後才形成溝槽114。在形成開口112後才形成溝槽114的例子中,可形成光阻於抗反射層108C與吸收層108B上以及溝槽114中。可依對應開口112的圖案圖案化光阻。可進行蝕刻製程如乾蝕刻或濕蝕刻,以將光阻圖案轉移至蓋層108A與反射膜堆疊106。
第7A與7B圖係一些實施例中,微影系統10中所用的光罩40。第7A圖係光罩40的剖面圖,而第7B圖係光罩40的上視圖。第7A圖係沿著第7B圖的剖線A-A之剖視圖。在微影步驟中,入射射線束LI朝著光罩40投影。部份的入射射線束LI撞擊導電結構如反射膜堆疊106的露出圖案,並被光罩40反射。部份的入射射線束LI撞擊吸收結構如吸收層108B,則未被光罩40反射。
朝光罩40投影的入射射線束LI,其與垂直於低熱膨脹材料基板102之主要表面的線段之間具有夾角θ。如此一來, 入射射線束LI可照射光罩40的一些部份,而未照射光罩40的其他部份。舉例來說,溝槽114可具有入射射線束LI照射的部份114A,以及入射射線束LI未照射的其他部份114B。如此一來,反射膜堆疊106的邊緣產生陰影。在微影系統10為極紫外線微影系統的實施例中,夾角θ小於90度,比如約1度至約11度之間。
可操作照射器30使入射射線束LI依方向116掃描光罩40。入射射線束LI掃描溝槽114之邊界中的設計佈局圖案110上。如第7B圖所示,掃描時的入射射線束LI可能與溝槽114部份重疊。如此一來,溝槽114的部份114C不會被照射到,因為入射射線束LI不會掃描部份114C。如第7B圖所示,入射射線束LI並非完美形狀的光束,實際上可能具有定義不清的邊界。
射線源20產生的電磁射線可具有高光子能量。在微影系統為極紫外線微影系統的實施例中,射線的光子能量可高達92eV。由於光電效應,高能量的入射射線束LI投影至光罩40上會誘發光罩40之表面上的正電荷。特別的是,沿著溝槽114底部的部份材料糾高能量射線束照射後,一般會導致照射的部份114A之電位高於未照射的部份114B與114C之電位。然而低熱膨脹材料基板102上的導電膜堆疊104可作為接地層,以中和溝槽114之底部的電位差。降低光罩40之表面上的電位差,可避免電位差之間產生電弧。電弧會產生在相同的部份照射表面之間,或者產生在不同的部份照射表面之間。減少溝槽側邊(比如反射膜堆疊106)與溝槽底部之間的電弧,可減少因電弧自反射膜堆疊106釋放的鉬數量。減少粒子釋放特別有益,因為釋放的鉬可能聚集成粒子,並吸收一些入射射線束LI而改變轉移 至目標60的設計佈局圖案。此外,導電膜堆疊104可比低熱膨脹材料基板102薄。在一實施例中,導電膜堆疊104的厚度可介於約10nm至約1000nm之間,且可為低熱膨脹材料基板102的厚度之約1.6×10-4%至約1.6×10-2%之間。如此一來,具有光罩40形成其上的基板(如低熱膨脹材料基板102)可維持其低熱膨脹性,而溝槽114可維持其吸收特性。
第8圖係一些實施例中,微影系統10中曝光時的目標60。在曝光步驟時,光罩40反射的部份入射射線束LI經由投影光學盒50投影至目標60上。目標基板台62承載目標60,其可控制目標60的位置,使光罩40的影像得以重複掃描至目標基板上。如前所述,目標60包含光敏層於目標基板上。
來自光罩40的圖案化射線束LP照射光阻層時,其對應光罩40的設計佈局圖案反應形成影像於光敏層中。可施加顯影溶液(未圖示)至光敏層的曝光區,使光敏層顯影成圖案化結構(未圖示)。在以光敏層的設計佈局圖案圖案化目標基板的蝕刻製程中,圖案化的光敏層可作為蝕刻遮罩。蝕刻製程可包含乾蝕刻、濕蝕刻、及/或其他蝕刻方法。在蝕刻製程之後可採用合適技術如剝除或灰化,以移除圖案化光敏層。形成於光罩40上的設計佈局圖案因此轉移至目標基板,以形成結構於目標基板上。上述結構可為半導體鰭狀物、閘極堆疊、金屬化層、內連線、或類似物。
第9圖係一些其他實施例之光罩40。在第9圖所示的實施例中,省略低熱膨脹材料基板102,且單一的導電層904形成於導電層100上。反射膜堆疊106形成於導電層904上。導 電層904之組成可為矽、碳化矽、石墨、或類似物,且其形成方法可為合適沉積製程如化學氣相沉積、低壓化學氣相沉積、或類似製程。導電層904可摻雜元素如硼、磷、砷、金屬、類似物、或上述之組合,以形成對極紫外線與深紫外線具有高吸收的膜狀物,且其於20℃下的電阻小於約1000歐姆。
第10圖係一些其他實施例的光罩40。在第10圖所示的實施例中,省略低熱膨脹材料基板102,且導電膜堆疊104形成於導電層100上。導電膜堆疊104可包含三個導電層,比如導電層1004A、1004B、與1004C。導電層1004A與1004B可與導電層104A與104B的材料選擇相同,且其形成方法可與導電層104A與104B的形成方法類似。導電層1004C可與導電層904的材料選擇相同,且其形成方法可與導電層904的形成方法類似。
第11圖係一些其他實施例的光罩40。在第11圖所示的實施例中,省略導電膜堆疊104,且反射膜堆疊106形成於低熱膨脹材料基板102上。反射膜堆疊106的最底層可(或可不)物理接觸低熱膨脹材料基板102。導電層1104形成於低熱膨脹材料基板102的上表面中之方法,可為佈植摻質至溝槽114之底部的低熱膨脹材料基板102中。佈植製程可採用合適的光微影與佈植技術。可形成光阻於光罩40上,接著圖案化光阻以形成開口對應溝槽114。光阻可與蝕刻溝槽114所用之光阻相同。接著可將摻質佈植至低熱膨脹材料基板102的露出表面中。摻質可為元素如硼、磷、或類似物。在佈植後,低熱膨脹材料基板102的導電層1104具有足夠的導電度,可中和入射射線束LI造成的低熱膨脹材料基板102上的電位差異。
第12圖係一些其他實施例的光罩40。在第12圖所示的實施例中,省略導電膜堆疊104,而反射膜堆疊106形成於低熱膨脹材料基板102上。沿著溝槽114的側邊順應性地形成導電膜1204。導電膜1204的形成方法可為合適的光微影與沉積技術。可形成光阻於光罩40上,接著圖案化光阻以形成開口對應溝槽114。光阻可與蝕刻溝槽114所用之光阻相同。接著可沿著溝槽114之側邊與底部形成導電膜1204。接著可採用平坦化製程如化學機械研磨、研磨、或類似製程移除多餘的導電膜1204與光阻。導電膜1204之組成可為硼氮化鉭、硼氧化鉭、類似物、或上述之組合,且其形成方法可為沉積製程如化學氣相沉積、原子層沉積、電漿增強原子層沉積、物理氣相沉積、或類似製程。
第13圖係一些實施例中,製程系統1300的方塊圖。製程系統1300可安裝在主機裝置(比如微影系統10)中,且可用於進行此處所述的方法(比如調整照射器30、移動目標基板台62、以及移動承載光罩40的吸盤)。如圖所示,製程系統1300包含處理器1302、記憶體1304、與介面1306、1308、與1310,其可(或可不)依第13圖所示的方式設置。處理器1302可為適於進行計算及/或其他處理相關的工作的任何構件或構件集合。記憶體1304可為適於儲存執行處理器1302之程式及/或指令的任何構件或構件集合。在一實施例中,記憶體1304包含非暫態的計算機可讀媒體。介面1306、1308、與1310可為允許製程系統1300與其他裝置/構件及/或使用者交流的任何構件或構件集合。舉例來說,介面1306、1308、與1310之一或多者可 自處理器1302對安裝在主機裝置及/或遠端裝置上的應用傳輸資料、進行控制、或管理訊息。在另一例中,介面1306、1308、與1310之一或多者可讓使用者或使用者裝置(如個人電腦等等)與製程系統1300交流。製程系統1300可包含第13圖未示的額外構件,比如長效儲存器(如非揮發性記憶體等等)。
上述實施例可具有一些優點。形成一或多個導電層於溝槽114的底部,有助於中和光罩40之表面上的電位差。上述電位差來自於入射射線束LI的部份照射。比如來自於光罩40上的結構陰影。減少光罩40之表面上的電位差,可避免電弧,即減少反射膜堆疊106釋放產生的鉬粒子。這可改良轉移至目標60的設計佈局圖案之準確性。
在一實施例中,光罩包括:基板,位於第一導電層上,且基板之組成為低熱膨脹材料;第二導電層,位於第一導電層上;反射膜堆疊,位於基板上;蓋層,位於反射膜堆疊上;吸收層,位於蓋層上;以及抗反射層,位於吸收層上,其中抗反射層與吸收層具有多個開口於第一區中以露出蓋層,其中抗反射層、吸收層、蓋層、與反射膜堆疊具有溝槽於第二區中以露出第二導電層。
在一些實施例中,光罩更包括導電膜堆疊於基板與反射膜堆疊之間,導電膜堆疊包含多個導電層,且多個導電層包含第二導電層。在一些實施例中,反射膜的最底層物理接觸導電膜堆疊的最頂層。在一些實施例中,反射膜堆疊的最底層物理接觸基板。在一些實施例中,第二導電層為基板之上表面中的摻雜區,且摻雜區摻雜硼或磷。在一些實施例中,第二 導電層沿著基板的上表面,並沿著反射膜堆疊、蓋層、吸收層、與抗反射層的側邊。在一些實施例中,溝槽圍繞第一區。在一些實施例中,反射膜堆疊包含交替的第一材料與第二材料之層狀物,且第一材料的折射率高於第二材料的折射率。在一些實施例中,反射膜堆疊具有20對至80對的第一材料與第二材料,第一材料為矽,且第二材料為鉬。在一些實施例中,第二導電層與吸收層的材料相同。在一些實施例中,第二導電層包含硼或磷。
在一實施例中,光罩包含:第一導電層,位於第二導電層上;反射膜堆疊,位於第一導電層上,反射膜堆疊包含交替的第一材料與第二材料之層狀物,且第一材料的折射率高於第二材料的折射率;蓋層,位於反射膜堆疊上;吸收層,位於蓋層上;以及抗反射層,位於吸收層上,其中抗反射層與吸收層具有多個開口以露出蓋層,其中抗反射層、吸收層、蓋層、與反射膜堆疊具有溝槽以露出第一導電層並圍繞開口。
在一些實施例中,光罩更包括導電膜於第一導電層上,導電膜堆疊包括多個導電層,且導電層包括第一導電層,其中反射膜堆疊位於導電膜堆疊上。在一些實施例中,溝槽露出的部份第一導電層具有吸光性。
在一實施例中,方法包括:形成第一導電層於基板上;形成反射膜堆疊於基板上,反射膜堆疊包括交替的第一材料與第二材料之層狀物,且第一材料之折射率高於第二材料之折射率;沉積蓋層於反射膜堆疊上;沉積吸收層於蓋層上;沉積抗反射層於吸收層上;圖案化多個第一開口以延伸穿過抗 反射層與吸收層;以及圖案化溝槽以延伸穿過抗反射層、吸收層、蓋層、與反射膜堆疊,其中溝槽圍繞第一開口並露出第一導電層。
在一些實施例中,形成反射膜堆疊於基板上的步驟包括形成反射膜堆疊於第一導電層上。在一些實施例中,上述方法更包括形成光阻於抗反射層上;圖案化光阻,使其具有第二開口;採用圖案化的光阻作為蝕刻遮罩,蝕刻抗反射層、吸收層、蓋層、與反射膜堆疊以形成溝槽;採用圖案化光阻作為佈植遮罩,佈植摻質至基板中以形成第一導電層;以及移除圖案化光阻。在一些實施例中,方法更包括:形成光阻於抗反射層上;圖案化光阻,使其具有第二開口;採用圖案化光阻作為蝕刻遮罩,蝕刻抗反射層、吸收層、蓋層、與反射膜堆疊以形成溝槽;沉積第一導電層於光阻上及溝槽中;以及移除圖案化光阻與光阻上的部份第一導電層。在一些實施例中,溝槽露出的部份第一導電層為非反射性。在一些實施例中,方法更包括:將射線束朝反射膜堆疊投影,使射線束自反射膜堆疊反射至光敏材料上,且射線束具有的圖案對應第一開口的圖案。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (1)

  1. 一種光罩,包括:一基板,位於一第一導電層上,且該基板之組成為低熱膨脹材料;一第二導電層,位於該第一導電層上;一反射膜堆疊,位於該基板上;一蓋層,位於該反射膜堆疊上;一吸收層,位於該蓋層上;以及一抗反射層,位於該吸收層上;其中該抗反射層與該吸收層具有多個開口於一第一區中以露出該蓋層;其中該抗反射層、該吸收層、該蓋層、與該反射膜堆疊具有一溝槽於一第二區中以露出該第二導電層。
TW107109434A 2017-06-30 2018-03-20 光罩 TW201905966A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527808P 2017-06-30 2017-06-30
US62/527,808 2017-06-30
US15/816,393 2017-11-17
US15/816,393 US10481483B2 (en) 2017-06-30 2017-11-17 Lithography mask and method

Publications (1)

Publication Number Publication Date
TW201905966A true TW201905966A (zh) 2019-02-01

Family

ID=64738612

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107109434A TW201905966A (zh) 2017-06-30 2018-03-20 光罩

Country Status (3)

Country Link
US (2) US10481483B2 (zh)
CN (1) CN109212894A (zh)
TW (1) TW201905966A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762031B (zh) * 2020-09-02 2022-04-21 南韓商S&S技術股份有限公司 具有背側導體層之空白遮罩以及以其製造之光罩
TWI827922B (zh) * 2020-04-29 2024-01-01 美商應用材料股份有限公司 極紫外線遮罩素材及其製造方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11300885B2 (en) * 2018-07-25 2022-04-12 Intel Corporation EUV phase-shift SRAF masks by means of embedded phase shift layers
US11243461B2 (en) 2018-10-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Reflective mask and fabricating method thereof
US11480869B2 (en) * 2019-08-29 2022-10-25 Taiwan Semiconductor Manufacturing Company Ltd. Photomask with enhanced contamination control and method of forming the same
KR20210120512A (ko) 2020-03-27 2021-10-07 삼성전자주식회사 극자외선 노광 장치의 노광 마스크
KR102557093B1 (ko) 2022-03-22 2023-07-18 에스케이엔펄스 주식회사 극자외선용 블랭크마스크 및 극자외선용 포토마스크

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827922B (zh) * 2020-04-29 2024-01-01 美商應用材料股份有限公司 極紫外線遮罩素材及其製造方法
TWI762031B (zh) * 2020-09-02 2022-04-21 南韓商S&S技術股份有限公司 具有背側導體層之空白遮罩以及以其製造之光罩

Also Published As

Publication number Publication date
US20200004134A1 (en) 2020-01-02
US10481483B2 (en) 2019-11-19
CN109212894A (zh) 2019-01-15
US20190004416A1 (en) 2019-01-03
US10859902B2 (en) 2020-12-08

Similar Documents

Publication Publication Date Title
TW201905966A (zh) 光罩
TWI713114B (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
KR101485669B1 (ko) 극자외선 리소그래피 방법
TWI490633B (zh) 極紫外線光罩的形成方法
US20230259014A1 (en) Mask and method of forming the same
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US11022874B2 (en) Chromeless phase shift mask structure and process
US10685846B2 (en) Semiconductor integrated circuit fabrication with pattern-reversing process
CN1818791B (zh) 半导体制程中的微影***及其方法和用于其中的光罩
TWI570773B (zh) 微影系統中的微影製程、光罩與其產生方法
TWI820754B (zh) 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
US9829785B2 (en) Extreme ultraviolet lithography process and mask
TWI725917B (zh) 極紫外線微影遮罩和其製造方法
US20130260573A1 (en) Method of making a lithography mask
US9857679B2 (en) Lithography mask and fabricating the same
US20210335599A1 (en) Euv photomask and related methods
TW201821896A (zh) 微影光罩
US11086210B2 (en) Photomask, method of fabricating the same, and method of manufacturing semiconductor device using the same
JP2015141972A (ja) Euvマスクおよびeuvマスクの製造方法
US20200073224A1 (en) Mask and method for manufacturing the same and method for patterning a layer
US20230386838A1 (en) Euv photomask and related methods
KR20240116397A (ko) 고 na euv 노광의 스티칭 영역에서의 브라이트 필드 이미징 도입 방법 및 시스템