TW201904056A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW201904056A
TW201904056A TW107100709A TW107100709A TW201904056A TW 201904056 A TW201904056 A TW 201904056A TW 107100709 A TW107100709 A TW 107100709A TW 107100709 A TW107100709 A TW 107100709A TW 201904056 A TW201904056 A TW 201904056A
Authority
TW
Taiwan
Prior art keywords
region
pattern
source
channel
substrate
Prior art date
Application number
TW107100709A
Other languages
English (en)
Other versions
TWI770100B (zh
Inventor
權台純
李正允
池雅凜
閔庚石
成金重
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201904056A publication Critical patent/TW201904056A/zh
Application granted granted Critical
Publication of TWI770100B publication Critical patent/TWI770100B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

公開一種半導體裝置。半導體裝置包含基底、溝道半導體圖案、閘極電極、源區/汲區以及氣隙。溝道半導體圖案豎直地堆疊在基底上且彼此間隔開。閘極電極跨過溝道半導體圖案。源區/汲區位於閘極電極的相對側邊,源區/汲區連接到溝道半導體圖案。氣隙位於基底與源區/汲區的底部表面之間,以使得源區/汲區的底部表面不接觸基底。

Description

半導體裝置
本公開涉及一種半導體裝置,且特別是涉及一種包含場效應電晶體的半導體裝置。 [相關申請的交叉參考] 本專利申請主張於2017年4月12日提交的韓國專利申請第10-2017-0047542號的優先權,所述韓國專利申請的全部內容併入本專利申請供參考。
半導體裝置可以是電子產業中的重要因素,因為其尺寸小、功能多和/或製造成本低。半導體裝置可以歸類為存儲邏輯數據的半導體記憶體裝置、處理邏輯數據的操作的半導體邏輯裝置以及具有記憶體和邏輯元件兩者的混合式半導體裝置中的任一種。隨著電子產業的先進發展,越來越需要用於高度積集的半導體裝置。舉例來說,對於具有高可靠性、高速和/或多功能特性的半導體裝置的需求近年來在增加。為滿足這些需求,半導體裝置已逐漸變為複雜的以及高度積集的。
本公開的實施例提供一種半導體裝置,其包含具有增強的電特性的場效應電晶體。
根據本公開的示範性實施例,一種半導體裝置可包括:基底;溝道半導體圖案,豎直地堆疊在基底上且彼此間隔開;閘極電極,跨過溝道半導體圖案;源區/汲區,位於閘極電極的相對側邊,所述源區/汲區連接到溝道半導體圖案;氣隙,位於基底與源區/汲區的底部表面之間以使得所述源區/汲區的底部表面不接觸基底。
根據本公開的示範性實施例,一種半導體裝置可包括:主動圖案,從基底突出;溝道堆疊,位於主動圖案上;閘極電極,覆蓋溝道堆疊且穿過主動圖案;源區/汲區,位於閘極電極的相對側邊的主動圖案上;生長預防區,位於源區/汲區與源區/汲區下方的主動圖案之間。溝道堆疊可包括以豎直方式堆疊且彼此間隔開的溝道半導體圖案。在源區/汲區與生長預防區之間可設置有氣隙,以使得源區/汲區不接觸生長預防區。
根據本公開的示範性實施例,一種半導體裝置可包括:基底,具有在第一方向上延伸的主動圖案,所述主動圖案具有第一區域以及在第一區域的相對側邊的第二區域;溝道半導體圖案,豎直地堆疊在主動圖案的第一區域上且彼此間隔開;閘極結構,在垂直於第一方向的第二方向上延伸且跨過溝道半導體圖案;源區/汲區,位於主動圖案的第二區域上及閘極結構的相對側邊,所述源區/汲區連接到溝道半導體圖案;內部間隔件,設置在閘極結構的側壁上;氣隙,位於源區/汲區的底部表面與第二區域的頂部表面之間,以使得源區/汲區的底部表面不接觸第二區域的頂部表面。氣隙的頂部表面可由源區/汲區的底部表面定義,氣隙的底部表面可由第二區域的頂部表面定義,且空氣間隙的側壁可由內部間隔件的最下部內部間隔件定義。
現在將參考附圖更加完整地描述本公開,在所述附圖中繪示了本公開的示範性實施例。下文所描述的本公開的實施例可以被實施為實施例中的一個,且下文所描述的實施例還可以藉由組合實施例中的至少兩個來實施。因此,本公開的範圍不應被理解為受限於任何一個實施例。
可以使用例如“第一”、“第二”、“第三”等序數只是作為某些元件、步驟等的標注來將此類元件、步驟等彼此區分開。在說明書中沒有使用“第一”、“第二”等描述的術語在權利要求中仍然可以稱作“第一”或“第二”。另外,用特定序數(例如,特定權利要求中的“第一”)所提到的術語在其它處可以用不同的序數描述(例如,說明書或另一權利要求中的“第二”)。
可以在本文中使用例如“在...下方”、“低於”、“下部”、“在...上方”、“上部”及類似的空間相對術語,以便於描述例如圖式中所說明的位置關係。應理解,空間相對術語涵蓋除圖式中所描繪的定向以外的裝置的不同定向。
如本文中所使用的術語,例如“相同”、“相等”、“平坦”或“共平面”涵蓋包含例如由於製造製程而可能發生的變化的相同或近似相同。除非上下文或其它陳述另外指明,否則術語“大體上”可用于本文中以強調此含義。
圖1是用於說明根據本公開的示範性實施例的半導體裝置的平面視圖。圖2A是沿圖1的線I-I'截取的橫截面視圖,以及圖2B是沿圖2A的線II-II'和III-III'截取的橫截面視圖。圖3是對應於圖1的線III-III'的用於說明源區/汲區的實例的橫截面視圖。
如本文中所使用,半導體裝置可指代例如半導體晶片(例如,形成於裸片上的記憶體晶片和/或邏輯晶片)、半導體晶片的堆疊、包含堆疊在封裝基底上的一或多個半導體晶片的半導體封裝或包含多個封裝的層疊封裝裝置的裝置。這些裝置可以使用球柵陣列、引線鍵合、穿基底通孔或其它電連接元件形成,且可包含例如易失性或非易失性記憶體裝置的記憶體裝置。
參考圖1、圖2A以及圖2B,主動圖案AP可設置在基底100上。主動圖案AP可具有在第一方向D1上延伸的條形或線性形狀,且在與第一方向D1交叉(例如,垂直)的第二方向D2上排列成彼此間隔開。主動圖案AP可以具有在垂直於基底100的頂部表面的第三方向D3上突出的鰭形狀。主動圖案AP可以是基底100的部分。主動圖案AP中的每一個可包含將在下文進行論述的閘極結構GS下方的第一區域R1和所述閘極結構GS的一側邊或相對側邊處的第二區域R2。在一些實施例中,第一區域R1可具有在垂直於基底100的頂部表面的方向上與第二區域R2的頂部表面ts2處於相同的水平面處且共平面的頂部表面ts1,但本公開不限於此。在其它實施例中,第二區域R2的頂部表面ts2可低於第一區域R1的頂部表面ts1。基底100可為半導體基底。舉例來說,基底100可以是矽基底、鍺基底或矽鍺基底。
裝置隔離圖案104可設置在各主動圖案AP的一側或相對側邊處的基底100上。裝置隔離圖案104可包含例如氧化矽。裝置隔離圖案104可具有在垂直於基底100的頂部表面的方向上處於與主動圖案AP的頂部表面ts1和頂部表面ts2的相同的水平面且共平面的頂部表面,但本公開不限於此。在一些實施例中,第一襯裡(linear)圖案102a可插置在裝置隔離圖案104與基底100之間以及在裝置隔離圖案104與其相鄰的主動圖案AP之間。舉例來說,第一襯裡圖案102a可覆蓋相鄰主動圖案AP的相對側壁且在基底100與裝置隔離圖案104之間延伸。第一襯裡圖案102a可包含例如氮化矽或碳氮化矽等氮化物基材料。
溝道堆疊CS可以設置在主動圖案AP的第一區域R1上。溝道堆疊CS可以二維方式沿第一方向D1和第二方向D2佈置。溝道堆疊CS中的每一個可包含以豎直方式堆疊且彼此間隔開的多個溝道半導體圖案122。包含於單個溝道堆疊CS中的溝道半導體圖案122可以在第三方向D3上以彼此不同的距離與基底100(或主動圖案AP)間隔開。示出單個溝道堆疊CS包含三個堆疊的溝道半導體圖案122,但溝道半導體圖案122的數量並不尤其限於此。溝道半導體圖案122中的每一個可以以長方體奈米薄片形狀(rectangular parallelepiped nano-sheet shape)實施。舉例來說,溝道半導體圖案122中的每一個在垂直於基底100的頂部表面的方向上的厚度可為約3 nm到約20 nm。溝道半導體圖案122可以具有相同的厚度,但本公開不限於此。溝道半導體圖案122可包含可以用作場效應電晶體的溝道區的半導體材料。舉例來說,溝道半導體圖案122可包含Si、SiGe或Ge。溝道半導體圖案122可以摻雜有n型或p型雜質。
可在基底100上設置沿第一方向D1排列的閘極結構GS。閘極結構GS中的每一個可覆蓋至少一個溝道堆疊CS且在第二方向D2上延伸。舉例來說,閘極結構GS中的每一個可在第二方向D2上延伸,同時覆蓋沿第二方向D2排列的多個溝道堆疊CS。
詳細地說,閘極結構GS中的每一個可包含閘極電極GE、閘極介電圖案GD以及閘極頂蓋圖案GP。閘極電極GE可在第二方向D2上延伸且覆蓋溝道堆疊CS的頂部表面(或最上部溝道半導體圖案122的頂部表面)。閘極介電圖案GD可沿閘極電極GE的側壁和底部表面延伸。閘極頂蓋圖案GP可覆蓋閘極電極GE和閘極介電圖案GD。閘極頂蓋圖案GP可具有與閘極介電圖案GD的頂部表面和閘極電極GE的頂部表面接觸的底部表面。舉例來說,在一些實施例中,閘極頂蓋圖案GP的最下部表面、閘極介電圖案GD的最上部表面以及閘極電極GE的最上部表面共平面且在垂直於基底100的頂部表面的方向上處於相同水平面。閘極電極GE和閘極介電圖案GD可填充以豎直方式彼此相鄰的溝道半導體圖案122之間的間隙以及最下部溝道半導體圖案122與基底100(即,主動圖案AP的第一區域R1)之間的間隙。舉例來說,閘極電極GE和閘極介電圖案GD可環繞溝道半導體圖案122中的每一個的外部表面。舉例來說,溝道半導體圖案122中的每一個可穿過閘極結構GS且具有從閘極結構GS的相對側壁突出的相對端部。舉例來說,溝道堆疊CS和閘極結構GS可定義電晶體,所述電晶體為包含溝道區的全環閘極型場效應電晶體(gate-all-around type field effect transistor),所述溝道區的外部表面被閘極電極GE環繞。
閘極電極GE可包含摻雜半導體、導電金屬氮化物和/或金屬。舉例來說,閘極電極GE可包含例如TiN、WN以及TaN等金屬氮化物和/或例如Ti、W以及Ta等金屬。閘極介電圖案GD可包含氧化矽層、氮化矽層、氮氧化矽層以及高介電常數介電層中的一個或多個。高介電常數介電層可包含例如氧化鉿(hafnium oxide;HfO)、氧化鋁(aluminum oxide;AlO)或氧化鉭(tantalum oxide;TaO)等介電常數大於氧化矽層的介電常數的材料。閘極頂蓋圖案GP可包含氧化矽層、氮化矽層以及氮氧化矽層中的一個或多個。
在一些實施例中,閘極電極GE可具有大體上垂直於基底100的頂部表面的上部側壁和具有凹形斜坡的下部側壁。舉例來說,如圖2A中所繪示,在最下部溝道半導體圖案122上方的閘極電極GE可具有大體上均勻的寬度,而最下部溝道半導體圖案122下方的閘極電極GE可具有隨著接近基底100而增加的寬度。舉例來說,如圖2A中所說明,最下部溝道半導體圖案122下方的閘極電極GE的最上部表面a1在沿平行於基底100的頂部表面的方向延伸的長度小於最下部溝道半導體圖案122下方的閘極電極GE的最下部表面a2沿平行於基底100的頂部表面的方向延伸的長度。
源區/汲區SD可設置在閘極結構GS的相對側邊處的主動圖案AP上。舉例來說,源區/汲區SD可設置在主動圖案AP的第二區域R2上。一對相鄰的源區/汲區SD可連接到插置其間的溝道堆疊CS。舉例來說,包含於單個溝道堆疊CS中的溝道半導體圖案122可使一對相鄰的源區/汲區SD彼此連接。源區/汲區SD可為從充當晶種層的溝道半導體圖案122生長的磊晶圖案。
源區/汲區SD可向溝道半導體圖案122提供應變。當源區/汲區SD構成NMOSFET時,源區/汲區SD可包含向溝道半導體圖案122提供拉伸應變的半導體材料。舉例來說,當溝道半導體圖案122包含Si時,源區/汲區SD可各自包含晶格常數小於Si或Si層的晶格常數的SiC層,所述Si或Si層的晶格常數大體上與溝道半導體圖案122的晶格常數相同。在此示範性實施例中,源區/汲區SD可具有例如N型導電率。當源區/汲區SD構成PMOSFET時,源區/汲區SD可包含向溝道半導體圖案122提供壓縮應變的材料。舉例來說,當溝道半導體圖案122包含Si時,源區/汲區SD可各自包含晶格常數大於Si的晶格常數的SiGe層。在此示範性實施例中,源區/汲區SD可具有例如P型導電率。
當在沿第二方向D2截取的橫截面觀察時,源區/汲區SD可具有側壁,所述側壁具有側向尖銳的楔形形狀。舉例來說,源區/汲區SD可具有側壁,所述側壁中的每一個包含大體上向基底100的頂部表面反向傾斜的(negatively inclined)下部側壁以及大體上向基底100的頂部表面正向傾斜的(positively inclined)上部側壁。源區/汲區SD可具有以凸面形式朝基底100彎曲的底部表面。在一些實施例中,在相鄰源區/汲區SD的相對側壁之間可設置有間隙。然而,本公開的實施例並不限於此。在其它實施例中,如圖3所繪示,可在相鄰源區/汲區SD的相對側壁之間進行連接。在此示範性實施例中,相鄰源區/汲區SD的經連接相對側壁可以在其下方設置彼此空間連接的空的空間或氣隙AG。於此所論述的術語“氣”可指代在製造製程期間可存在的常壓空氣或其它氣體。
根據本公開,生長預防區148可設置在源區/汲區SD的底部表面下方。在一些實施例中,生長預防區148可以是在源區/汲區SD的底部表面下方的主動圖案AP中形成的雜質區域。生長預防區148可以是包含碳(C)、氧(O)、砷(As)以及氮(N)中的一種或多種的基底100的部分。生長預防區148可以在執行磊晶生長製程形成源區/汲區SD時防止磊晶層以主動圖案AP作為晶種層從主動圖案AP生長。因此,源區/汲區SD可與其下方的主動圖案AP間隔開。因此,在源區/汲區SD的底部表面與第二區域R2的頂部表面ts2之間不會產生接觸。舉例來說,源區/汲區SD的底部表面可以低於最下部溝道半導體圖案122的底部表面且高於第二區域R2的頂部表面ts2。另外,氣隙AG可以設置在源區/汲區SD的底部表面與第二區域R2的頂部表面ts2之間,以使得源區/汲區SD的底部表面不會接觸第二區域R2的頂部表面ts2。因此,由於這些氣隙AG的存在,源區/汲區SD的底部表面不接觸基底100,從而防止或最小化源區/汲區SD與基底100之間的電流洩漏。氣隙AG可以是其中沒有提供固體物質的大體上空的空間。舉例來說,氣隙AG可具有由源區/汲區SD的底部表面定義的頂部表面、由第二區域R2的頂部表面ts2定義的底部表面以及由下文論述的最下部內部間隔件146和下部層間介電層150定義的側壁。
閘極間隔件142可以設置在閘極電極GE的任一側壁上。閘極間隔件142可以沿著閘極電極GE的側壁在第二方向D2上延伸。閘極間隔件142可為單層或多層。舉例來說,閘極間隔件142可包含氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。第二襯裡圖案102c可以設置在閘極間隔件142與最上部溝道半導體圖案122之間。第二襯裡圖案102c可以沿著閘極間隔件142的底部表面在第二方向D2上延伸。第二襯裡圖案102c可包含與第一襯裡圖案102a的材料相同的材料。
在溝道堆疊CS與閘極結構GS交疊的區域上,內部間隙146可以設置在閘極間隔件142下方的閘極結構GS的側壁上。當豎直觀察時,內部間隔件146可以局部地設置在彼此相鄰的溝道半導體圖案122之間以及在最下部溝道半導體圖案122與主動圖案AP之間。當水平觀察時,位於比最下部溝道半導體圖案122更高水平位置處的內部間隔件146可以局部設置在源區/汲區SD與閘極結構GS的相對側壁之間,且最下部內部間隔件146可定義氣隙AG的側壁。內部間隔件146可與閘極介電圖案GD接觸。內部間隔件146中的每一個可包含氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。在一些實施例中,內部間隔件146可包含與閘極間隔件142的材料相同的材料。
可以在基底100上設置覆蓋源區/汲區SD和閘極結構GS的側壁的下部層間介電層150。下部層間介電層150可暴露閘極結構GS的頂部表面。舉例來說,下部層間介電層150的頂部表面的高度可大體上與閘極頂蓋圖案GP的頂部表面的高度相同。下部層間介電層150可包含氧化矽層和低介電常數介電層中的一個或多個,所述低介電常數介電層的介電常數小於氧化矽層的介電常數。上部層間介電層(未示出)可設置在下部層間介電層150上。接觸塞(未示出)可穿過上部層間介電層、下部層間介電層150和/或閘極頂蓋圖案GP,且耦合到閘極電極GE或源區/汲區SD。上部層間介電層上可設置連接到接觸塞的導線(未示出)。
根據本公開的示範性實施例,由於源區/汲區SD與其下方基底100(即,主動圖案AP)間隔開,因此可以防止或最小化源區/汲區SD與基底100之間的電流洩漏。另外,由於源區/汲區SD被實施為僅從充當晶種層的溝道半導體圖案122生長的磊晶圖案,所以與傳統的源區/汲區SD相比,可向溝道半導體圖案122提供增加的應變,所述傳統的源區/汲區被實施為從充當晶種層的基底100和溝道半導體圖案122兩者生長的磊晶圖案。因此,根據本公開的半導體裝置可具有增強的電特性。
圖4A、圖5A、圖6A、圖7A、圖8A、圖9A、圖10A以及圖11A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。圖4B、圖5B、圖6B、圖7B、圖8B、圖9B、圖10B以及圖11B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。為描述的簡潔起見,將省略重複的描述。
參考圖1、圖4A以及圖4B,犧牲半導體層110和溝道半導體層120可以交替重複的堆疊在基底100上。犧牲半導體層110和溝道半導體層120各自被示出為重複的堆疊三次,但本公開不限於此。舉例來說,犧牲半導體層110可包含對溝道半導體層120具有蝕刻選擇性的材料。舉例來說,犧牲半導體層110可由以下材料形成:所述材料在犧牲半導體層120由使用預定蝕刻方案的蝕刻製程蝕刻時,可使犧牲半導體層110能夠被蝕刻的同時將溝道半導體層110的蝕刻減到最小。此蝕刻選擇性可以定量表示為犧牲半導體層110的蝕刻速率與溝道半導體層120的蝕刻速率的比率。在一些實施例中,犧牲半導體層110可包含相對於溝道半導體層120展現為約1:10到約1:200的蝕刻選擇性的材料中的一種。舉例來說,犧牲半導體層110可以是SiGe、Si以及Ge中的一種,且溝道半導體層120可以是SiGe、Si以及Ge中的另一種,所述另一種不是犧牲半導體層110的材料組合物。
犧牲半導體層110和溝道半導體層120可藉由將基底100用作晶種層的磊晶生長製程來形成。舉例來說,磊晶生長製程可以是化學氣相沉積(chemical vapor deposition;CVD)製程或分子束磊晶(molecular beam epitaxy;MBE)製程。犧牲半導體層110和溝道半導體層120可以依次在同一反應室中形成。犧牲半導體層110和溝道半導體層120可以共形地生長在基底100的整個表面上,而不選擇性地生長在基底100上。犧牲半導體層110和溝道半導體層120可被形成為具有大體上相同的厚度,但本公開不限於此。
參考圖1、圖5A以及圖5B,犧牲半導體層110以及溝道半導體層120可經圖案化以形成初步溝道堆疊pCS。初步溝道堆疊pCS可具有在第一方向D1上延伸的線性或條形形狀且在第二方向D2上彼此間隔開。初步溝道堆疊pCS中的每一個可包含由犧牲半導體層110形成的初步犧牲半導體圖案111和由溝道半導體層120形成的初步溝道半導體圖案121。在一些實施例中,犧牲半導體層110和溝道半導體層120的圖案化可以採用使用掩模圖案(未示出)的非等向性蝕刻製程。當執行非等向性蝕刻製程時,基底100的上部部分也可被蝕刻,以形成定義主動圖案AP的溝槽101。主動圖案AP可形成於初步溝道堆疊pCS下方且在第一方向D1上延伸。
可形成襯裡層102於基底100上。襯裡層102可以共形地覆蓋溝槽101的內表面以及初步溝道堆疊pCS的頂部表面和側壁。襯裡層102可由氮化物基材料形成。舉例來說,襯裡層102可包含氮化矽或碳氮化矽。襯裡層102可以藉由原子層沉積(atomic layer deposition;ALD)、低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)、電漿增強式化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)或電漿氮化(plasma nitridation)來形成。襯裡層102可以防止主動圖案AP和初步溝道堆疊pCS在後續製程中的損壞。可形成裝置隔離圖案104於基底100上。裝置隔離圖案104可以藉由以下方式來形成:形成填充溝槽101和覆蓋初步溝道堆疊pCS的裝置隔離層,接著平坦化和/或蝕刻裝置隔離層,以暴露出初步溝道堆疊pCS。裝置隔離層可包含氧化矽。
參考圖1、圖6A以及圖6B,可形成犧牲閘極結構130於基底100上,以覆蓋初步溝道堆疊pCS且跨過主動圖案AP。犧牲閘極結構130可沿著第一方向D1設置,且在第二方向D2上延伸,同時覆蓋初步溝道堆疊pCS的頂部表面和側壁。犧牲閘極結構130中的每一個可包含依序堆疊的蝕刻停止圖案132、犧牲閘極圖案134以及閘極掩模圖案136。
在一些實施例中,犧牲閘極結構130可以藉由以下方式來形成:依序形成覆蓋初步溝道堆疊pCS的蝕刻停止層、犧牲閘極層以及閘極掩模層,且接著對蝕刻停止層、犧牲閘極層以及閘極掩模層進行圖案化。蝕刻停止層可包含例如氧化矽。犧牲閘極層可包含對蝕刻停止層具有蝕刻選擇性的材料。舉例來說,犧牲閘極層可包含多晶矽。閘極掩模層可包含氮化矽或氮氧化矽。蝕刻停止層、犧牲閘極層以及閘極掩模層可藉由化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition;PVD)或原子層沉積(ALD)形成。犧牲閘極結構130可被形成為跨過主動圖案AP,從而在主動圖案AP中定義第一區域R1和第二區域R2。第一區域R1可為位於犧牲結構130下方的主動圖案AP的一部分,且第二區域R2可為位於犧牲閘極結構130的相對側邊且由第一區域R1水平分隔開的主動圖案AP的其它部分。
可形成閘極間隔層140於基底100的整個表面上。閘極間隔層140可以共形地覆蓋犧牲閘極結構130和位於每一閘極結構130的一側或相對側邊的初步溝道堆疊pCS。閘極間隔層140可被形成為具有單層或多層。舉例來說,閘極間隔層140可包含氧化矽層、氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。閘極間隔層140可藉由例如CVD或ALD等沉積製程形成。
參考圖1、圖7A和圖7B,可對位於每一犧牲閘極結構130的側邊或相對側邊的初步溝道堆疊pCS執行移除。舉例來說,初步溝道堆疊pCS可以從主動圖案AP的第二區域R部分移除。初步溝道堆疊pCS的移除可使用非等向性蝕刻製程來執行。在一些實施例中,非等向性蝕刻製程可持續直到暴露出第二區域R2的頂部表面ts2。由此,初步溝道堆疊pCS中的每一個可在第一方向D1上分開,以形成沿著第一方向D1和第二方向D2佈置的溝道堆疊CS。第一凹進區RS1也可形成於在第一方向D1上彼此相鄰的溝道堆疊CS之間。第一凹進區RS1中的每一個可具有U形橫截面輪廓。舉例來說,當在沿著第一方向D1截取的橫截面中觀察時,第一凹進區RS1可包含寬度均勻的上部部分UP和隨著接近基底100寬度減小的下部部分LP。舉例來說,溝道堆疊CS中的每一個可具有寬度均勻的上部部分和隨著接近基底100寬度增大的下部部分。在一些實施例中,第一凹進區RS1可具有在垂直於基底100的頂部表面的方向上與主動圖案AP的第一區域R1的頂部表面ts1處於相同水平面且共平面的底部表面,但本公開不限於此。溝道堆疊CS中的每一個可包含由初步犧牲半導體圖案111形成的犧牲半導體圖案112和由初步溝道半導體圖案121形成的溝道半導體圖案122。
當初步溝道堆疊pCS被移除時,閘極間隔層140也可被部分移除,以在犧牲閘極結構130的側壁上形成閘極間隔件142。另外,襯裡層102可經部分移除以形成第一襯裡圖案102a和初步第二襯裡圖案102b。第一襯裡圖案102a可以是襯裡層102的餘留在溝槽101中的部分,且初步第二襯裡圖案102b可以是襯裡層102的餘留在犧牲閘極結構130與溝道堆疊CS之間、閘極間隔件142與溝道堆疊CS之間以及犧牲閘極結構130與裝置隔離圖案104之間的其它部分。
參考圖1、圖8A和圖8B,部分犧牲半導體圖案112可被水平地移除,以在以豎直方式彼此鄰接的溝道半導體圖案122之間以及主動圖案AP與最下部溝道半導體圖案122之間形成第二凹進區RS2。第二凹進區RS2可以藉由執行蝕刻製程來形成,所述蝕刻製程使用對犧牲半導體圖案112具有蝕刻選擇性的蝕刻劑。舉例來說,當溝道半導體圖案122包含Si且犧牲半導體圖案112包含SiGe時,蝕刻製程可以使用包含過氧乙酸(peracetic acid)的蝕刻劑。
參考圖1、圖9A以及圖9B,可形成內部間隔件146以填充第二凹進區RS2。在一些實施例中,內部間隔件146可以藉由形成填充第二凹進區RS2且覆蓋犧牲閘極結構130的內部間隔層且接著在基底100的整個表面上執行蝕刻製程(例如,回蝕刻)來形成。因此,內部間隙146可以由局部餘留在第二凹進區RS2中的內部間隔層形成。舉例來說,內部間隔層可包含氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。在一些實施例中,內部間隔層可由與閘極間隔層140的材料相同的材料形成。
可形成生長預防區148於主動圖案AP的第二區域R2的上部部分中。舉例來說,生長預防區148可藉由用包含碳(C)、氧(O)、砷(As)以及氮(N)中的一種或多種的雜質對第二區域R2進行摻雜來形成。可採用離子注入製程執行雜質摻雜。當執行磊晶生長製程形成下文將論述的源區/汲區SD時,生長預防區148可以防止以第一凹進區RS1暴露出的主動圖案AP作為晶種層從主動圖案AP生長磊晶層。在一些實施例中,生長預防區148的形成可以在內部間隔件146的形成之前,但本公開並不限於此。在其它實施例中,生長預防區148的形成可以在內部間隔件146的形成之後。
參考圖1、圖10A以及圖10B,可形成源區/汲區SD於主動圖案AP的第二凹進區域R2上。源區/汲區SD可以使用選擇性磊晶生長製程來形成,所述選擇性磊晶生長製程使用被第一凹進區RS1暴露出的溝道半導體圖案122作為晶種層。由於在執行選擇性磊晶生長製程時,生長預防區148形成在主動圖案AP中,因此磊晶層在被第一凹進區RS1暴露出的第二區域R2的頂部表面ts2上的生長可以被抑制。因此,源區/汲區SD可與其下方的第二區域R2間隔開。從在第一方向D1上彼此相鄰的溝道堆疊CS生長的磊晶層可以合併在一起以填充第一凹進區RS1的上部部分(參看圖9A和圖9B的UP)。在一些實施例中,源區/汲區SD可突出至溝道堆疊CS的頂部表面上。
源區/汲區SD可由向溝道半導體圖案122提供拉伸和壓縮應變中的一種的半導體材料形成。舉例來說,當溝道半導體圖案122包含Si時,源區/汲區SD可包含SiC、Si或SiGe。源區/汲區SD可以在選擇性磊晶生長製程的同時或之後被摻雜以N型或P型雜質。
參考圖1、圖11A以及圖11B,可形成下部層間介電層150於基底100上。下部層間介電層150可被形成為覆蓋源區/汲區SD和犧牲閘極圖案130。舉例來說,下部層間介電層150可由氧化矽層和低介電常數介電層中的一個或多個形成,所述低介電常數介電層的介電常數小於氧化矽層的介電常數。當形成下部層間介電層150時,可在源區/汲區SD的底部表面與第二區域R2的頂部表面ts2之間形成氣隙AG,以使得源區/汲區SD不接觸第二區域R2或基底100的頂部表面ts2。下部層間介電層150可以定義氣隙AG的側壁。
可對下部層間介電層150執行平坦化製程直到暴露出犧牲閘極圖案134的頂部表面。平坦化製程可包含回蝕刻製程或化學機械拋光(chemical mechanical polishing;CMP)製程。當將下部層間介電層150平坦化時,還可移除閘極掩模圖案136。可執行選擇性移除以移除藉由平坦化製程暴露出的犧牲閘極圖案134。犧牲閘極圖案134下方的蝕刻停止圖案132和初步第二襯裡圖案102b可與犧牲閘極圖案134的移除同時或分別移除。因此,可形成閘極區155以暴露出閘極間隔件142之間的溝道堆疊CS。舉例來說,閘極區155中的每一個可以暴露出犧牲半導體圖案112和溝道半導體圖案122。初步第二襯裡圖案102b可經圖案化以形成設置在閘極間隔件142下方的第二襯裡圖案102c。第二襯裡圖案102c可以設置在閘極間隔件142與最上部溝道半導體圖案122之間,且沿著閘極間隔件142的底部表面在第二方向D2上延伸。
可執行選擇性蝕刻製程,以移除被閘極區155暴露出的犧牲半導體圖案112。舉例來說,當犧牲半導體圖案122包含SiGe且溝道半導體圖案112包含Si時,可使用包含過氧乙酸的蝕刻劑來執行選擇性蝕刻製程。蝕刻劑可更包含HF溶液和去離子水。當犧牲半導體圖案112被選擇性移除時,源區/汲區SD可以被內部間隔件146和下部層間介電層150保護。因此,閘極區155可以在犧牲半導體圖案112被移除處延伸空的空間,且溝道堆疊CS可以僅由以豎直方式堆疊且彼此間隔開的溝道半導體圖案122構成。
返回參考圖2A和圖2B,可形成閘極介電圖案GD和閘極電極GE于閘極區155中。詳細地說,可依序形成閘極介電層和閘極導電層于閘極區155中,且接著可執行平坦化製程以在閘極區155中形成初步閘極介電圖案和初步閘極電極。初步閘極介電圖案和初步閘極電極可填充閘極區155且被形成為環繞溝道半導體圖案122的外表面。閘極介電層可由氧化矽層、氮氧化矽層以及高介電常數介電層中的一個或多個形成,所述高介電常數介電層的介電常數大於氧化矽層的介電常數。閘極導電層可由摻雜半導體、導電金屬氮化物以及金屬中的一種或多種形成。
初步閘極介電圖案和初步閘極電極可部分凹陷以形成閘極介電圖案GD和閘極電極GE,且閘極頂蓋圖案GP可被形成為位於閘極介電圖案GD和閘極電極GE上且填充閘極區155的其餘部分。舉例來說,閘極頂蓋圖案GP可由氧化矽層、氮化矽層以及氮氧化矽層中的一個或多個形成。
可形成上部層間介電層(未示出)於下部層間介電層150上。可形成接觸塞(未示出)以穿過上部層間介電層、下部層間介電層150和/或閘極頂蓋圖案GP,且耦合到閘極電極GE或源區/汲區SD。可形成導線(未示出)於上部層間介電層上且耦合到接觸塞。因此,可製造圖2A和圖2B中所繪示的半導體裝置。
圖12A是沿圖1的線I-I'截取的用於說明根據本公開的示範性實施例的半導體裝置的橫截面視圖。圖12B是沿圖1的線II-II'和III-III'截取的橫截面視圖。為描述的簡單起見,將忽略重複的詳細說明且將主要在下文論述與圖2A和圖2B中的實施例的差異。
參考圖1、圖12A以及圖12B,主動圖案AP的第二區域R2可在其上部部分上凹陷。舉例來說,第二區域R2的頂部表面ts2可低於第一區域R1的頂部表面ts1且高於裝置隔離圖案104的底部表面。第二區域R2的頂部表面ts2可以凹面形式朝基底100凹陷。氣隙AG的底部表面可由第二區域R2的頂部表面ts2定義。生長預防區148可設置在凹陷的第二區域R2內。舉例來說,生長預防區148可以是藉由用包含碳(C)、氧(O)、砷(As)以及氮(N)中的一種或多種的雜質對凹陷的第二區域R2進行摻雜所形成的雜質區域。如在橫截面中觀察到,生長預防區148中的每一個可具有環繞第二區域R2的頂部表面ts2的“U”形狀。源區/汲區SD可以設置在凹陷的第二區域R2上,且與凹陷的第二區域R2的頂部表面ts2間隔開。氣隙AG可以設置在源區/汲區SD的底部表面與凹陷的第二區域R2的頂部表面ts2之間,以使得源區/汲區SD不接觸生長預防區148。
如圖12A中所繪示,閘極電極GE可具有大體上垂直於基底100的頂部表面的側壁。舉例來說,如在沿著第一方向D1截取的橫截面中觀察,閘極電極GE可具有大體上均勻的寬度。閘極電極GE的均勻寬度可使得半導體裝置具有改善的電特性分佈。其它配置與參考圖2A和圖2B所論述的配置大體上相同或類似。與圖3的實施例有關的論述還可以適用於當前實施例。
圖13A和圖14A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。圖13B和圖14B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。為描述的簡潔起見,將省略重複的描述。
參考圖1、圖13A以及圖13B,可對圖6A和圖6B的所得結構執行非等向性蝕刻製程,以使得初步溝道堆疊pCS可從每一犧牲閘極結構130的側邊或相對側邊移除。在一些實施例中,非等向性蝕刻製程可以持續直到第二區域R2的上部部分凹陷到預定深度。因此,初步溝道堆疊pCS中的每一個可在第一方向D1上被分開以形成沿著第一方向D1和第二方向D2佈置的溝道堆疊CS。第一凹進區RS1可形成於在第一方向D1上彼此相鄰的溝道堆疊CS之間,且延伸到第二區域R2中。舉例來說,第一凹進區RS1可具有低於第一區域R1的頂部表面ts1的底部表面。第一凹進區RS1中的每一個可具有U形橫截面輪廓。舉例來說,當在沿著第一方向D1截取的橫截面中觀察時,第一凹進區RS1可包含寬度均勻的上部部分UP和隨著接近基底100寬度減小的下部部分LP。第一凹進區RS1的上部部分UP可由在第一方向D1上彼此相鄰的溝道堆疊CS的相對側壁定義,且第一凹進區RS1的下部部分LP可由凹陷的第二區域R2的頂部表面ts2定義。因此,溝道堆疊CS中的每一個可被形成為具有均勻的寬度,且因此閘極結構GS的閘極電極GE也可在後續製程中被形成為具有均勻的寬度。
當初步溝道堆疊pCS凹陷時,閘極間隔層140也可被部分移除以在犧牲閘極結構130的側壁上形成閘極間隔件142。另外,襯裡層102可經部分移除以形成第一襯裡圖案102a和初步第二襯裡圖案102b。
參考圖1、圖14A以及圖14B,內部間隔件146可局部形成於彼此豎直相鄰的溝道半導體圖案122之間以及最下部溝道半導體圖案122與主動圖案AP之間。生長預防區148可以藉由用包含碳(C)、氧(O)、砷(As)以及氮(N)中的一種或多種的雜質對第二區域R2的上部部分進行摻雜來形成。內部間隔件146和生長預防區148的形成可以與參考圖8和圖9所論述的形成相同或類似。
源區/汲區SD可形成於第二區域R2上且與第二區域R2間隔開。源區/汲區SD可以使用將溝道半導體圖案122用作晶種層的磊晶生長製程來形成。在執行選擇性生長製程時,生長預防區148可以防止磊晶層以第二區域R2作為晶種層從第二區域R2生長。從在第一方向D1上彼此相鄰的溝道堆疊CS生長的磊晶層可以合併在一起以填充第一凹進區RS1的上部部分(參看圖13A和圖13B的UP)。在一些實施例中,源區/汲區SD可以突出至溝道堆疊CS的頂部表面上方。源區/汲區SD可包含與參考圖10A和圖10B所論述的材料相同的材料。
此後,可執行與參考圖11A和圖11B所論述的製程和參考圖2A和圖2B所論述的製程相同或類似的製程來製造如圖12A和圖12B中所繪示的半導體裝置。
圖15A是沿圖1的線I-I'截取的用於說明根據本公開的示範性實施例的半導體裝置的橫截面視圖。圖15B是沿圖1的線II-II'和III-III'截取的橫截面視圖。為描述的簡單起見,將省略重複的詳細說明且將主要在下文論述與圖2A和圖2B中的實施例及圖12A和圖12B中的實施例的差異。
參考圖1、圖15A以及圖15B,第二區域R2可在其上部部分上凹陷,且生長預防區148a可設置在凹陷的第二區域R2的頂部表面ts2上。在一些實施例中,生長預防區148a可以是設置在凹陷的第二區域R2的頂部表面ts2上的絕緣層。生長預防區148a可包含與內部間隔件146的材料相同的材料。舉例來說,生長預防區148a可包含氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。在一些實施例中,生長預防區148a中的每一個可以設置在最下部溝道半導體圖案122的下方且連接到在第一方向D1上彼此相鄰的內部間隔件146。與前述實施例中所論述的生長預防區148類似,在執行磊晶生長製程形成源區/汲區SD時,生長預防區148a可以防止磊晶層以第二區域R2作為晶種層從第二區域R2生長。源區/汲區SD可以設置在凹陷的第二區域R2上,且與生長預防區148a的頂部表面間隔開。氣隙AG可以設置在源區/汲區SD的底部表面與生長預防區148a的頂部表面之間,以使得源區/汲區不接觸生長預防區148。其它配置與參考圖12A和圖12B所論述的配置大體上相同或類似。與圖3的實施例有關的論述也可以適用於當前實施例。
圖16A、圖17A以及圖18A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。圖16B、圖17B以及圖18B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。為描述的簡潔起見,將省略重複的描述。
參考圖1、圖16A以及圖16B,可形成內部間隔層144於圖8A和圖8B的所得結構上。內部間隔層144可以填充第二凹進區RS2且覆蓋犧牲閘極結構130。在一些實施例中,由於第一凹進區RS1的下部部分LP被形成為具有隨著接近基底100而減小的寬度,內部間隔層144在第一凹進區RS1的下部部分LP中的厚度可大於在任何其它元件上的厚度。舉例來說,內部間隔層144在第一凹進區RS1的底部表面上可具有第一厚度t1,在犧牲閘極結構130的頂部表面上具有第二厚度t2,且在裝置隔離圖案104的頂部表面上具有第三厚度t3。在一些實施例中,第一厚度t1可大於第二厚度t2和/或第三厚度t3。在一些實施例中,第二厚度t2和第三厚度t3可具有相同的厚度,且在一些實施例中,第二厚度t2和第三厚度t3可具有不同的厚度。內部間隔層144可包含氮化矽層、氮氧化矽層以及碳氮化矽層中的一個或多個。
參考圖1、圖17A以及圖17B,可在基底100的整個表面上執行蝕刻製程(例如,回蝕刻)。可執行蝕刻製程直到暴露出犧牲閘極結構130的頂部表面和側壁以及裝置隔離圖案104的頂部表面。因此,部分內部間隔層144可以局部餘留在第二凹進區RS2中,以形成內部間隔件146。另外,內部間隔層144的其它部分可以餘留在第一凹進區RS1的下部部分LP中,以形成生長預防區148a。在一些實施例中,生長預防區148a中的每一個可被形成為設置在最下部溝道半導體圖案122的下方且連接到在第一方向D1上彼此相鄰的內部間隔件146。
參考圖1、圖18A以及圖18B,源區/汲區SD可形成於第二區域R2上且與第二區域R2間隔開。源區/汲區SD可以使用將溝道半導體圖案122用作晶種層的磊晶生長製程來形成。在執行選擇性生長製程時,生長預防區148a可以防止磊晶層以第二區域R2作為晶種層從第二區域R2生長。從在第一方向D1上彼此相鄰的溝道堆疊CS生長的磊晶層可以合併在一起以填充第一凹進區RS1的上部部分(參看圖13A和圖13B的UP)。在一些實施例中,源區/汲區SD可以突出至溝道堆疊CS的頂部表面上方。此後,可執行與參考圖11A和圖11B所論述的製程和參考圖2A和圖2B所論述的製程相同或類似的製程來製造如圖15A和圖15B中所繪示的半導體裝置。
圖19是示出根據本公開的示範性實施例的半導體裝置的製造方法的流程圖。
在步驟S1901中,提供例如是晶圓W的基底。基底可以是根據如上文所揭露的示範性實施例的基底100。基底100可包含主動圖案AP。主動圖案AP可以具有在第一方向D1上延伸的條形或線性形狀,且在與第一方向D1交叉(例如,垂直)的第二方向D2上排列成彼此間隔開。主動圖案AP可以具有在垂直於基底100的頂部表面的第三方向D3上突出的鰭形狀。主動圖案AP可以是基底100的部分。
在步驟S1903中,溝道半導體圖案可形成於基底100上。溝道半導體圖案可以是根據如上文所揭露的示範性實施例的溝道半導體圖案122。溝道半導體圖案122以豎直方式堆疊在基底100上且彼此間隔開。在一些實施例中,包含在單個溝道堆疊CS中的溝道半導體圖案122可在第三方向D3上以彼此不同的距離與基底100(或主動圖案AP)間隔開。溝道半導體圖案122中的每一個可以長方體奈米薄片形狀實施。
在步驟S1905中,閘極電極GE可在與第一方向D1交叉的第二方向D2上形成在每一主動圖案AP中的第一區域R1上且跨過溝道半導體圖案122。閘極電極GE可在第二方向D2上延伸且覆蓋最上部溝道半導體圖案122的頂部表面。閘極電極GE可包含摻雜的半導體、導電金屬氮化物和/或金屬。舉例來說,閘極電極GE可包含例如TiN、WN以及TaN等金屬氮化物和/或例如Ti、W以及Ta等金屬。閘極電極GE可具有大體上垂直於基底100的頂部表面的上部側壁和具有凹形斜坡的下部側壁。
在步驟S1907中,源區/汲區SD可形成於閘極電極GE的相對側邊的主動圖案AP上。源區/汲區SD可形成於主動圖案AP的第二區域R2上。一對相鄰的源區/汲區SD可以連接到溝道半導體圖案122。源區/汲區SD可包含例如磊晶生長Si層、磊晶生長SiC層或包含多個磊晶生長SiGe層的嵌入式SiGe(eSiGe)結構。源區/汲區SD可向溝道半導體圖案122提供應變。
在步驟S1909中,可在基底100與源區/汲區SD的底部表面之間形成氣隙AG,以使得源區/汲區SD的底部表面不接觸基底100。氣隙AG可設置在源區/汲區SD的底部表面與主動圖案AP的第二區域R2的頂部表面ts2之間。氣隙AG可以是其中沒有提供固體物質的大體上空的空間。舉例來說,氣隙AG可具有由源區/汲區SD的底部表面定義的頂部表面、由第二區域R2的頂部表面ts2定義的底部表面以及由最下部內部間隔件146和下部層間介電層150定義的側壁。半導體晶片(在其中形成有積體電路)可從晶圓W切割且形成半導體裝置封裝的元件。
根據本公開的示範性實施例,由於源區/汲區與其下方的基底(即,主動圖案)間隔開,因此可防止或最小化源區/汲區與基底之間的電流洩漏。另外,由於源區/汲區被實施為僅從充當晶種層的溝道半導體圖案生長的磊晶圖案,因此與源區/汲區被實施為從充當晶種層的基底和溝道半導體圖案兩者生長的磊晶圖案的情況相比,可向溝道半導體圖案提供增加的應變。因此,半導體裝置可具有增強的電特性。
儘管已結合在隨附圖式中示出的本發明的實施例描述本發明,但本領域的技術人員將瞭解,可在不脫離本發明的技術精神和基本特徵的情況下進行多種變化和修改。本領域的技術人員將顯而易見,可在不悖離本公開的範圍和精神的情況下對其進行各種取代、修改以及變化。
100‧‧‧基底
101‧‧‧溝槽
102‧‧‧襯裡層
102a‧‧‧第一襯裡圖案
102b‧‧‧初步第二襯裡圖案
102c‧‧‧第二襯裡圖案
104‧‧‧裝置隔離圖案
110‧‧‧犧牲半導體層
111‧‧‧初步犧牲半導體圖案
112‧‧‧犧牲半導體圖案
120‧‧‧溝道半導體層
121‧‧‧初步溝道半導體圖案
122‧‧‧溝道半導體圖案
130‧‧‧閘極結構
132‧‧‧蝕刻停止圖案
134‧‧‧犧牲閘極圖案
136‧‧‧閘極掩模圖案
140‧‧‧閘極間隔層
142‧‧‧閘極間隔件
144‧‧‧內部間隔層
146‧‧‧內部間隔件
148‧‧‧生長預防區
148a‧‧‧生長預防區
150‧‧‧下部層間介電層
155‧‧‧閘極區
a1‧‧‧最上部表面
a2‧‧‧最下部表面
AG‧‧‧氣隙
AP‧‧‧主動圖案
CS‧‧‧溝道堆疊
D1‧‧‧第一方向
D2‧‧‧第二方向
D3‧‧‧第三方向
GD‧‧‧閘極介電圖案
GE‧‧‧閘極電極
GP‧‧‧閘極頂蓋圖案
GS‧‧‧閘極結構
LP‧‧‧下部部分
pCS‧‧‧初步溝道堆疊
R1‧‧‧第一區域
R2‧‧‧第二區域
RS1‧‧‧第一凹進區
RS2‧‧‧第二凹進區
S1901‧‧‧步驟
S1903‧‧‧步驟
S1905‧‧‧步驟
S1907‧‧‧步驟
S1909‧‧‧步驟
SD‧‧‧源區/汲區
t1‧‧‧第一厚度
t2‧‧‧第二厚度
t3‧‧‧第三厚度
ts1‧‧‧頂部表面
ts2‧‧‧頂部表面
UP‧‧‧上部部分
W‧‧‧晶圓
圖1是用於說明根據本公開的示範性實施例的半導體裝置的平面視圖。 圖2A是沿圖1的線I-I'截取的橫截面視圖。 圖2B是沿圖2A的線II-II'和III-III'截取的橫截面視圖。 圖3是對應於圖1的線III-III'的用於說明源區/汲區的實例的橫截面視圖。 圖4A、圖5A、圖6A、圖7A、圖8A、圖9A、圖10A以及圖11A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。 圖4B、圖5B、圖6B、圖7B、圖8B、圖9B、圖10B以及圖11B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。 圖12A是沿圖1的線I-I'截取的用於說明根據本公開的示範性實施例的半導體裝置的橫截面視圖。 圖12B是沿圖1的線II-II'和III-III'截取的橫截面視圖。 圖13A和圖14A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。 圖13B和圖14B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。 圖15A是沿圖1的線I-I'截取的用於說明根據本公開的示範性實施例的半導體裝置的橫截面視圖。 圖15B是沿圖1的線II-II'和III-III'截取的橫截面視圖。 圖16A、圖17A以及圖18A是對應於圖1的線I-I'的用於說明根據本公開的示範性實施例的半導體裝置的製造方法的橫截面視圖。 圖16B、圖17B以及圖18B是各自對應於圖1的線II-II'和III-III'的橫截面視圖。 圖19是繪示根據本公開的示範性實施例的半導體裝置的製造方法的流程圖。

Claims (20)

  1. 一種半導體裝置,包括: 基底; 溝道半導體圖案,豎直地堆疊在所述基底上且彼此間隔開; 閘極電極,跨過所述溝道半導體圖案; 源區/汲區,位於所述閘極電極的相對側邊,所述源區/汲區連接到所述溝道半導體圖案;以及 氣隙,位於所述基底與所述源區/汲區的底部表面之間,以使得所述源區/汲區的所述底部表面不接觸所述基底。
  2. 如申請專利範圍第1項所述的半導體裝置,更包括生長預防區,設置在所述源區/汲區的所述底部表面下方且定義所述氣隙的底部表面。
  3. 如申請專利範圍第2項所述的半導體裝置,其中所述生長預防區是在所述源區/汲區的所述底部表面下方的所述基底中形成的雜質區域。
  4. 如申請專利範圍第3項所述的半導體裝置,其中所述生長預防區摻雜的雜質包含碳、氧、砷以及氮中的一種或多種。
  5. 如申請專利範圍第2項所述的半導體裝置,其中所述生長預防區是在所述源區/汲區的所述底部表面下方的所述基底上形成的絕緣層。
  6. 如申請專利範圍第5項所述的半導體裝置,更包括設置在所述閘極電極的側壁上的內部間隔件,所述內部間隔件中的每一個插置在彼此相鄰的所述溝道半導體圖案之間或在所述基底與所述溝道半導體圖案中的最下部一個之間, 其中所述生長預防區以及所述內部間隔件包括相同的材料。
  7. 如申請專利範圍第6項所述的半導體裝置,其中所述生長預防區中的至少一個連接到所述基底與所述溝道半導體圖案中的所述最下部一個之間的所述內部間隔件。
  8. 如申請專利範圍第1項所述的半導體裝置,其中所述基底在其上部部分上包含鰭形狀主動圖案,所述鰭形狀主動圖案包含位於所述閘極電極下方的第一區域以及位於所述閘極電極的所述相對側邊的第二區域, 所述溝道半導體圖案設置在所述第一區域上,以及 所述源區/汲區的所述底部表面低於所述溝道半導體圖案中的最下部一個的底部表面且高於所述第二區域的頂部表面。
  9. 如申請專利範圍第1項所述的半導體裝置,其中所述溝道半導體圖案穿過所述閘極電極, 所述溝道半導體圖案中的每一個具有從所述閘極電極的相對側壁突出的相對端部。
  10. 一種半導體裝置,包括: 主動圖案,從基底突出; 溝道堆疊,位於所述主動圖案上; 閘極電極,覆蓋所述溝道堆疊且跨過所述主動圖案; 源區/汲區,位於所述閘極電極的相對側邊的所述主動圖案上;以及 生長預防區,位於所述源區/汲區與所述源區/汲區下方的所述主動圖案之間, 其中所述溝道堆疊包括以豎直方式堆疊且彼此間隔開的溝道半導體圖案,以及 其中在所述源區/汲區與所述生長預防區之間設置有氣隙,以使得所述源區/汲區不接觸所述生長預防區。
  11. 如申請專利範圍第10項所述的半導體裝置,其中 所述主動圖案包括位於所述閘極電極下方的第一區域和跨過所述第一區域且彼此間隔開的第二區域,以及 所述生長預防區是形成於所述第二區域中的雜質區域或形成於所述第二區域的頂部表面上的絕緣層。
  12. 如申請專利範圍第11項所述的半導體裝置,其中所述第二區域在其上部部分上凹陷, 所述凹陷的第二區域具有以凹面形式朝所述基底凹陷的頂部表面。
  13. 如申請專利範圍第11項所述的半導體裝置,更包括設置在所述閘極電極的側壁上的內部間隔件, 其中所述內部間隔件分別插置在彼此相鄰的所述溝道半導體圖案之間以及所述第一區域與所述溝道半導體圖案中的最下部一個之間。
  14. 如申請專利範圍第13項所述的半導體裝置,其中 所述生長預防區是形成於所述第二區域的所述頂部表面上的絕緣層,以及 所述內部間隔件與所述生長預防區包括相同的材料。
  15. 如申請專利範圍第14項所述的半導體裝置,其中所述生長預防區中的至少一個連接到所述第一區域與所述溝道半導體圖案中的所述最下部一個之間的所述內部間隔件。
  16. 一種半導體裝置,包括: 基底,具有在第一方向上延伸的主動圖案,所述主動圖案具有第一區域以及位於所述第一區域的相對側邊的第二區域; 溝道半導體圖案,豎直地堆疊在所述主動圖案的所述第一區域上且彼此間隔開; 閘極結構,在垂直於所述第一方向的第二方向上延伸且跨過所述溝道半導體圖案; 源區/汲區,位於所述主動圖案的所述第二區域上及所述閘極結構的相對側邊,所述源區/汲區連接到所述溝道半導體圖案; 內部間隔件,設置在所述閘極結構的側壁上;以及 氣隙,位於所述源區/汲區的底部表面與所述第二區域的頂部表面之間,以使得所述源區/汲區的所述底部表面不接觸所述第二區域的所述頂部表面, 其中所述氣隙的頂部表面由所述源區/汲區的所述底部表面定義,且所述氣隙的底部表面由所述第二區域的所述頂部表面定義。
  17. 如申請專利範圍第16項所述的半導體裝置,其中所述第一區域的頂部表面與所述第二區域的頂部表面在垂直於所述基底的所述頂部表面的第三方向上處於相同的水平面且共平面。
  18. 如申請專利範圍第16項所述的半導體裝置,其中所述閘極結構包含閘極電極、閘極介電圖案以及閘極頂蓋圖案,所述閘極電極在所述第二方向上延伸且覆蓋所述溝道半導體圖案的最上部溝道半導體圖案的頂部表面,所述閘極介電圖案沿著所述閘極電極的側壁以及底部表面延伸,以及所述閘極頂蓋圖案覆蓋所述閘極電極以及所述閘極介電圖案。
  19. 如申請專利範圍第16項所述的半導體裝置,其中所述氣隙的側壁由所述內部間隔件的最下部內部間隔件定義。
  20. 如申請專利範圍第16項所述的半導體裝置,更包括設置在所述源區/汲區的所述底部表面下方且定義所述氣隙的頂部表面的生長預防區。
TW107100709A 2017-04-12 2018-01-09 半導體裝置 TWI770100B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??10-2017-0047542 2017-04-12
KR1020170047542A KR102318560B1 (ko) 2017-04-12 2017-04-12 반도체 소자
KR10-2017-0047542 2017-04-12

Publications (2)

Publication Number Publication Date
TW201904056A true TW201904056A (zh) 2019-01-16
TWI770100B TWI770100B (zh) 2022-07-11

Family

ID=63790978

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107100709A TWI770100B (zh) 2017-04-12 2018-01-09 半導體裝置

Country Status (4)

Country Link
US (1) US10461195B2 (zh)
KR (1) KR102318560B1 (zh)
CN (1) CN108695378B (zh)
TW (1) TWI770100B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681550B (zh) * 2019-03-14 2020-01-01 旺宏電子股份有限公司 立體記憶體元件及其製作方法
US10910399B2 (en) 2019-03-14 2021-02-02 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
TWI824690B (zh) * 2021-10-13 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10468311B2 (en) * 2017-10-06 2019-11-05 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10468532B1 (en) * 2018-05-07 2019-11-05 International Business Machines Corporation Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor
US10679906B2 (en) * 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
US11152510B2 (en) * 2018-07-25 2021-10-19 International Business Machines Corporation Long channel optimization for gate-all-around transistors
US10937862B2 (en) * 2018-07-31 2021-03-02 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy via airgap
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
KR20200132436A (ko) 2019-05-17 2020-11-25 삼성전자주식회사 반도체 장치
KR20200142153A (ko) * 2019-06-11 2020-12-22 삼성전자주식회사 반도체 소자
KR20200142765A (ko) * 2019-06-13 2020-12-23 삼성전자주식회사 반도체 소자
US10910470B1 (en) 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
KR20210011214A (ko) 2019-07-22 2021-02-01 삼성전자주식회사 도핑 영역을 갖는 저항 소자 및 이를 포함하는 반도체 소자
US11296226B2 (en) * 2019-10-16 2022-04-05 International Business Machines Corporation Transistor having wrap-around source/drain contacts and under-contact spacers
CN112951725B (zh) * 2019-12-10 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11227956B2 (en) * 2019-12-30 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet field-effect transistor device and method of forming
US11164792B2 (en) 2020-01-08 2021-11-02 International Business Machines Corporation Complementary field-effect transistors
US11069684B1 (en) 2020-03-04 2021-07-20 International Business Machines Corporation Stacked field effect transistors with reduced coupling effect
US11164793B2 (en) 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
US11222979B2 (en) 2020-04-22 2022-01-11 International Business Machines Corporation Field-effect transistor devices with sidewall implant under bottom dielectric isolation
US11309249B2 (en) * 2020-05-04 2022-04-19 Nanya Technology Corporation Semiconductor package with air gap and manufacturing method thereof
US20220005951A1 (en) * 2020-07-05 2022-01-06 International Business Machines Corporation Strained Semiconductor FET Devices with Epitaxial Quality Improvement
US20220037518A1 (en) * 2020-07-31 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium Nitride-Based Device with Step-Wise Field Plate and Method Making the Same
US20220122893A1 (en) * 2020-10-19 2022-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device with Leakage Current Suppression and Method for Forming the Same
US11670550B2 (en) * 2021-01-21 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure field-effect transistor device and method of forming
US20220359647A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures With A Hybrid Substrate
US20230018480A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US20230033790A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Device Structure With Reduced Leakage Current

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3324518B2 (ja) 1998-08-24 2002-09-17 日本電気株式会社 半導体装置の製造方法
KR100546286B1 (ko) 1999-03-26 2006-01-26 삼성전자주식회사 에스오아이 트랜지스터의 제조방법
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100585157B1 (ko) * 2004-09-07 2006-05-30 삼성전자주식회사 다수의 와이어 브릿지 채널을 구비한 모스 트랜지스터 및그 제조방법
KR100594327B1 (ko) 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
JP2007027232A (ja) 2005-07-13 2007-02-01 Seiko Epson Corp 半導体装置及びその製造方法
US7984408B2 (en) 2006-04-21 2011-07-19 International Business Machines Corporation Structures incorporating semiconductor device structures with reduced junction capacitance and drain induced barrier lowering
US7989322B2 (en) * 2007-02-07 2011-08-02 Micron Technology, Inc. Methods of forming transistors
US20080217686A1 (en) 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US7696568B2 (en) * 2007-05-21 2010-04-13 Micron Technology, Inc. Semiconductor device having reduced sub-threshold leakage
US8610211B2 (en) 2010-07-23 2013-12-17 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure with selectively placed sub-insulator layer void(s) and method of forming the SOI structure
CN102214682B (zh) * 2011-06-03 2013-07-17 清华大学 具有悬空源漏的半导体结构及其形成方法
CN102214684B (zh) * 2011-06-03 2012-10-10 清华大学 一种具有悬空源漏的半导体结构及其形成方法
US8395217B1 (en) 2011-10-27 2013-03-12 International Business Machines Corporation Isolation in CMOSFET devices utilizing buried air bags
CN106847814B (zh) * 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
KR101650416B1 (ko) 2011-12-23 2016-08-23 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
DE112013006642T5 (de) * 2013-03-14 2015-11-05 Intel Corporation Leckageverringerungsstrukturen für Nanodraht-Transistoren
CN106030815B (zh) * 2014-03-24 2020-01-21 英特尔公司 制造纳米线器件的内部间隔体的集成方法
CN104979211B (zh) 2014-04-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 纳米线器件及其制造方法
US9831341B2 (en) * 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US9793273B2 (en) * 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US9343374B1 (en) 2014-10-29 2016-05-17 Globalfoundries Inc. Efficient main spacer pull back process for advanced VLSI CMOS technologies
KR102306668B1 (ko) 2014-11-07 2021-09-29 삼성전자주식회사 게이트 전극을 갖는 반도체 소자 형성 방법
US20160190239A1 (en) 2014-12-26 2016-06-30 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9437502B1 (en) * 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9577038B1 (en) * 2015-12-15 2017-02-21 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US9601379B1 (en) * 2015-12-23 2017-03-21 Globalfoundries Inc. Methods of forming metal source/drain contact structures for semiconductor devices with gate all around channel structures
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR102294932B1 (ko) * 2016-04-25 2021-09-17 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US9576967B1 (en) * 2016-06-30 2017-02-21 Sandisk Technologies Llc Method of suppressing epitaxial growth in support openings and three-dimensional memory device containing non-epitaxial support pillars in the support openings
US9837414B1 (en) * 2016-10-31 2017-12-05 International Business Machines Corporation Stacked complementary FETs featuring vertically stacked horizontal nanowires

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681550B (zh) * 2019-03-14 2020-01-01 旺宏電子股份有限公司 立體記憶體元件及其製作方法
US10910399B2 (en) 2019-03-14 2021-02-02 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
TWI824690B (zh) * 2021-10-13 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法

Also Published As

Publication number Publication date
TWI770100B (zh) 2022-07-11
US10461195B2 (en) 2019-10-29
KR20180115392A (ko) 2018-10-23
KR102318560B1 (ko) 2021-11-01
US20180301564A1 (en) 2018-10-18
CN108695378B (zh) 2021-09-17
CN108695378A (zh) 2018-10-23

Similar Documents

Publication Publication Date Title
TWI770100B (zh) 半導體裝置
US11784260B2 (en) Semiconductor devices
USRE49963E1 (en) Semiconductor device and method of fabricating the same
US11935835B2 (en) Methods of manufacturing semiconductor devices
KR102399071B1 (ko) 반도체 장치
US11784256B2 (en) Semiconductor devices
TWI814973B (zh) 積體電路裝置及其製造方法
KR102413371B1 (ko) 반도체 소자
US9559185B2 (en) Semiconductor devices and methods of manufacturing the same
US11728343B2 (en) Semiconductor devices
US10903324B2 (en) Semiconductor device including fin-FET and etch stop layers
CN111106176B (zh) 半导体器件及其制造方法及包括该半导体器件的电子设备
US11757015B2 (en) Semiconductor devices
US11948994B2 (en) Semiconductor device and method of fabricating the same
CN115696914A (zh) 半导体器件
US20220102217A1 (en) Semiconductor device
US11545489B2 (en) Semiconductor devices having asymmetrical structures
CN110718548A (zh) 半导体器件
US20220375847A1 (en) Semiconductor devices including gate structure and method of forming the same
US20220223711A1 (en) Semiconductor devices including separation structure
EP4312274A2 (en) Gate-all-around semiconductor devices comprising two-dimensional protective layers