TW201819665A - 用於選擇性沉積之選擇性改良的原位預清潔 - Google Patents

用於選擇性沉積之選擇性改良的原位預清潔 Download PDF

Info

Publication number
TW201819665A
TW201819665A TW106130254A TW106130254A TW201819665A TW 201819665 A TW201819665 A TW 201819665A TW 106130254 A TW106130254 A TW 106130254A TW 106130254 A TW106130254 A TW 106130254A TW 201819665 A TW201819665 A TW 201819665A
Authority
TW
Taiwan
Prior art keywords
substrate
film
metal
tungsten
plasma
Prior art date
Application number
TW106130254A
Other languages
English (en)
Inventor
吳凱
維卡許 班西亞
尚澔 柳
鎂 張
馬飛越
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201819665A publication Critical patent/TW201819665A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/24Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

藉由將表面曝露於包括氬氣或氫氣中之一或更多者的預清潔電漿繼之以沉積,相對於第二表面(例如,介電質表面)於第一表面(例如,金屬表面)上選擇性地沉積膜的方法。第一表面及第二表面可為實質上共面的。所沉積膜之選擇性相對於在曝露於預清潔電漿之前的基板可增加一個數量級。

Description

用於選擇性沉積之選擇性改良的原位預清潔
本揭示案之實施例大致上關於選擇性地沉積膜之方法。更具體地,本揭示案之實施例針對於原位電漿中選擇性地沉積膜之方法。
鎢在邏輯及記憶體裝置中以多個層級被廣泛使用。通常,化學氣相沉積(CVD)鎢(W)製程在基板上於成核開始處提供保角性(conformal)鎢膜生長。隨著裝置尺寸持續微縮(scaling),有一些新的應用及整合,例如鈷觸點的鈷覆蓋(capping)及用於背端(backend)的銅覆蓋,其可僅在圖案之某些區域上使用選擇性鎢生長。
在製程流程整合期間,由於先前的處理步驟選擇性鎢製程可能會損失選擇性。舉例而言,在化學機械平坦化(chemical-mechanical planarization; CMP)之後的圖案化表面上,在金屬與介電質表面之間已觀察到從>50:1至<5:1的嚴重選擇性損失。
對於一些選擇性鈷製程,已開發了使用界面活性劑(surfactant)的原位鈍化製程來改良選擇性。所形成的鈍化層僅在介電質表面上反應而不是在銅表面上反應,所以鈷能只在銅基板上生長,而不在鈍化的介電質上生長,因此顯著地改良選擇性。然而,目前的界面活性劑不僅會鈍化介電質表面,還會鈍化鈷表面。因此,鎢也不能在鈷表面上生長,使得完全無鎢生長。
因此,在本領域中需要選擇性地相對於不同的表面選擇性地將膜沉積至一個表面上之方法。
本揭示案之一或更多個實施例針對選擇性地沉積膜之方法。提供具有第一表面及與第一表面不同的第二表面的基板。將該基板曝露於包括氬氣或氫氣中之一或更多者的預清潔電漿以形成已預清潔基板。於已預清潔基板之相對於第二表面的第一表面上選擇性地沉積金屬膜。
本揭示案之附加的實施例針對選擇性地沉積膜之方法。提供具有金屬表面及介電質表面的基板。金屬表面及介電質表面實質上共平面。將基板曝露於預清潔電漿以形成已預清潔基板。預清潔電漿包括在約10毫托至約1托的範圍中的壓力下的氬氣或氫氣中之一或更多者。將已預清潔基板曝露於沉積條件以沉積金屬膜。相對於介電質表面於金屬表面上所沉積的金屬膜具有大於或等於約50:1的選擇性。
本揭示案之進一步實施例針對選擇性地沉積膜之方法。提供具有實質上共平面的鈷表面及介電質表面的基板。將基板曝露於預清潔電漿以形成已預清潔基板。預清潔電漿包括在約10毫托至約1托的範圍中的壓力與約室溫的溫度下的氬氣或氫氣中之一或更多者。將已預清潔基板曝露於沉積條件以相對於介電質表面於鈷表面上沉積具有大於或等於約50:1的選擇性的鎢膜。沉積條件包括於約200ºC至約300ºC的範圍中的溫度下使用WF6 /H2 的熱化學氣相沉積(CVD)製程。
本揭示案之實施例提供使用原位預清潔製程來改良整合(integration)流程(例如化學機械平坦化(CMP)後)中金屬沉積製程(例如,鎢)之選擇性的方法。一些實施例之預清潔製程包括曝露於Ar及/或H2 電漿以在CMP之後選擇性地移除來自介電質的表面損壞/污染,亦移除/減少金屬表面上的氧化物,使得金屬可相對於另一個表面沉積於一個表面上。電漿壓力可在約10毫托至約1托的範圍中。可施加偏壓以改良選擇性並且使金屬橫向生長最小化/消除金屬橫向生長。在原位預清潔之後,選擇性可恢復至大於或等於約50:1的程度。方法之實施例可用於金屬互連的覆蓋層、用於CMP後減少缺陷的在金屬通孔(vias)之頂部的覆蓋、由下而上(bottom-up)間隙填充應用及其他製程。
如本說明書及申請專利範圍中所使用的,互換地使用用語「基板」及「晶圓」,該等用語兩者皆指表面或表面之部分,於該表面或表面之部分上進行製程。本領域熟知技術者亦將理解,除非上下文另有明確指出,否則參照基板亦可僅指基板之一部分。此外,參照在基板上的沉積可意謂裸(bare)基板及具有沉積或形成於基板上的一或更多個膜或特徵的該基板兩者。
本文使用的「基板」指任何基板或形成在基板上的材料表面,在製造製程期間於該基板或材料表面上實行膜處理。舉例而言,取決於應用,可於基板表面上實行處理的該基板表面包含例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜矽氧化物、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及任何其他材料例如金屬、金屬氮化物、金屬合金,及其他導電材料。基板包含但不限於半導體晶圓。可將基板曝露於預處理製程以拋光、蝕刻、還原、氧化、羥基化(hydroxylate)、退火及/或烘烤基板表面。除了直接在基板本身之表面上進行膜處理之外,在本揭示案中,亦可如以下更詳細揭示的在基板上所形成的底層上實行所揭示的膜處理步驟中之任一者,並且用語「基板表面」旨在包含如上下文所指出的該底層。因此,舉例而言,當膜/層或部分膜/層已沉積於基板表面上時,新沉積的膜/層之曝露的表面成為基板表面。給定的基板表面包括什麼將取決於待沉積的膜以及所使用的特定化學物。在一或更多個實施例中,第一基板表面將包括金屬,第二基板表面將包括介電質,反之亦然。在一些實施例中,基板表面可包括某些官能基(例如,-OH、-NH等)。
如本說明書及申請專利範圍中所使用的,互換地使用用語「反應性氣體」、「前驅物」、「反應物」及類似者來指包含與基板表面反應的物種之氣體。舉例而言,第一「反應性氣體」可簡單地吸附至基板之表面上,並可用於與第二反應性氣體的進一步化學反應。
本揭示案之實施例提供將金屬膜相對於第二表面選擇性地沉積至一個表面上之方法。如本說明書及申請專利範圍中所使用的,相對於另一個表面在一個表面上將膜以用語「選擇性地沉積」及類似者意謂將第一量的膜沉積於第一表面上,及將第二量的膜沉積於第二表面上,其中膜之第二量小於膜之第一量或者無。在這方面使用的用語「相對於」並非暗示一個表面在另一個表面之頂部上的物理定向,而是一個表面相對於另一個表面的化學反應之熱力學或動力學性質之關係。舉例而言,相對於介電質表面將鈷膜選擇性地沉積至銅表面上意謂鈷膜沉積於銅表面上,並且較少鈷膜或者無鈷膜沉積於介電質表面上;或者相對於介電質表面上的鈷膜之形成銅表面上的鈷膜之形成為熱力學或動力學有利的。換句話說,可以相對於第二表面將膜選擇性地沉積至第一表面上意謂相對於第二表面上的沉積在第一表面上的沉積為有利的。
本揭示案之實施例針對選擇性地沉積膜之方法。第1圖圖示具有第一表面20及第二表面30的基板10之示意剖面圖。舉例而言,所示的基板10為介電質材料,使得第二表面30為介電質表面。基板10中的通道17填充有第一材料15,舉例而言,金屬。第一材料15之表面提供第一表面20。
第一表面20及第二表面30可具有與形成表面的材料之塊材化學性質不同的化學性質。舉例而言,第一材料15可為金屬(例如,鈷),而第一表面20可為氧化的鈷。第一表面20及第二表面30之表面化學性質可能受到基板上的先前處理的影響。舉例而言,化學機械平坦化(CMP)製程可能導致表面氧化、污染或損壞。對表面的氧化、污染或損壞可能造成選擇性的損失。
在一些實施例中,如第1圖中所示,第一表面及第二表面為實質上共面的(coplanar)。本領域熟知技術者將理解,實質上共面的意謂由個別表面所形成的主平面在大約相同的平面內。如在這方面所使用的,「實質上共面的」意謂於第一表面與第二表面之間的邊界處量測、由第一表面所形成的平面在由第二表面所形成的平面的±100 µm內。在一些實施例中,由第一表面及第二表面所形成的該等平面在±500 µm、±400 µm、±300 µm、±200 µm、±100 µm、±50 µm、±10 µm、±5 µm、±1 µm、±500 nm、±250 nm、±100 nm、±50 nm、±10 nm、±1 nm或±0.1 nm內。
在一些實施例中,基板10已進行化學機械平坦化(CMP)製程。包含第一表面及第二表面的基板之表面可具有小於或等於約100 nm、50 nm、10 nm、1 nm、0.5 nm或0.1 nm的方均根(RMS)粗糙度。
第2圖圖示第1圖之基板之示意剖面圖,該基板具有沉積於該基板上的金屬膜40。金屬膜40之選擇性差,具有大的面積或疇沉積於第二表面30及第一表面20上。本揭示案之實施例提供原位方法以改良金屬膜40之選擇性。如以此方式所使用的,「原位」意謂在金屬膜之預清潔及沉積之間基板不曝露於空氣。舉例而言,可將基板定位於用於預清潔及膜沉積的相同的處理腔室中。在一些實施例中,基板保持在舉例而言於群集工具中用於預清潔及膜沉積的裝載閘(load-lock)條件下。
第3圖圖示第1圖之基板之示意剖面圖,在第一表面20及第二表面30已曝露於預清潔製程之後在該基板上沉積有金屬膜40。金屬膜40對於第一表面20的選擇性遠大於第2圖中的選擇性,第2圖中沒有實行預清潔製程。
為了增加選擇性,將基板曝露於預清潔製程。用語「預清潔」意謂在將金屬膜沉積於表面上之前而無額外的中間處理步驟(例如,沉積、退火、拋光)。預清潔製程包括將基板曝露於預清潔電漿。預清潔電漿包括氬氣或氫氣中之一或更多者。在一些實施例中,預清潔電漿包括氬氣。在一些實施例中,預清潔電漿包括氫氣。在一些實施例中,預清潔電漿包括氫氣及氬氣之混合物。在一些實施例中,預清潔電漿基本上由氬氣所組成。在一些實施例中,預清潔電漿基本上由氫氣所組成。在一些實施例中,預清潔電漿基本上由氫氣及氬氣之組合所組成。如在這方面所使用的,用語「基本上由……所組成」意謂活性電漿物種大於或等於所述成分之約95原子%。在一些實施例中,預清潔電漿大於或等於所述成分之約96、97、98或99原子百分比。
可取決於進行清潔的具體表面修改預清潔電漿之條件。在一些實施例中預清潔電漿之壓力在約10毫托至約30托的範圍中,或在約10毫托至約10托的範圍中,或在約20毫托至約5托的範圍中,或在約30毫托至約1托的範圍中。在一些實施例中預清潔期間的溫度在約0ºC至約400ºC的範圍中,或在約室溫至約400ºC的範圍中,或在約室溫至約350ºC的範圍中,或在約室溫至約300ºC的範圍中,或在約室溫至約250ºC的範圍中。如本說明書及申請專利範圍中所使用的,用語「室溫」指在約20ºC至約25ºC的範圍中的溫度。在一些實施例中,預清潔電漿之溫度為約室溫。
在一些實施例中,預清潔電漿包含施加至基板的偏壓成分以導致對於電漿物種的更多的方向性。舉例而言,施加至晶圓(或底座或晶圓支撐件)的2MHz的偏壓可藉由減少橫向膜沉積之量來改良金屬膜沉積之選擇性。
如第3圖所示,在已將第一表面及第二表面預清潔之後,將金屬膜40相對於第二表面30選擇性地沉積於第一表面20上。在一些實施例中,實質上沒有金屬膜40沉積於第二表面30上。如在這方面所使用的,「實質上沒有」意謂作為金屬膜之總重量小於約5%、4%、3%、2%或1%的金屬膜沉積於第二表面上。
金屬膜之選擇性大於在並未曝露於預清潔電漿的基板上藉由相同條件所沉積的膜之選擇性。在一些實施例中,金屬膜具有大於或等於約40:1、45:1、50:1、55:1、60:1或更高的選擇性。在一些實施例中,金屬膜之選擇性增加5倍、6倍、7倍、8倍、9倍、10倍或更高。舉例而言,在已預清潔表面上金屬膜之選擇性可能比並未預清潔的表面之金屬膜之選擇性大一個數量級(10倍),其中該等表面另外具有相同部件。
在一些實施例中,第一表面20為金屬表面,第二表面30為介電質表面。在一或更多個實施例中,金屬表面之金屬包括鈷、銅、鎢或釕中之一或更多者。各種實施例之預清潔電漿從金屬表面之表面移除或減少氧化物之量。
所沉積的金屬膜40可為任何適合的金屬膜。在一些實施例中,金屬膜包括鎢、鈷或銅中之一或更多者。在一或更多個實施例中,金屬膜40基本上由鎢所組成。如在這方面所使用的,用語「基本上由……所組成」意謂金屬膜大於或等於所指成分之約95原子百分比。在一些實施例中,金屬膜大於所指成分之約96、97、98或99原子百分比。
在一或更多個實施例中,金屬膜40包括鎢。可藉由使用適合的鎢前驅物及反應物的化學氣相沉積(CVD)或藉由適合的鎢前驅物之熱分解來沉積鎢。在一些實施例中,藉由原子層沉積(ALD)製程沉積金屬膜40,其中將基板之至少一部分依序曝露於適合的金屬前驅物及反應物(例如,還原劑)。
適合的鎢前驅物包含但不限於鎢鹵化物、有機鎢及有機金屬鎢複合物(organometallic tungsten complexes)。在一些實施例中,鎢前驅物包括WF6 、WCl6 或WCl5 中之一或更多者。在一些實施例中,鎢前驅物包括WF6 且反應物包括H2
適用於CVD或ALD製程的共反應物包含但不限於矽烷(SiH4 )、硼烷(B2 H6 )、氫氣(H2 ),上述之電漿或上述之組合。在一些實施例中,反應物包括氫氣。在一些實施例中,反應物包括矽烷。在一些實施例中,反應物包括硼烷。在一些實施例中,反應物基本上由氫氣所組成。如以此方式所使用的,用語「基本上由……所組成」意謂反應性氣體中的反應性成分(不包含稀釋劑、載體或惰性物種)大於或等於所述化合物之約95%、98%或99%。在一些實施例中,反應物基本上由矽烷所組成。在一些實施例中,反應物基本上由硼烷所組成。
在一些實施例中,使用具有相同或不同金屬的有機金屬前驅物及金屬鹵化物前驅物之組合來沉積金屬膜40。舉例而言,有機金屬鎢複合物可與鹵化鎢反應形成鎢膜。有機金屬前驅物及金屬鹵化物前驅物可由有或無額外的反應物(例如,還原劑)藉由CVD或ALD形成金屬膜40。
在一些實施例中,沉積製程於約150ºC至約500ºC的範圍中、或於約175ºC至約400ºC的範圍中、或於約200ºC至約300ºC的範圍中的溫度下發生。在一或更多個實施例中,沉積製程為在無電漿增強下發生的熱製程。
將金屬膜40沉積於基板上的步驟可包含將基板從預清潔腔室移動至沉積腔室。在一些實施例中,預清潔腔室與沉積腔室為相同的腔室。在一些實施例中,預清潔腔室與沉積腔室為不同的腔室。在一些實施例中,預清潔腔室與沉積腔室為整合的,使得將基板從預清潔腔室移動至沉積腔室不會使基板曝露於空氣或氧氣。
第4圖圖示根據本揭示案之一或更多個實施例的處理平台100。第4圖所示之實施例僅代表一種可能的配置,而不應視為限制本揭示案之範疇。舉例而言,在一些實施例中,處理平台100具有不同數量的製程腔室、緩衝腔室及/或機器人配置。
處理平台100包含中心傳送站110,中心傳送站110具有複數側111、112、113、114、115、116。所示的中心傳送站110具有第一側111、第二側112、第三側113、第四側114、第五側115及第六側116。儘管圖示六側,但本領域熟知技術者將理解,取決於舉例而言處理平台100之整體配置,中心傳送站110可具有任何適合的側之數量。
傳送站110具有定位於傳送站110中的機器人117。機器人117可為能夠在處理期間移動晶圓的任何適合的機器人。在一些實施例中,機器人117具有第一臂118及第二臂119。第一臂118及第二臂119可獨立於另一個臂移動。第一臂118及第二臂119可在x-y平面中及/或沿z軸移動。在一些實施例中,機器人117包含第三臂或第四臂(未圖示)。該等臂中之各者可獨立於其他臂移動。
處理平台100包含連接至中心傳送站110之第一側111的預清潔腔室120。預清潔腔室120經配置以將一或更多個基板曝露於本文所述的預清潔製程。
在已經於預清潔腔室120中清潔基板之後,可將該基板移動至用於沉積的另一個腔室。第4圖所示的處理平台100包含兩個沉積腔室:單一晶圓處理腔室130及批次處理腔室140。單一晶圓處理腔室130及批次處理腔室140中之任一者可為CVD及/或ALD處理腔室。
在繪示的實施例中,批次處理腔室140連接至中心傳送站110之第二側112,而單一晶圓處理腔室130連接至中心傳送站110之第三側113。批次處理腔室140可經配置為在批次時間一次處理x個晶圓。在一些實施例中,批次處理腔室140可經配置以同時處理在約四個(x=4)至約12個(x=12)的範圍的晶圓。在一些實施例中,批次處理腔室140經配置以同時處理六個(x=6)晶圓。如本領域熟知技術者將理解,雖然批次處理腔室140可在個別晶圓之裝載/卸載之間處理多個晶圓,但每個晶圓可在任何給定時間承受不同製程條件。舉例而言,空間原子層沉積腔室在處理腔室內的不同處理區域中將晶圓曝露於不同製程條件,使得當晶圓移動通過該等區域中之每個區域時完成製程。
在第4圖所示的實施例中,處理平台100包含連接至中心傳送站110之第四側114的第二預清潔腔室150。第二預清潔腔室150可與預清潔腔室120相同或不同。
處理平台100亦可包含連接至中心傳送站110之第五側115的第一緩衝站151及/或連接至中心傳送站110之第六側116的第二緩衝站152。第一緩衝站151及第二緩衝站152可實行相同或不同的功能。舉例而言,緩衝站可夾持經處理並返回至原始匣的晶圓匣,或第一緩衝站151可夾持未處理的晶圓,該等未處理的晶圓在處理之後移動至第二緩衝站152。在一些實施例中,該等緩衝站中之一或更多者經配置以在處理之前及/或處理之後預處理、預熱或清潔晶圓。
處理平台100亦可包含在中心傳送站110與該等處理腔室中之任一者之間的一或更多個狹縫閥160。在所示的實施例中,在該等腔室中之各者與中心傳送站110之間具有狹縫閥160。狹縫閥160可開啟與關閉,以將處理腔室內的環境與中心傳送站110內的環境隔離。舉例而言,若處理腔室在處理期間將產生電漿,則關閉該處理腔室的狹縫閥可能有助於防止雜散電漿損壞傳送站中的機器人。
處理平台100可連接至工廠介面102,以允許晶圓或晶圓匣裝載入處理平台100。工廠介面102內的機器人103可將晶圓或匣移入與移出緩衝站151、152。可藉由中心傳送站110中的機器人117在處理平台100內移動晶圓或匣。在一些實施例中,工廠介面102為另一個群集工具之傳送站。
處理平台100可包含連接至機器人117、預清潔腔室120、預清潔腔室150、單一晶圓處理腔室130、批次處理腔室140、緩衝站151、152、狹縫閥160、工廠介面102或工廠介面102內的機器人103中之一或更多者的控制系統195。控制系統195可為任何適合的控制器,並且可包含與記憶體197耦接的處理器196,處理器196經配置以致使能處理一或更多個基板。舉例而言,處理器196可經配置具有儲存在記憶體197中的可執行指令,以致使如本文所述的預清潔腔室120、150、單一晶圓處理腔室130、批次處理腔室140及/或中心傳送站110之操作。
控制系統195可經配置以在中心傳送站110、預清潔腔室120、150,批次處理腔室140及單一晶圓處理腔室130之間及之中移動基板。控制系統195可使用機器人117之第一臂118或第二臂119移動基板。控制系統195可經配置以控制狹縫閥160。本領域熟知技術者將理解,控制系統195不直接移動機器人117之臂118、119;而是,控制系統195導致機器人117之臂118、119藉由電子訊號移動基板,該等電子訊號控制與各種系統部件相關聯的馬達及/或致動器以實現移動。類似地,本領域熟知技術者將理解,控制系統195不預先清潔基板或將膜沉積於基板上;而是,控制系統195協調並提供電子訊號給適當的部件以導致氣體流動、點燃電漿,加熱/冷卻等,以達成清潔及/或沉積。
控制系統195可經配置以控制清潔氣體之組成、流速及/或壓力中之至少一者。控制系統195可經配置以控制預清潔腔室中的電漿點燃。控制系統195可經配置以藉由控制預清潔腔室中的一或更多個加熱/冷卻元件來控制預清潔腔室中的基板之溫度或預清潔腔室之溫度。
控制系統195可經配置以控制單一晶圓處理腔室130及/或批次處理腔室140中沉積氣體之組成、流速及/或壓力中之至少一者。控制系統195可經配置以控制底座或基板支撐件之溫度或處理腔室130、140之溫度。
在一或更多個實施例中,處理器196可為可用於控制半導體製造中使用的各種製造配備的工業設定中的任何形式的通用電腦處理器之一者。記憶體197可以電腦可讀取媒體的形式,且可為例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存、本端或遠端之容易取得的記憶體中之一或更多者。在一或更多個實施例中,支援電路(support circuit)198耦接至處理器196,用以以習知方式支援處理器196。這些支援電路198可包含快取、電源、時鐘電路、輸入/輸出電路系統及子系統等。
在一或更多個實施例中,用於預清潔或膜沉積的處理常式(routine)通常可作為軟體常式儲存在記憶體197中,當由處理器196執行該軟體常式時,導致處理平台100實行本文所揭示的製程。軟體常式亦可由位於遠離由處理器196所控制的硬體的第二處理器(未圖示)來儲存及/或執行。當由處理器196執行軟體常式時,該軟體常式導致控制系統195作為特定用途的控制器。當控制系統195包含電腦時,控制系統195用作專用電腦,用以控制處理平台100實行本文所揭示的製程。本揭示案之一些實施例在單一處理腔室中處理具有第一表面及第二表面的基板,其中在腔室之第一部分中,基板表面曝露於預清潔電漿。然後可將基板旋轉至處理腔室之第二部分及/或處理腔室之後續部分以沉積金屬膜。為了分離處理腔室之部分或區域中之各者或任一者,可採用氣幕(gas curtain)。氣幕在處理區域之間提供淨化氣體及真空端口中之一或更多者,以防止反應氣體從一個區域移動至相鄰區域。在一些實施例中,基板同時曝露於多於一個處理區域,其中該基板之一部分處於第一區域(例如,用於預清潔曝光),並且同時該基板之另一部分處於處理腔室之分離的區域。
根據一或更多個實施例,在形成層之前及/或在形成層之後對基板進行處理。可在相同的腔室中或在一或更多個分離的處理腔室中實行該處理。在一些實施例中,將基板從第一腔室移動至分離的第二腔室,用於進一步處理。可將基板從第一腔室直接移動至分離的處理腔室,或可將基板從第一腔室移動至一或更多個傳送腔室,然後移動至分離的處理腔室。因此,處理設備可包括與傳送站通訊的多個腔室。這種裝置可被稱為「群集工具」或「群集系統」等。
一般而言,群集工具為包括實行各種功能的多個腔室的模組化系統,該等功能包含基板中心發現及定向、脫氣、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具包含至少第一腔室及中心傳送腔室。中心傳送腔室可容納可在處理腔室與裝載閘腔室之間及之中梭動(shuttle)基板的機器人。傳送腔室通常保持在真空條件下,並且提供用於將基板從一個腔室梭動至另一個腔室及/或至位於群集工具之前端處的裝載閘腔室的中間階段。可適用於本揭示案的兩種熟知的群集工具為皆可從加利福尼亞州聖克拉拉之應用材料公司獲得的Centura® 及Endura® 。然而,可改變腔室之精確安置及組合,用以實行如本文所述的製程之具體步驟。可使用的其他處理腔室包含但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理例如RTP、電漿氮化、脫氣、定向、羥基化及其他基板製程。藉由在群集工具上的腔室中執行製程,在沉積後續膜之前沒有氧化可避免基板與大氣雜質的表面污染。
根據一或更多個實施例,基板連續處於真空或「裝載閘」條件下,並且當從一個腔室移動至下一個腔室時不曝露於周圍空氣。因此,傳送腔室處於真空,並且在真空壓力下被「抽空(pump down)」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,在形成基板之表面上的層之後,使用惰性氣體作為淨化氣體來移除一些或全部的反應物。根據一或更多個實施例,在沉積腔室之出口處注入淨化氣體,以防止反應物從沉積腔室移動至傳送腔室及/或額外的處理腔室。因此,惰性氣體的流動在腔室之出口處形成幕。
在處理期間可將基板加熱或冷卻。該加熱或冷卻可藉由任何適合的手段來完成,手段包含但不限於改變基板支撐件(例如,底座)之溫度並且使加熱或冷卻的氣體流至基板表面。在一些實施例中,基板支撐件包含可經控制以導電方式改變基板溫度的加熱器/冷卻器。在一或更多個實施例中,將所採用的氣體(反應性氣體或惰性氣體任一)加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器位於鄰近基板表面的腔室內,以對流方式改變基板溫度。
基板亦可在處理期間靜止或旋轉。旋轉基板可連續旋轉或以離散的步驟旋轉。舉例而言,基板可在整個製程中旋轉,或者基板可在曝露於不同的反應性氣體或淨化氣體之間少量地旋轉。在處理期間旋轉基板(連續地或是逐步地任一)可有助於藉由使舉例而言氣流幾何形狀的局部變異性之效應最小化來產生更均勻的沉積或蝕刻。
儘管前述是針對本揭示案之實施例,在不脫離本揭示案之基本範疇下,可設計本揭示案之其他及進一步實施例,且本揭示案之範疇由以下的申請專利範圍所決定。
10‧‧‧基板
15‧‧‧第一材料
17‧‧‧通道
20‧‧‧第一表面
30‧‧‧第二表面
40‧‧‧金屬膜
100‧‧‧處理平台
102‧‧‧工廠介面
103‧‧‧機器人
110‧‧‧中心傳送站
111‧‧‧第一側
112‧‧‧第二側
113‧‧‧第三側
114‧‧‧第四側
115‧‧‧第五側
116‧‧‧第六側
117‧‧‧機器人
118‧‧‧第一臂
119‧‧‧第二臂
120‧‧‧預清潔腔室
130‧‧‧單一晶圓處理腔室
140‧‧‧批次處理腔室
150‧‧‧第二預清潔腔室
151‧‧‧第一緩衝站
152‧‧‧第二緩衝站
160‧‧‧狹縫閥
195‧‧‧控制系統
196‧‧‧處理器
197‧‧‧記憶體
198‧‧‧支援電路
可藉由參照實施例,該等實施例中之一些實施例繪示於附圖中,可得到以上簡要總結的本揭示案之更特定敘述,如此可得到詳細地瞭解本揭示案之上述特徵的方式。然而,應注意到,附圖僅繪示本揭示案之典型實施例,且因此不應被視為限制本揭示案之範疇,因為本揭示案可容許其他等效實施例。
第1圖圖示根據本揭示案之一或更多個實施例具有第一表面及第二表面的基板之示意剖面圖;
第2圖圖示第1圖之基板之示意剖面圖,該基板具有沉積於該基板上的金屬膜而沒有預清潔;
第3圖圖示根據本揭示案之一或更多個實施例第1圖之基板之示意剖面圖,該基板具有沉積於該基板上的金屬膜而具有預清潔;及
第4圖圖示根據本揭示案之一或更多個實施例的處理系統。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種選擇性地沉積一膜之方法,該方法包括以下步驟: 提供一基板,該基板具有一第一表面及與該第一表面不同的一第二表面;將該基板曝露於一預清潔電漿,該預清潔電漿包括氬氣或氫氣中之一或更多者,以形成一已預清潔基板;及於該已預清潔基板之相對於該第二表面的該第一表面上選擇性地沉積一金屬膜。
  2. 如請求項1所述之方法,其中實質上無該金屬膜沉積於該第二表面上。
  3. 如請求項1所述之方法,其中該第一表面及該第二表面為實質上共面的。
  4. 如請求項3所述之方法,其中對所提供的該基板先前已進行一化學機械平坦化製程。
  5. 如請求項1所述之方法,其中在不曝露於空氣下對該基板已進行一先前的製程。
  6. 如請求項5所述之方法,其中在相同的處理腔室內對該基板已進行一先前的製程。
  7. 如請求項1所述之方法,其中該第一表面為一金屬表面且該第二表面為一介電質表面。
  8. 如請求項7所述之方法,其中該金屬表面包括鈷、銅、鎢或釕中之一或更多者。
  9. 如請求項8所述之方法,其中該預清潔電漿從該金屬移除氧化物。
  10. 如請求項1所述之方法,其中該金屬膜包括鎢、鈷或銅中之一或更多者。
  11. 如請求項1所述之方法,其中該金屬膜具有大於或等於約50:1的一選擇性。
  12. 如請求項1所述之方法,其中該預清潔電漿具有在約10毫托至約1托的範圍中的一壓力。
  13. 如請求項1所述之方法,其中於約室溫的一溫度下將該預清潔電漿曝露至該基板。
  14. 如請求項1所述之方法,其中該預清潔電漿實質上由氬氣所組成。
  15. 如請求項1所述之方法,其中該預清潔電漿實質上由氫氣所組成。
  16. 如請求項1所述之方法,其中該金屬膜實質上由鎢所組成。
  17. 如請求項16所述之方法,其中該鎢為在沒有電漿增強下約200ºC至300ºC的範圍中的一溫度下藉由使用WF6 及H2 的一化學氣相沉積(CVD)製程來沉積。
  18. 一種選擇性地沉積一膜之方法,該方法包括以下步驟: 提供一基板,該基板具有一金屬表面及一介電質表面,該金屬表面及該介電質表面實質上共平面;將該基板曝露於一預清潔電漿以形成一已預清潔基板,該預清潔電漿包括在約10毫托至約1托的範圍中的一壓力下的氬氣或氫氣中之一或更多者;及將該已預清潔基板曝露於沉積條件以沉積一金屬膜,相對於該介電質表面於該金屬表面上所沉積的該金屬膜具有大於或等於約50:1的一選擇性。
  19. 如請求項18所述之方法,其中該金屬表面包括鈷、銅、鎢或釕中之一或更多者並且該金屬膜包括鎢、鈷或銅中之一或更多者。
  20. 一種選擇性地沉積一膜之方法,該方法包括以下步驟: 提供一基板,該基板具有一鈷表面及一介電質表面,該鈷表面及該介電質表面實質上共平面;將該基板曝露於一預清潔電漿以形成一已預清潔基板,該預清潔電漿包括在約10毫托至約1托的範圍中的一壓力與約室溫的一溫度下的氬氣或氫氣中之一或更多者;及將該已預清潔基板曝露於沉積條件以沉積一鎢膜,相對於該介電質表面於該鈷表面上所沉積的該鎢膜具有大於或等於約50:1的一選擇性,該等沉積條件包括於約200ºC至約300ºC的範圍中的一溫度下使用WF6 /H2 的一熱化學氣相沉積(CVD)製程。
TW106130254A 2016-09-10 2017-09-05 用於選擇性沉積之選擇性改良的原位預清潔 TW201819665A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662393022P 2016-09-10 2016-09-10
US62/393,022 2016-09-10

Publications (1)

Publication Number Publication Date
TW201819665A true TW201819665A (zh) 2018-06-01

Family

ID=61560307

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130254A TW201819665A (zh) 2016-09-10 2017-09-05 用於選擇性沉積之選擇性改良的原位預清潔

Country Status (5)

Country Link
US (2) US10395916B2 (zh)
KR (1) KR20190041024A (zh)
CN (1) CN109983155A (zh)
TW (1) TW201819665A (zh)
WO (1) WO2018049166A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI665330B (zh) * 2018-06-21 2019-07-11 Feng Chia University 抗刮疏水層鍍製於金屬表面的方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
WO2020256515A1 (ko) 2019-06-21 2020-12-24 한양대학교에리카산학협력단 물질막 및 타겟 패턴의 선택적 제조 방법
US11171017B2 (en) * 2019-09-06 2021-11-09 Applied Materials, Inc. Shutter disk
US20210087691A1 (en) * 2019-09-24 2021-03-25 Tokyo Electron Limited Film forming method
KR102368955B1 (ko) 2019-11-11 2022-03-02 한양대학교 산학협력단 물질막의 선택적 제조 방법 및 금속 패턴의 제조 방법
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
CN101989109A (zh) * 2009-07-29 2011-03-23 鸿富锦精密工业(深圳)有限公司 螺钉固定装置
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9275865B2 (en) 2012-10-31 2016-03-01 Applied Materials, Inc. Plasma treatment of film for impurity removal
WO2014189671A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI665330B (zh) * 2018-06-21 2019-07-11 Feng Chia University 抗刮疏水層鍍製於金屬表面的方法

Also Published As

Publication number Publication date
KR20190041024A (ko) 2019-04-19
US10395916B2 (en) 2019-08-27
CN109983155A (zh) 2019-07-05
WO2018049166A1 (en) 2018-03-15
US20190385838A1 (en) 2019-12-19
US20180076020A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
KR102565626B1 (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
KR101383384B1 (ko) 작은 임계 치수를 가지는 텅스텐 컨택트 및 인터커넥트 형성 방법
JP2020515082A (ja) 誘電体膜の選択的堆積のための方法及び装置
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TWI791508B (zh) 用於沉積低介電常數膜的方法與設備
TWI773839B (zh) 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
TW201840903A (zh) 選擇性沉積無腐蝕金屬觸點之方法
JP7465287B2 (ja) 自己形成バリア層を備えた低誘電率誘電体
US11189479B2 (en) Diffusion barrier layer
US20210351072A1 (en) Doping of metal barrier layers
US20130146468A1 (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
TWI840569B (zh) 低k介電質之自形成阻障層
US20240006235A1 (en) Composite barrier layers
JP2023516866A (ja) トレンチ構造内の選択的タングステン堆積
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置
JP2023516864A (ja) 集積された二ケイ化コバルトの形成のための方法および装置
TW202346466A (zh) 使用流動性聚合物的選擇性金屬移除
TW202204055A (zh) 用於選擇性間隙填充之低溫電漿預清潔
TW202315118A (zh) 經摻雜之含鉭阻障膜