TW201724519A - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TW201724519A
TW201724519A TW105131868A TW105131868A TW201724519A TW 201724519 A TW201724519 A TW 201724519A TW 105131868 A TW105131868 A TW 105131868A TW 105131868 A TW105131868 A TW 105131868A TW 201724519 A TW201724519 A TW 201724519A
Authority
TW
Taiwan
Prior art keywords
layer
gate
forming
sidewall spacers
insulating
Prior art date
Application number
TW105131868A
Other languages
English (en)
Other versions
TWI650869B (zh
Inventor
陳蕙祺
沈香谷
葉震亞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201724519A publication Critical patent/TW201724519A/zh
Application granted granted Critical
Publication of TWI650869B publication Critical patent/TWI650869B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置,包括第一閘極結構位於基板上,並沿著第一方向延伸。第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層之相反兩側面上、以及多個第二側壁間隔物位於第一側壁間隔物上。半導體裝置亦包括第一保護層形成於第一絕緣蓋層、第一側壁間隔物、與第二側壁間隔物上。第一保護層沿著第二方向的剖面為π形,其具有頂部與兩個腳部,且第二方向垂直於第一方向。

Description

半導體裝置與其形成方法
本揭露實施例關於半導體裝置的形成方法,更特別關於源極/汲極區上的自對準接點結構與其形成方法。
為縮小半導體裝置尺寸,常採用自對準接點(SAC)以形成源極/汲極(S/D)接點,其設置於靠近場效電晶體(FET)中的閘極結構。SAC的形成方法一般為圖案化層間介電(ILD)層,而ILD層下形成有接點蝕刻停止層(CESL),且CESL位於具有側壁間隔物的閘極結構上。對ILD層進行的初始蝕刻將止於CESL,接著再蝕刻CESL以形成SAC。當裝置密度增加時(比如半導體裝置的尺寸縮小),側壁間隔物的厚度將變薄而導致S/D接點與閘極之間的短路。綜上所述,目前亟需SAC結構及其形成方法,其於S/D接點與閘極之間具有改良的電性絕緣。
本揭露一實施例提供之半導體裝置的形成方法,包括:形成第一閘極結構於基板上,第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層的相反兩側面上、以及多個第二側壁間隔物位於第一側壁間隔物上,且第一閘極結構沿著第一方向延伸;形成第一源極/汲極區;形成第一絕緣層於第一源極/汲 極區上;在形成第一絕緣層後,使第一絕緣蓋層與第二側壁間隔物凹陷,並使第一側壁間隔物凹陷,以形成第一凹陷空間;以及形成第一保護層於第一凹陷空間中,其中第一凹陷空間沿著第二方向的剖面為π形,其具有頂部位於第一絕緣蓋層與第二側壁間隔物上,以及兩個腳部位於第一側壁間隔物上,其中第二方向垂直於該第一方向,以及第一保護層沿著第二方向的剖面為π形,其具有頂部與兩個腳部。
本揭露一實施例提供之半導體裝置的形成方法,包括:形成第一閘極結構與第二閘極結構於基板上,第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層之相反兩側面上、以及多個第一蝕刻停止層位於第一側壁間隔物上,第二閘極結構包括第二閘極、第二絕緣蓋層位於第二閘極上、多個第二側壁間隔物位於第二閘極與第二絕緣蓋層之相反兩側面上、以及多個第二蝕刻停止層位於第二側壁間隔物上,且第一閘極結構與第二閘極結構沿著第一方向延伸;形成第一源極/汲極區於第一閘極結構與第二閘極結構之間的區域中;形成第一絕緣層於第一源極/汲極區上以及第一閘極結構與第二閘極結構之間;在形成第一絕緣層後,使第一絕緣蓋層、第二絕緣蓋層、第一蝕刻停止層、與第二蝕刻停止層凹陷,並使第一側壁間隔物與第二側壁間隔物凹陷,以形成第一凹陷空間於第一閘極上,與第二凹陷空間於第二閘極上;以及形成第一保護層於第一凹陷空間中,以及第二保護層於第二凹陷空間中,其中第一凹陷空間與第二凹陷空間沿著第二方向的剖面各自為π形,其具有頂 部與兩個腳部,且第二方向垂直於第一方向;以及第一保護層與第二保護層沿著第二方向的剖面各自為π形,其具有頂部與兩個腳部。
本揭露一實施例提供之半導體裝置,包括:第一閘極結構,包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層之相反兩側面上、以及多個第二側壁間隔物位於第一側壁間隔物上,且第一閘極結構沿著第一方向延伸;以及第一保護層,形成於第一絕緣蓋層、第一側壁間隔物、與第二側壁間隔物上,其中第一保護層沿著第二方向的剖面為π形,其具有頂部與兩個腳部,且第二方向垂直於第一方向。
D1、D2‧‧‧深度
H1、H2、H3‧‧‧高度
T1、T2‧‧‧厚度
X1-X1‧‧‧剖線
5‧‧‧通道層
10、330‧‧‧金屬閘極結構
12‧‧‧界面介電層
14‧‧‧閘極介電層
16‧‧‧功函數調整層
18‧‧‧層狀物
20、340‧‧‧絕緣蓋層
25、26、37‧‧‧凹陷空間
30、350‧‧‧側壁間隔物
35‧‧‧CESL
40‧‧‧第一ILD層
50、360‧‧‧源極/汲極區
61、63、73、75‧‧‧腳部
62、72‧‧‧頂部
70‧‧‧保護層
85‧‧‧接點孔
90‧‧‧導電材料
95‧‧‧源極/汲極接點
100‧‧‧S/D絕緣蓋層
105‧‧‧ESL
108‧‧‧第三ILD層
110、115‧‧‧通孔插塞
120‧‧‧第一金屬線路
125‧‧‧第二金屬線路
300‧‧‧基板
310‧‧‧鰭狀結構
315‧‧‧通道區
320‧‧‧隔離絕緣層
370‧‧‧ILD層
第1A圖係本揭露一實施例中,半導體裝置於一製程階段的上視圖。
第1B圖係第1A圖中剖線X1-X1的剖視圖。
第1C圖係第1B圖中的閘極結構之放大圖。
第1D圖係本揭露一實施例中,半導體裝置於一製程階段的透視圖。
第2至10圖係本揭露一實施例中,半導體裝置於多個製程階段中,沿著第1A圖中剖線X1-X1的剖視圖。
可以理解的是,下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以 簡化本發明而非侷限本發明。舉例來說,單元尺寸並不限於揭露的範圍或數值,而可依製程條件及/或裝置所需的性質而定。此外,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。為了簡化與清楚說明,可依不同比例任意繪示多種結構。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,用語「之組成為」指的是「包括」或者「由...組成」。
第1A與1B圖係本揭露一實施例中,半導體裝置於一製程階段的圖式。第1A圖係上視圖,而第1B圖係第1A圖中剖線X1-X1的剖視圖。
第1A與1B圖係形成金屬閘極結構後的半導體裝置結構。在第1A與1B圖中,金屬閘極結構10形成於通道層5(比如部份的鰭狀結構)上,而絕緣蓋層20在Z方向位於金屬閘極結構10上。金屬閘極結構10沿著Y方向延伸,並沿著X方向排列。在某些實施例中,金屬閘極結構10的厚度介於約15nm至約50nm之間。在某些實施例中,絕緣蓋層20之厚度介於約10nm至約30nm之間。在其他實施例中,絕緣蓋層20之厚度介於約15nm至約20nm之間。側壁間隔物30(可稱作第一側壁)位於金屬閘極結構10與絕緣蓋層20的側壁上。在某些實施例中,側壁 間隔物30其底部的膜厚介於約3nm至約15nm之間。在其他實施例中,側壁間隔物30其底部的膜厚介於約4nm至約8nm之間。金屬閘極結構10、絕緣蓋層20、與側壁間隔物0之組合可統稱為閘極結構。此外,源極/汲極區50與閘極結構相鄰,且閘極結構之間的空間填有第一ILD(層間介電)層40。此外,CESL(接點蝕刻停止層)35形成於側壁間隔物30上,如第1A與1B圖所示。CESL 35亦可稱作第二側壁。在某些實施例中,CESL 35之膜厚介於約3nm至約15nm之間。在其他實施例中,CESL 35介於約4nm至約8nm之間。
第1C圖係閘極結構的放大圖。金屬閘極結構10包含一或多層的層狀物18,其可為金屬材料如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlC、TiAlN、TaN、NiSi、CoSi、或其他導電材料。閘極介電層14位於通道層5與金屬閘極之間,其包含一或多層的金屬氧化物如高k的金屬氧化物。用於高k介電物的金屬氧化物包含下述金屬之氧化物:Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、及或上述之混合物。在某些實施例中,界面介電層12可形成於通道層5與閘極介電層14之間,其組成可為氧化矽。
在某些實施例中,一或多個功函數調整層16夾設於閘極介電層14與層狀物18之間。功函數調整層16之組成可為導電材料,比如單層的TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi、或TiAlC;或者上述材料之多層結構。對n型通道FET而言,功函數調整層16可為一或多層的 TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi、或TaSi。對p型通道FET而言,功函數調整層16可為一或多層的TiAlC,Al,TiAl、TaN、TaAlC、TiN、TiC、或Co。
絕緣蓋層20包含一或多層的絕緣材料如氮化矽為主的材料,比如SiN、SiCN、或SiOCN。側壁間隔物30與絕緣材蓋層20之材料組成不同,且可包含一或多層的絕緣材料如氧化矽為主的材料(比如SiOC與SiOCN)或介電常數介於約3至約4之間的低k材料。在某些實施例中,CESL 35與絕緣蓋層20之材料組成不同,且可包含一或多層的絕緣材料如氮化矽為主的材料,比如SiN、SiCN、或SiOCN。在某些實施例中,CESL 35與絕緣蓋層20之材料組成相同。第一ILD層40包含一或多層的絕緣材料如氧化矽為主的材料,比如二氧化矽或SiON。
在此實施例中,側壁間隔物30之材料與CESL 35、絕緣蓋層20、及第一ILD層40之材料不同,因此可選擇性地蝕刻個別層狀物。在一實施例中,側壁間隔物30之組成為SiOC或SiOCN,絕緣蓋層20與CESL 35之組成為SiN,且第一ILD層之組成為SiO2
在此實施例中,採用閘極置換製程形成鰭狀場效電晶體(FinFET)。
第1D圖係FinFET結構的透視圖。
首先,鰭狀結構310係形成於基板300上。鰭狀結構310包含底部區與較上區(如通道區315)。舉例來說,基板300可為p型矽基板,其掺雜濃度介於約1×1015cm-3至約1×1018cm-3之間。在其他實施例中,基板300可為n型基板,其掺雜濃度介 於約1×1015cm-3至約1×1018cm-3之間。在其他實施例中,基板300可包含另一半導體元素如鍺,亦可為包含IV-IV族的半導體化合物如SiC或SiGE,包含III-V族的半導體化合物如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP,或上述之組合。在一實施例中,基板300為SOI(絕緣層上矽)基板的矽層。
在形成鰭狀結構310後,形成隔離絕緣層320於鰭狀結構310上。隔離絕緣層320包含一或多層的絕緣材料如氧化矽、氮氧化矽、或氮化矽,其形成方法可為LPCVD(低壓化學氣相沉積)、電漿CVD、或可流動CVD。隔離絕緣層可為一或多層的旋轉塗佈玻璃(SOG)、氧化矽、SiON、SOCN、及/或掺雜氟的矽酸鹽玻璃(FSG)。
在形成隔離絕緣層320於鰭狀結構310上後,進行平坦化製程以移除部份的隔離絕緣層320。平坦化製程可包含化學機械研磨(CMP)及/或回蝕刻製程。接著進一步移除隔離絕緣層320使其凹陷,以露出鰭狀結構310的上表面。
虛置閘極結構係形成於露出的鰭狀結構上。虛置閘極結構包含虛置閘極層與虛置閘極介電層。虛置閘極層之組成為多晶矽。側壁間隔物350包含一或多層的絕緣材料,其亦形成於虛置閘極層的側壁上。在形成虛置閘極結構後,虛置閘極結構未覆蓋的鰭狀結構310將凹陷至低於隔離絕緣層320的上表面。接著以磊晶成長法形成源極/汲極區360於凹陷的鰭狀結構上。源極/汲極區360可包含應力材料,以施加應力至通道區315。
接著形成ILD(層間介電)層370於虛置閘極結構與源極/汲極區360上。在平坦化步驟後,虛置閘極結構被移除以形成閘極空間。接著將金屬閘極結構330形成於閘極空間中,且金屬閘極結構330包含金屬閘極與閘極介電層(如高k介電層)。此外,絕緣蓋層340形成於金屬閘極結構330上。CESL(未圖示於第1D圖中)形成於側壁間隔物350上。在第1D圖中,截斷部份的金屬閘極結構330、絕緣蓋層340、側壁間隔物350、與ILD層370以顯示下方的結構。
第1D圖中的金屬閘極結構330、絕緣蓋層340、側壁間隔物350、源極/汲極區360、與ILD層370,實質上分別對應第1A與1B中的金屬閘極結構10、絕緣蓋層20、側壁間隔物30、源極/汲極區50、與第一ILD層40。
第2至10圖係本揭露一實施例中,半導體裝置於多個製程階段中,沿著第1A圖中剖線X1-X1的剖視圖。可以理解的是,在第2至10圖的製程之前、之中、或之後可進行額外步驟,且可置換或省略某些下述步驟於其他實施例。下述步驟/製程的順序可交換。
如第2圖所示,以乾蝕刻及/或溼蝕刻使絕緣蓋層20與CESL 35凹陷。由於絕緣蓋層20與CESL 35之組成為相同材料,且不同於側壁間隔物30與第一ILD層40之材料組成,因此可實質上選擇性地蝕刻絕緣蓋層20與CESL 35。在某些實施例中,在凹陷的絕緣蓋層20上之凹陷空間25,其自第一ILD層40之上表面向下的深度D1介於約10nm至約30nm之間。在其他實施例中,深度D1介於約15nm至約25nm之間。位於凹陷的CESL 35上之凹陷空間26,其深度與前述之深度D1實質上相同。然而凹陷空間26之深度可小於或大於深度D1,且兩者之差距大於約1nm。
如第3圖所示,採用乾蝕刻及/或濕蝕刻使側壁間隔物30凹陷,以形成凹陷空間37。由於側壁間隔物30的材料組成不同於絕緣蓋層20、CESL 35、與第一ILD層40的材料組成,因此可實質上選擇性地蝕刻側壁間隔物30。如第3圖所示,π形的凹陷在沿著X方向的剖面中具有頂部62與兩個腳部61與63。在某些實施例中,凹陷空間37自第一ILD層40之上表面向下的深度D2,比深度D1多至少5nm,且介於約20nm至約50nm之間。在其他實施例中,深度D2介於約10nm至約30nm之間。凹陷空間37的底部具有自閘極結構10(如層狀物18)之上表面向上的高度H1,其介於約5nm至約30nm之間。
如第3圖所示,深度D2大於深度D1,且兩者差距大於約3nm。值得注意的是,可在使側壁間隔物30凹陷之後,再使絕緣蓋層20與CESL 35凹陷。
保護層係實質上形成於凹陷空間25、26、與37中。如第4圖所示,一或多層的保護層70如絕緣材料係形成於第3圖之結構上。接著進行平坦化步驟如回蝕刻及/或化學機械研磨(CMP)製程,以形成第5圖所示之結構。絕緣材料之形成方法可為CVD、物理氣相沉積(PVD)如濺鍍、原子層沉積(ALD)、或其他合適的成膜方法。在平坦化步驟後,某些實施例之保護層70自絕緣蓋層20之上表面向上之高度H2介於約5nm至約20nm之間。在其他實施例中,高度H2介於約7nm至約15nm之間。
保護層70之材料組成與氧化矽為主的材料相較具有高抗蝕刻性。在某些實施例中,保護層70可採用氮化鋁、氮氧化鋁、氧化鋁、氧化鈦、與氧化鋯中至少一者。
如第5圖所示,π形的保護層70在沿著X方向的剖面具有頂部72與兩個腳部73與75。在某些實施例中,腳部73與75之高度H3介於約5nm至約10nm之間。
在形成保護層70後,以適當的微影與蝕刻步驟移除源極/汲極區50上的第一ILD層40,以形成接點孔85露出至少一源極/汲極區50,如第6圖所示。
在某些實施例中,完全移除第一ILD層40後,再形成第二ILD層於閘極結構上。接著以微影與蝕刻步驟形成接點孔85以露出至少一源極/汲極區50,如第6圖所示。
如第6圖所示,在蝕刻形成接點孔85時,亦蝕刻部份保護層70。然而保護層70在接點孔蝕刻製程中的抗蝕刻性高於CESL的抗蝕刻性,因此可最小化CESL 35的蝕刻量。此外,由於保護層70的關係,形成接點孔的蝕刻製程不會蝕刻絕緣蓋層20與側壁間隔物30。如此一來,絕緣蓋層20的較上端維持實質上垂直的角落。由於絕緣蓋層20被保護而不被蝕刻,可避免金屬閘極10與源極/汲極接點95(見第8與9圖)之間的短路。
在形成接點孔85後,形成導電材料90於第6圖之結構上。如第7圖所示,一或多層的導電材料90如鎢、鈦、鈷、鉭、銅、鋁、鎳、上述之矽化物、或其他合適材料係形成於第6圖之結構上。接著進行平坦化步驟如CMP製程,以得第8圖所示之結構。兩相鄰閘極結構之間的空間填有導電材料,以形成 接觸源極/汲極區50的源極/汲極接點95。
在此實施例中,未移除且保留保護層70,如第9圖所示。在此例中,保護層70可作為CMP製程的研磨停止層。源極/汲極接點95接觸源極/汲極區50。在某些實施例中,CMP製程或後續用於S/D絕緣蓋層之CMP製程將進一步移除保護層70。
在形成源極/汲極接點95後,移除較上部份的源極/汲極接點95使其凹陷,並形成S/D絕緣蓋層100如第9圖所示。形成絕緣材料的毯覆層如SiC或SiOC後,進行CMP步驟以形成S/D絕緣蓋層100。在第9圖中,某些實施例之π形的保護層70其頂部的厚度T1介於約1nm至約5nm之間。此外,π形的保護層70其腳部的厚度T2大於頂部的厚度T1。在某些實施例中,厚度T2與厚度T1的比例(T2/T1)介於約1至約10之間。在其他實施例中,T2/T1介於約2至約6之間。
接著形成ESL(蝕刻停止層)105與第三ILD層108於第9圖所示之結構上。接著進行圖案化步驟以形成通孔。將一或多層的導電材料填入通孔,以形成通孔插塞110與115及其上的第一金屬線路120與第二金屬線路125,如第10圖所示。第一金屬線路120、第二金屬線路125、及通孔插塞110與115之形成方法可為雙鑲嵌製程。在某些實施例中,可省略ESL 105。
可以理解的是,可對第10圖之裝置進行後續CMOS製程,以形成多種結構如內連線金屬層、介電層、保護層、或類似物。
此處所述之多種實施例或實例與現有技術相較, 具有多種優勢如下。舉例來說,本揭露實施例中的保護層70形成於金屬閘極、側壁間隔物、與絕緣蓋層上,可避免蝕刻形成接點孔時同時蝕刻絕緣蓋層,進而避免金屬閘極與源極/汲極接點之間的短路。
應理解的是,上述內容不必提及所有的優點,所有實施例或實例不需包含特定優點,且其他實施例或實例可具有不同優點。
在本揭露一實施例之半導體裝置的形成方法中,形成第一閘極結構於基板上。第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層的相反兩側面上、以及多個第二側壁間隔物位於第一側壁間隔物上。第一閘極結構沿著第一方向延伸。形成第一源極/汲極區。形成第一絕緣層於第一源極/汲極區上。在形成第一絕緣層後,使第一絕緣蓋層與第二側壁間隔物凹陷,並使第一側壁間隔物凹陷,以形成第一凹陷空間。形成第一保護層於第一凹陷空間中。第一凹陷空間沿著第二方向的剖面為π形,其具有一頂部位於第一絕緣蓋層與第二側壁間隔物上,以及兩個腳部位於第一側壁間隔物上,其中第二方向垂直於第一方向。第一保護層沿著第二方向的剖面為π形,其具有頂部與兩個腳部。
在本揭露一實施例之半導體裝置的形成方法中,形成第一閘極結構與第二閘極結構於基板上。第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層之相反兩側面上、以及多個 第一蝕刻停止層位於第一側壁間隔物上。第二閘極結構包括第二閘極、第二絕緣蓋層位於第二閘極上、多個第二側壁間隔物位於第二閘極與第二絕緣蓋層之相反兩側面上、以及多個第二蝕刻停止層位於第二側壁間隔物上。第一閘極結構與第二閘極結構沿著第一方向延伸。形成第一源極/汲極區於第一閘極結構與第二閘極結構之間的區域中。形成第一絕緣層於第一源極/汲極區上以及第一閘極結構與第二閘極結構之間。在形成第一絕緣層後,使第一絕緣蓋層、第二絕緣蓋層、第一蝕刻停止層、與第二蝕刻停止層凹陷,並使第一側壁間隔物與第二側壁間隔物凹陷,以形成第一凹陷空間於第一閘極上,與第二凹陷空間於第二閘極上。形成第一保護層於第一凹陷空間中,以及第二保護層於第二凹陷空間中。第一凹陷空間與第二凹陷空間沿著一第二方向的剖面各自為π形,其具有頂部與兩個腳部,且第二方向垂直於第一方向。第一保護層與第二保護層沿著第二方向的剖面各自為π形,其具有頂部與兩個腳部。
本揭露又一實施例中的半導體裝置,包括第一閘極結構位於基板上且沿著第一方向延伸。第一閘極結構包括第一閘極、第一絕緣蓋層位於第一閘極上、多個第一側壁間隔物位於第一閘極與第一絕緣蓋層之相反兩側面上、以及多個第二側壁間隔物位於第一側壁間隔物上。半導體裝置亦包含第一保護層形成於第一絕緣蓋層、第一側壁間隔物、與第二側壁間隔物上。第一保護層沿著第二方向的剖面為π形,其具有頂部與兩個腳部,且第二方向垂直於第一方向。
上述實施例或實例之特徵有利於本技術領域中具 有通常知識者理解本揭露實施例。本技術領域中具有通常知識者應理解可採用本揭露實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露實施例之精神與範疇,並可在未脫離本揭露實施例之精神與範疇的前提下進行改變、替換、或更動。
5‧‧‧通道層
10‧‧‧金屬閘極結構
20‧‧‧絕緣蓋層
30‧‧‧側壁間隔物
35‧‧‧CESL
50‧‧‧源極/汲極區
70‧‧‧保護層
95‧‧‧源極/汲極接點
100‧‧‧S/D絕緣蓋層
105‧‧‧ESL
108‧‧‧第三ILD層
110、115‧‧‧通孔插塞
120‧‧‧第一金屬線路
125‧‧‧第二金屬線路

Claims (10)

  1. 一種半導體裝置的形成方法,包括:形成一第一閘極結構於一基板上,該第一閘極結構包括一第一閘極、一第一絕緣蓋層位於該第一閘極上、多個第一側壁間隔物位於該第一閘極與該第一絕緣蓋層的相反兩側面上、以及多個第二側壁間隔物位於該些第一側壁間隔物上,且該第一閘極結構沿著一第一方向延伸;形成一第一源極/汲極區;形成一第一絕緣層於該第一源極/汲極區上;在形成該第一絕緣層後,使該第一絕緣蓋層與該些第二側壁間隔物凹陷,並使該些第一側壁間隔物凹陷,以形成一第一凹陷空間;以及形成一第一保護層於該第一凹陷空間中;其中該第一凹陷空間沿著一第二方向的剖面為π形,其具有一頂部位於該第一絕緣蓋層與該些第二側壁間隔物上,以及兩個腳部位於該些第一側壁間隔物上,其中該第二方向垂直於該第一方向;以及該第一保護層沿著該第二方向的剖面為π形,其具有一頂部與兩個腳部。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一絕緣蓋層與該第二側壁間隔物之材料組成相同,且與該第一側壁間隔物之材料組成不同。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,在形成該第一保護層之步驟後,更包括: 移除該源極/汲極區上的部份該第一絕緣層,以形成一接點孔;將一導電材料填入該接點孔;使填入的該導電材料凹陷;以及形成一第二絕緣層於凹陷的該導電材料上;其中形成該接點孔之步驟不蝕刻該第一絕緣蓋層。
  4. 一種半導體裝置的形成方法,包括:形成一第一閘極結構與一第二閘極結構於一基板上,該第一閘極結構包括一第一閘極、一第一絕緣蓋層位於該第一閘極上、多個第一側壁間隔物位於該第一閘極與該第一絕緣蓋層之相反兩側面上、以及多個第一蝕刻停止層位於該些第一側壁間隔物上,該第二閘極結構包括一第二閘極、一第二絕緣蓋層位於該第二閘極上、多個第二側壁間隔物位於該第二閘極與該第二絕緣蓋層之相反兩側面上、以及多個第二蝕刻停止層位於該些第二側壁間隔物上,且該第一閘極結構與該第二閘極結構沿著一第一方向延伸;形成一第一源極/汲極區於該第一閘極結構與該第二閘極結構之間的區域中;形成一第一絕緣層於該第一源極/汲極區上以及該第一閘極結構與該第二閘極結構之間;在形成該第一絕緣層後,使該第一絕緣蓋層、該第二絕緣蓋層、該第一蝕刻停止層、與該第二蝕刻停止層凹陷,並使該些第一側壁間隔物與該些第二側壁間隔物凹陷,以形成一第一凹陷空間於該第一閘極上,與一第二凹陷空間於 該第二閘極上;以及形成一第一保護層於該第一凹陷空間中,以及一第二保護層於該第二凹陷空間中;其中該第一凹陷空間與該第二凹陷空間沿著一第二方向的剖面各自為π形,其具有一頂部與兩個腳部,且該第二方向垂直於該第一方向;以及該第一保護層與該第二保護層沿著該第二方向的剖面各自為π形,其具有一頂部與兩個腳部。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中該第一絕緣蓋層、該第二絕緣蓋層、該第一蝕刻停止層、與該第二蝕刻停止層之材料組成相同,而與該第一側壁間隔物與該第二側壁間隔物之材料組成不同。
  6. 如申請專利範圍第4項所述之半導體裝置的形成方法,在形成該第一保護層之步驟後更包括:移除該源極/汲極區上的該第一絕緣層,以形成一接點孔;將一導電材料填入接點孔;使填入的該導電材料凹陷;以及形成一第二絕緣層於凹陷的該導電材料上;其中形成該導電孔之步驟不蝕刻該第一絕緣蓋層與該第二絕緣蓋層。
  7. 一種半導體裝置,包括:一第一閘極結構,包括一第一閘極、一第一絕緣蓋層位於該第一閘極上、多個第一側壁間隔物位於該第一閘極與該第一絕緣蓋層之相反兩側面上、以及多個第二側壁間隔物 位於該些第一側壁間隔物上,且該第一閘極結構沿著一第一方向延伸;以及一第一保護層,形成於該第一絕緣蓋層、該些第一側壁間隔物、與該些第二側壁間隔物上;其中該第一保護層沿著一第二方向的剖面為π形,其具有一頂部與兩個腳部,且該第二方向垂直於該第一方向。
  8. 如申請專利範圍第7項所述之半導體裝置,其中該些腳部的底部接觸該些第一側壁間隔物的上表面。
  9. 如申請專利範圍第7項所述之半導體裝置,其中該第一絕緣蓋層與該第二絕緣蓋之組成包括SiN,且該些第一側壁間隔物之組成包含SiON與SiOCN中至少一者。
  10. 如申請專利範圍第9項所述之半導體裝置,其中該第一保護層之組成包括氮化鋁、氮氧化鋁、氧化鋁、氧化鈦、與氧化鋯中至少一者。
TW105131868A 2015-12-29 2016-10-03 半導體裝置與其形成方法 TWI650869B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562272300P 2015-12-29 2015-12-29
US62/272,300 2015-12-29
US15/180,907 2016-06-13
US15/180,907 US10163704B2 (en) 2015-12-29 2016-06-13 Semiconductor device and a method for fabricating the same

Publications (2)

Publication Number Publication Date
TW201724519A true TW201724519A (zh) 2017-07-01
TWI650869B TWI650869B (zh) 2019-02-11

Family

ID=59086573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131868A TWI650869B (zh) 2015-12-29 2016-10-03 半導體裝置與其形成方法

Country Status (4)

Country Link
US (3) US10163704B2 (zh)
KR (1) KR101960573B1 (zh)
CN (1) CN107017297B (zh)
TW (1) TWI650869B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630647B (zh) * 2017-09-20 2018-07-21 華邦電子股份有限公司 半導體元件及其製造方法
US10665471B2 (en) 2017-09-20 2020-05-26 Winbond Electronics Corp. Semiconductor device and manufacturing method thereof
TWI725557B (zh) * 2018-09-28 2021-04-21 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US11205597B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10056407B2 (en) * 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
CN107195581B (zh) * 2016-03-15 2023-05-02 Imec 非营利协会 到栅极的完全自对准的接触
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
US9941162B1 (en) * 2016-11-17 2018-04-10 Globalfoundries Inc. Self-aligned middle of the line (MOL) contacts
US9929048B1 (en) 2016-12-22 2018-03-27 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
KR102432866B1 (ko) * 2017-11-29 2022-08-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10861745B2 (en) * 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018107721B4 (de) * 2017-11-30 2023-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10679996B2 (en) * 2017-12-29 2020-06-09 Micron Technology, Inc. Construction of integrated circuitry and a DRAM construction
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
US10460986B2 (en) 2018-01-29 2019-10-29 Globalfoundries Inc. Cap structure
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
KR102557549B1 (ko) * 2018-04-26 2023-07-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
KR102520599B1 (ko) * 2018-07-23 2023-04-11 삼성전자주식회사 반도체 소자
US10790363B2 (en) * 2018-08-03 2020-09-29 Globalfoundries Inc. IC structure with metal cap on cobalt layer and methods of forming same
US11011625B2 (en) * 2018-09-20 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Liner for a bi-layer gate helmet and the fabrication thereof
US11139203B2 (en) * 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10943829B2 (en) * 2018-10-23 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
US10777455B2 (en) * 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN112309861B (zh) * 2019-07-30 2023-10-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、晶体管
US11621224B2 (en) * 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11532561B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11424185B2 (en) 2019-12-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US11973121B2 (en) * 2020-03-27 2024-04-30 Intel Corporation Device contacts in integrated circuit structures
US11652127B2 (en) * 2020-04-17 2023-05-16 Taiwan Semiconductor Manufacturing Company Limited Image sensor device and methods of forming the same
US11081523B1 (en) * 2020-05-14 2021-08-03 Globalfoundries Singapore Pte. Ltd. Memory devices and methods of forming memory devices
KR20220033624A (ko) 2020-09-09 2022-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11588030B2 (en) * 2020-09-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
CN113053807A (zh) * 2021-03-17 2021-06-29 泉芯集成电路制造(济南)有限公司 通孔结构的制备方法、通孔结构和半导体器件
US20220336607A1 (en) * 2021-04-20 2022-10-20 Qualcomm Incorporated Transistor cell with self-aligned gate contact
US11652152B2 (en) * 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11901434B2 (en) * 2021-04-30 2024-02-13 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828219B2 (en) * 2002-03-22 2004-12-07 Winbond Electronics Corporation Stacked spacer structure and process
JP4501965B2 (ja) * 2006-10-16 2010-07-14 ソニー株式会社 半導体装置の製造方法
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
KR20120038195A (ko) * 2010-10-13 2012-04-23 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US10016587B2 (en) 2011-05-20 2018-07-10 Excelsior Medical Corporation Caps for needleless connectors
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8952392B2 (en) * 2012-02-08 2015-02-10 United Microelectronics Corp. Semiconductor structure and process thereof
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US20130320411A1 (en) * 2012-06-05 2013-12-05 International Business Machines Corporation Borderless contacts for metal gates through selective cap deposition
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9443962B2 (en) * 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR20140094917A (ko) * 2013-01-23 2014-07-31 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9269611B2 (en) 2014-01-21 2016-02-23 GlobalFoundries, Inc. Integrated circuits having gate cap protection and methods of forming the same
US9773696B2 (en) * 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9466491B2 (en) * 2014-05-02 2016-10-11 Globalfoundries Inc. Methods of forming a semiconductor device with a spacer etch block cap and the resulting device
KR102191219B1 (ko) * 2014-05-14 2020-12-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9406568B2 (en) * 2014-11-21 2016-08-02 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
TWI633669B (zh) * 2014-12-26 2018-08-21 聯華電子股份有限公司 半導體元件及其製程
KR102407994B1 (ko) * 2015-03-23 2022-06-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
TWI650833B (zh) * 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
KR102432268B1 (ko) * 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
KR102401486B1 (ko) * 2015-04-22 2022-05-24 삼성전자주식회사 콘택 구조물을 포함하는 반도체 소자 및 그 제조 방법.
KR102396111B1 (ko) * 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102291062B1 (ko) * 2015-06-18 2021-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9780193B2 (en) * 2015-10-27 2017-10-03 United Microelectronics Corporation Device with reinforced metal gate spacer and method of fabricating
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630647B (zh) * 2017-09-20 2018-07-21 華邦電子股份有限公司 半導體元件及其製造方法
US10665471B2 (en) 2017-09-20 2020-05-26 Winbond Electronics Corp. Semiconductor device and manufacturing method thereof
TWI725557B (zh) * 2018-09-28 2021-04-21 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US11205597B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
US20170186849A1 (en) 2017-06-29
CN107017297A (zh) 2017-08-04
KR20170078514A (ko) 2017-07-07
US20200411377A1 (en) 2020-12-31
TWI650869B (zh) 2019-02-11
KR101960573B1 (ko) 2019-03-20
US10163704B2 (en) 2018-12-25
US11443984B2 (en) 2022-09-13
US10734283B2 (en) 2020-08-04
US20180337092A1 (en) 2018-11-22
CN107017297B (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
TWI650869B (zh) 半導體裝置與其形成方法
US11521970B2 (en) Semiconductor device and a method for fabricating the same
TWI604567B (zh) 半導體裝置及其製造方法
US10163718B2 (en) Semiconductor device and a method for fabricating the same
KR102158124B1 (ko) 반도체 소자 및 그 제조 방법
TWI668758B (zh) 半導體裝置及其製造方法
KR101879050B1 (ko) 반도체 디바이스 및 이를 제조하기 위한 방법
TW201732897A (zh) 半導體裝置的製造方法
US11935787B2 (en) Semiconductor device and a method for fabricating the same
CN114242590A (zh) 半导体器件及其制造方法