TW201705223A - 短通道n型場效電晶體裝置 - Google Patents

短通道n型場效電晶體裝置 Download PDF

Info

Publication number
TW201705223A
TW201705223A TW105106866A TW105106866A TW201705223A TW 201705223 A TW201705223 A TW 201705223A TW 105106866 A TW105106866 A TW 105106866A TW 105106866 A TW105106866 A TW 105106866A TW 201705223 A TW201705223 A TW 201705223A
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
halo
species
forming
carbon
Prior art date
Application number
TW105106866A
Other languages
English (en)
Other versions
TWI627663B (zh
Inventor
奇 東 努伊恩
卡拉斯 何佩爾
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201705223A publication Critical patent/TW201705223A/zh
Application granted granted Critical
Publication of TWI627663B publication Critical patent/TWI627663B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明涉及短通道NFET裝置,具體提供一種形成半導體裝置的方法,其包括以相對於垂直於半導體層的表面的方向的有限傾斜角度,在該半導體層中共布植光暈物種及碳。另外,提供一種半導體裝置,其包括N通道電晶體,該N通道電晶體包含由光暈物種所製成的光暈區,該光暈區於半導體層中形成有摻質分佈,該半導體裝置還包括碳物種,該碳物種於該半導體層中布植成與該光暈區具有實質相同的摻質分佈。

Description

短通道N型場效電晶體裝置
大體上,本文中所揭示的專利標的是關於積體電路,而且尤指短通道NMOS電晶體裝置。更具體地說,本文中所揭示的專利標的是關於減少光暈物種的擴散的改良型N通道MOSFET。
半導體晶圓上形成的積體電路一般包括大量的電路元件,這些電路元件形成電路。除了例如場效應電晶體及/或雙極型電晶體等主動裝置外,積體電路還可包括諸如電阻器、電感器及/或電容器等被動裝置。特別的是,在使用CMOS技術製作複雜的積體電路期間,數百萬個電晶體,即N通道電晶體及P通道電晶體,是在包括結晶半導體層的基板上形成。
舉例而言,MOS電晶體無論是N通道電晶體或P通道電晶體,都包含所謂由高摻雜的汲極與源極區的介面所形成的PN介面,該汲極區與該源極區之間佈置有反相或低度的摻雜通道區。通道區的導電性,即導電通道的驅動電流能力,是藉由形成於該通道區附近的閘極電極來控制並藉由薄絕緣層從中分隔。
通道區的導電性在對閘極電極施加適當的控制電壓而形成導電通道時,取決於(但不限於)摻質濃度、多數電荷載子的遷移率,而對於通道區順著電晶體寬度方向的給定延展,還取決於源極與汲極區之間的距離,該距離也稱為通道長度。
因此,結合對閘極電極施加控制電壓時於絕緣層下面快速建立導電通道的能力,通道區的整體導電性實質決定MOS電晶體的效能。
現今,由於IC的半導體裝置的小型化有持續需求,所以所製作的MOS電晶體的通道長度稍低於70nm或60nm。對於此類尺寸,反轉短通道效應(reverse short-channel effect)會為短通道MOSFET的可靠操作帶來嚴重的問題。此反轉短通道效應可說明為臨界(threshold)電壓隨著通道長度的縮減而增大。
反轉短通道效應的一種成因可從通道植入物的擴散看出,舉例而言,該擴散乃是由源極/汲極延展植入物或深源極/汲極植入物或光暈植入物所誘發。注入間隙原子(self-interstitial)所造成的氧化增強型擴散在這種情況下造成嚴重的問題。這類矽點(silicon point)缺陷尤其促使光暈物種的擴散,該光暈物種如硼,是在製造N通道MOSFET時當作光暈植入物使用。事實上,已證實硼的擴散性主要是由氧化步驟期間所造成的矽間隙原子的濃度來決定。
在所屬技術領域中,已知要提供碳植入物 以便抑制光暈物種的擴散,例如硼擴散。然而,目前在TFT的通道中的碳布植未可靠地抑制增強的光暈物種的擴散,尤其是硼,從而未可靠地抑制反轉短通道效應。
因此,本發明的一目的在於減輕N通道TFT中光暈物種的擴散(尤其是硼擴散)的問題。
以下介紹本發明的簡化概要,以便對本發明的一些態樣有基本的瞭解。本概要並非本發明的詳盡概述,用意不在於鑒別本發明的重要或關鍵要素,或敘述本發明的範疇。目的僅在於以簡化形式介紹一些概念,作為下文更詳細說明的引言。
大體上,本文中揭示的專利標的是關於在通道區中具有光暈布植的N通道TFT。提供一種形成半導體裝置的方法,其包括下列步驟:以相對於垂直於半導體層的表面的方向的有限傾斜角度(tilt angle),在該半導體層中共布植(co-implant)光暈物種(halo species)及碳。尤其是,碳及光暈物種,例如硼,可在同一布植程序中進行布植。
此外,提供一種形成半導體裝置的方法,其包括下列步驟:(a)在半導體層中布植第一P型摻質(P-dopants)以在該半導體層中形成N通道電晶體的P型阱,(b)在該半導體層中布植第二P型摻質以在該半導體層中形成該N通道電晶體的光暈區,以及(c)在該半導體層中布植與該光暈區具有實質相同的摻質分佈的碳。可在半導 體層中布植第二P型摻質以在為了布植碳而實行的同一布植程序中形成光暈區。換句話說,該碳可與該光暈物種(例如硼)進行共布植。
另外,提供一種半導體裝置,其包含:N通道電晶體,其包含由光暈物種所製成的光暈區,該光暈區於半導體層中形成有摻質分佈,該半導體裝置還包括碳物種,該碳物種於該半導體層中布植成與該光暈區具有實質相同的摻質分佈。光暈物種可包含硼或由硼組成。光暈物種與碳的布植能量可實質相同。
100、200‧‧‧半導體裝置
101‧‧‧基板
102、201‧‧‧半導體層
102a‧‧‧主動區
102b‧‧‧隔離區
102h、230‧‧‧光暈區
103、104‧‧‧布植程序
150‧‧‧電晶體
151e‧‧‧延展區
160‧‧‧閘極電極結構
161‧‧‧閘極介電層
162‧‧‧含金屬電極材料
163‧‧‧電極材料
164、204‧‧‧層
165、203‧‧‧襯墊
202、240‧‧‧氧化物層
205‧‧‧多晶矽閘極
206‧‧‧氧化物覆蓋體
207‧‧‧高k閘極介電層
208‧‧‧第一間隔物層
218‧‧‧第一側壁間隔物
220‧‧‧源極/汲極延展區
250‧‧‧第二間隔物層
260‧‧‧第二側壁間隔物
270‧‧‧源極/汲極區
310、320、330‧‧‧布植程序
本揭露可搭配附圖參照以下說明來瞭解,其中相同的參考元件符號表示相似的元件,並且其中:第1a及1b圖根據本發明的一實施例,繪示一用於製造N通道電晶體的程序流程,其中碳是布植於通道區中;以及第2a至2d圖根據本發明的一實施例,繪示另一用於製造N通道電晶體的程序流程,其中碳是布植於通道區中。
儘管本文中揭示的專利標的容許各種修改及替代形式,但其特定具體實施例仍已在圖式中舉例展示,並且於本文中詳述。然而,應瞭解的是,本文中特定具體實施例的說明用意不在於將本發明限制於所揭示的特定形式,相反地,如隨附的申請專利範圍所界定,用意在於涵蓋落於本發明的精神及範疇內的所有修改、均等例、及替代方案。
下面說明本發明的各項說明性的具體實施例。為了澄清,實際實作態樣不是所有特徵都有在本說明書中說明。當然,將領會的是,在開發任何此實際的具體實施例時,必須做出許多實作態樣的特定決策才能達到開發者的特定目的,例如符合系統有關及業務有關的限制條件,這些限制條件會隨實作態樣的不同而改變。此外,將領會的是,此一開發努力可能複雜且耗時,雖然如此,仍會是具有本揭露的效益的所屬技術領域中具有通常知識者的例行工作。
以下具體實施例是經充分詳述而使所屬技術領域中具有通常知識者能夠利用本發明。要瞭解的是,其它的具體實施例基於本揭露將是會顯而易見的,而且可進行系統、結構、程序或機械的變更而不會脫離本揭露的範疇。在以下說明中,所提供的許多特定的細節是為了能夠透徹瞭解本揭露。然而,將會顯而易見的是沒有這些特定細節也可實踐本揭露的具體實施例。為了避免混淆本揭露,一些眾所周知的電路、系統組態、結構組態及程序步驟並未詳細揭示。
本揭露現將參照附圖來說明。各種的結構、系統及裝置在圖式中只是為了闡釋而繪示,為的是不要因所屬技術領域中具有通常知識者眾所周知的細節而混淆本揭露。雖然如此,仍將附圖包括進來以說明並闡釋本揭露的說明性實施例。本文中使用的字組及片語應瞭解並詮釋為與所屬技術領域中具有通常知識者瞭解的字組及片 語具有一致的意義。與所屬技術領域中具有通常知識者瞭解的通常或慣用意義不同的詞彙或片語(即定義)的特殊定義,用意不在於藉由本文詞彙或片語的一致性用法提供暗示。就一詞彙或片語用意在於具有特殊意義的方面來說,即有別於所屬技術領域中具有通常知識者瞭解的意義,此一特殊定義必須按照為此詞彙或片語直接且明確提供此特殊定義的定義方式,在本說明書中明確提出。
大體上,所述乃是製造技術及內有形成N通道電晶體的半導體裝置,其中進行碳摻雜是為了減少光暈物種在通道區中的擴散,特別是硼光暈植入物的硼擴散。尤其是,通道區的碳摻雜是以有限傾斜角度通過與光暈物種進行共布植來達成。特別的是,光暈物種與碳物種可呈相同傾斜角度進行布植,並且具有相同的布植能量。
根據本發明的一實施例,用於製造N通道電晶體裝置的程序流程是參照第1a及1b圖來說明,其中碳是在通道區中與光暈物種進行共布植。
第1a圖示意性繪示半導體裝置100的截面圖,該半導體裝置包含基板101,該基板上面設有半導體層102。半導體層102一般是區分成多個半導體區或主動區,該多個區應理解為其內及其上面待形成至少一個電晶體。為了方便起見,第1a圖中繪示電晶體150的N通道的單一主動區102a。該主動區102a是通過隔離區102b來橫向劃定,該隔離區可代表淺溝槽隔離(shallow trench isolations,STI)。P型阱是通過適當的布植在主動區102a 形成。
半導體層102可包含(包括)相當大量的矽,因為高集成密度的半導體裝置可基於矽而在大量生產時形成,原因在於可利用性增強,並且過去數十年來已開發出廣為接受的製程技術。然而,可使用任何其它適當的半導體材料,例如,含有諸如鍺、碳、矽/鍺、矽/碳、其它II-VI族或III-V族半導體化合物及類似者等其它等電子成分的矽基礎材料。基板101可以是任何能適用的基板,例如包含矽的半導體基板,尤其是單晶矽、或鍺、或兩者的混合物、或磷酸鎵、砷化鎵。
舉例而言,基板101及半導體層102可以是一包含埋置型絕緣層(圖未示)的絕緣層上覆矽(SOI)晶圓的部分,該埋置型絕緣層設置於半導體層102與基板101之間。該埋置型絕緣層可以是可包含(二)氧化矽的埋置型氧化物(buried oxide,BOX)層,例如硼矽酸玻璃。BOX層可由不同層所組成,該不同層其中一者可包含硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、或包含硼或磷的SiO2化合物。半導體層102的厚度可以在5nm至30nm的範圍內,尤其是5nm至15nm,而BOX層的厚度可落於10nm至50nm的範圍內。
在第1a圖所示的製造階段時,電晶體150包含閘極電極結構160,該閘極電極結構進而可包含閘極介電層161,該閘極介電層包括高k介電材料,可能與習知的介電材料組合,取決於整體程序及裝置要求而定。舉 例而言,該閘極介電層161可包含氧化鉿、氧化鋯及類似者。該閘極介電層161可包含高k閘極絕緣層。該高k閘極絕緣層可在氧化物層上形成,並且可包含各種的高k材料(k值大於10),諸如氧化鉿、鉿矽酸鹽、氧化鑭、氧化鋯等。高k絕緣材料的層的厚度可隨著特定應用而改變,例如:可具有約2nm至3nm的厚度。
另外,諸如氮化鈦、氮化鉭及類似者的含金屬電極材料162可在閘極介電層161上面形成,接著形成半導體為基礎的電極材料163,例如非晶矽及/或多晶矽及類似者。含金屬電極材料162可包含多層,該多層包含不同的金屬物種。閘、極電極160的功函數(work function)可藉由金屬物種來適度調整。還有,舉例而言,諸如氮化矽或氧化矽材料的介電質覆蓋層或層系統164一般是在電極材料163上形成。
閘極電極結構160可具有任何適當的閘極長度,即在第1a圖中,閘極電極結構160的水準延展部對應等於及小於50nm的閘極長度,例如等於及小於35nm。在所示製造階段中,閘極電極結構160及主動區102a是通過例如氮化矽、二氧化矽及類似者所構成的保護襯墊(liner)或襯墊系統165來包覆。
如第1a圖所示,半導體裝置100可基於以下程序策略來形成。隔離區102b可基於精密的微影、蝕刻、沉積、退火及平坦化技術來形成,從而還定義主動區102a的橫向大小、位置及形狀。在形成隔離區102b之前 或之後,可將P型阱摻質物種併入主動區102a,以便調整待於主動區102a中及上面形成的N通道電晶體的基本電子特性。
其次,實施形成敏感(sensitive)材料161及162的程序序列,其可包括適當的沉積及圖形化策略,為的是要結合含金屬電極材料以提供高k介電材料,其中,若有需要,可實施附加的退火程序,以便將諸如鑭的功函數調整金屬物種併入介電層161及/或電極層162中。
之後,半導體材料163結合一或多種諸如層164的覆蓋材料是通過實施任何適當的沉積技術來沉積,然後進行複雜的微影與蝕刻序列,以便由產生的層堆疊形成閘極電極結構160。所以,基於上述程序序列,可在早期製造階段調整高k金屬閘極電極結構160的電子特性,然而,這需要可靠約束敏感材料161、162。為此,例如以二氧化矽材料、氮化矽材料或這些層的可能組合的形式提供襯墊165,該襯墊可通過使用諸如多層沉積程序及類似者等廣為接受的沉積技術來沉積。
第1b圖示意性繪示更晚期製造階段中的半導體裝置100。如圖所示,可實施布植序列以便將摻質物種引入主動區102a。為此,可進行布植程序103以便合併汲極與源極摻質物種,藉以初步形成具有所欲的摻質濃度及深度的汲極與源極延展區151e。布植程序103可基於適當的程序參數來進行,以便透過層165合併摻質物種。布植程序103可順著如第1b圖的實線箭號所示實質垂直於半 導體層102的表面的方向來進行。
此外,可實施傾斜布植程序104以便相對汲極與源極延展區151e的摻質物種併入反摻雜物種(P型摻質),藉以形成反摻雜區,即光暈區102h。特別的是,反摻雜物種可包含硼。
離子布植程序104可相對於垂直方向呈一角度來進行,該角度可在約20度至40度之間變化,如第1b圖的虛線箭號所示。應領會的是,其它裝置區可根據廣為接受的遮罩方法通過適當的布植遮罩來可靠地包覆。因此,在布植程序103、104及相關阻劑移除程序期間,閘極電極結構160的敏感材料可通過襯墊165來可靠地包覆。
離子布植程序104不僅包含光暈物種的布植,除此之外,還共布植碳以便在往後處理步驟中,達到減少光暈物種的擴散的目的。舉例來說,可在離子布植程序104的期間,以一傾斜角度(相對主動區102a的表面的法線方向來測量,即相對於垂直於半導體層的表面的方向來測量)來布植光暈物種,例如硼,該傾斜角度介於10度至50度之間,例如20度至40度之間。特別的是,碳物種可用與光暈物種相同的傾斜角度及/或布植能量來布植。
光暈物種的布植能量的範圍可以是5keV至10keV,例如6keV至8keV。光暈摻質的密度可調整成約1013至1014cm-2。附加的碳物種可用與光暈物種相同的傾斜角度、及範圍相當於5keV至10keV或6keV至8keV的布植能量來布植。碳摻質的密度可調整成約1014至1015 cm-2。原則上,使光暈成分與共布植的碳的摻質分佈實質匹配可能較佳。
第1b圖所示的組態可能經受退火程序,該退火程序中是對裝置100實施適當的溫度,以便重新結晶化主動區102a中的布植誘發型損壞。此外,可在同一及/或一或多道附加的退火程序期間完成摻質物種的適度活化。為此,可實施諸如鐳射式退火程序、快速熱退火程序技術、閃光式退火程序及類似者等任何廣為接受的技術。在退火程序之後,延展區151e與光暈區102h及經布植的碳物種具有適當的分佈,同時也已重新建立所欲的高晶體品質。
本案發明人所進行的詳盡實驗已展示出,上述光暈成分的非所欲的擴散可在共布植後所進行的退火處理之後,通過如此進行的碳共布植而顯著減少。可達成更佳的通道連接,並且抑制短通道效應,如沖穿及高漏電流。藉此,可獲得更高的驅動電流及更低的斷開電流,並且可提升N通道電晶體的整體操作可靠度。
第2a至2d圖中繪示另一根據本發明製造半導體裝置的程序流程的實施例。第2a圖示意性繪示包含半導體層201的半導體裝置200的截面圖,該半導體層可能在埋置型氧化物層(圖未示)上形成,該埋置型氧化物層形成於基板(圖未示)上。P型阱可在半導體層201中形成,半導體層可包含矽。如參照第1a圖所述,半導體層201可通過隔離區(未示於第2a圖中)來橫向劃定,該隔離區可代表 STI。例如氧化矽層的薄氧化矽層202是通過熱氧化作用在半導體層201的表面上形成。襯墊203是在氧化物層202及閘極電極上形成。該襯墊可包含二氧化矽材料、氮化矽材料或這些層的組合,其可通過使用諸如多層沉積程序及類似者等廣為接受的沉積技術來沉積。
閘極電極包含多層204,該多層含有被氧化物覆蓋體(oxide cap)206所包覆的金屬與多晶矽閘極材料205。金屬閘極層204是在高k閘極介電層207上形成。舉例而言,高k閘極介電層207可包含氧化鉿、氧化鋯及類似者。可將諸如鑭的功函數調整物種併入含金屬層204及/或介電層207中最下層者。氧化物層203促成閘極介電質。另外,例如由氧化物材料構成的第一間隔物層208是在襯墊203上形成。第一間隔物層208可通過低壓化學氣相沉積來形成。
第2b圖示意性繪示更晚期製造階段中的半導體裝置200。在此階段中,已蝕刻出第一間隔物層208以便形成第一側壁間隔物218。襯墊層203及薄氧化物層202兩者也在閘極電極與第一側壁間隔物218未包覆處遭到移除。從半導體層201的表面移除薄氧化物層期間,也可部分或完全移除多晶矽閘極上形成的氧化物覆蓋體206。
可實行布植程序310,以便形成具有所欲的摻質濃度及深度的汲極與源極延展區220。此布植程序可垂直於半導體層201的表面來進行,即傾斜角度為零。此 外,可實行傾斜布植程序320以便相對汲極與源極延展區220的摻質物種併入反摻雜物種(P型摻質),藉以形成反摻雜區或光暈區230。特別的是,反摻雜物種可包含硼。舉例而言,傾斜的離子布植程序320可相對於法線/垂直方向呈一角度來進行,該角度可在約20度至40度之間變化。
離子布植程序320不僅包含光暈物種的布植,除此之外,還共布植碳以便在往後的處理步驟中,達到減少光暈物種的擴散的目的。舉例來說,可在離子布植程序302的期間以一傾斜角度來布植光暈物種,例如硼,該傾斜角度介於10度至50度之間,例如20度至40度之間。光暈物種的布植能量的範圍可以是5keV至10keV,例如6keV至8keV。
光暈物種與碳可用相同的傾斜角度及布植能量進行布植。光暈摻質的密度可調整成約1013至1014cm-2。附加的碳物種可用與光暈物種相同的傾斜角度、及範圍相當於5keV至10keV或6keV至8keV的布植能量來布植。碳摻質的密度可調整成約1014至1015cm-2。原則上,使光暈成分與共布植的碳的摻質分佈相匹配可能較佳。
第2c圖示意性繪示更晚期製造階段中的半導體裝置200。熱氧化作用導致薄氧化物層240在半導體層201的曝露部分上形成(還有第一側壁間隔物218)。氧化物層240上形成有第二間隔物層250,第二間隔物層250可由氮化物材料形成,第二間隔物層250的形成有助於源極/汲極區在往後的處理步驟中自對準形成。
第2d圖示意性繪示更晚期製造階段中的半導體裝置200。在此階段中,已蝕刻出第二間隔物層250以在第一側壁間隔物218上形成第二側壁間隔物260。此外,薄熱生長的氧化物層240已自多晶矽閘極205的頂端及半導體層201的表面移除。
形成第二側壁間隔物260之後,進行第三實質垂直的離子布植程序330,以在半導體層201中形成源極/汲極布植區270。進行用以形成源極/汲極布植區270的離子布植程序330可使用更高的摻質劑量來進行,而且是以比形成延展布植區220的離子布植程序310更高的布植能量來進行(第2b圖)。布植程序330是使用待形成的NMOS電晶體的N型摻質來進行。
可進行加熱或退火程序,用以修復因布植程序310、320及330對半導體層201的晶格結構造成的損壞,並且用以活化經布植的摻質材料以調整源極/汲極區270、源極/汲極延展區220與光暈區230的空間關係。特別的是,可利用略高於1000℃的溫度,例如在大約1050℃的溫度下,進行尖波(spike)退火以活化源極/汲極物種。
必要時,多晶矽閘極205及/或源極/汲極區270可進行矽化(silicidation)。原則上,可在先前於半導體層201中形成的受應力的矽鍺材料中形成源極與汲極區270。此外,第一與第二側壁間隔物218與260可由在閘極電極上呈現某些應力的本質應變材料構成。層間介電質可在第2d圖中所示的結構上方形成,並且接觸部(contact)可 在該層間介電質中形成,用以電接觸閘極電極的多晶矽閘極材料205及源極與汲極區270。
由於本案揭示的專利標的,在一些說明性具體實施例中,提供一種N通道電晶體,例如N通道MOSFET,其光暈物種(例如硼)的非所欲的擴散已減少,該非所欲的擴散乃導因於光暈成分的布植期間碳的共布植。
以上所揭示的特定具體實施例僅具有說明性,因為本發明可採用對受益於本文教示的所屬技術領域中具有通常知識者顯而易見的不同但均等方式來修改及實踐。舉例而言,以上所提出的程序步驟可按照不同的順序來進行。另外,除了如申請專利範圍中所述以外,未意圖限制於本文所示構造或設計的細節。因此,證實可改變或修改以上揭示的特定具體實施例,而且所有此類變例全都視為在本發明的範疇及精神內。因此,本文尋求的保護乃如申請專利範圍中所述。
100‧‧‧半導體裝置
101‧‧‧基板
102‧‧‧半導體層
102a‧‧‧主動區
102b‧‧‧隔離區
102h‧‧‧光暈區
103、104‧‧‧布植程序
150‧‧‧電晶體
151e‧‧‧延展區
160‧‧‧閘極電極結構
161‧‧‧閘極介電層
162‧‧‧含金屬電極材料
163‧‧‧電極材料
164‧‧‧層
165‧‧‧襯墊

Claims (16)

  1. 一種形成半導體裝置的方法,其包含下列步驟:以相對於垂直於半導體層的表面的方向的有限傾斜角度,在該半導體層中共布植光暈物種及碳。
  2. 如申請專利範圍第1項所述的方法,其中,該碳與該光暈物種是以實質相同的布植能量來布植。
  3. 如申請專利範圍第1項所述的方法,其中,該光暈物種包含硼或由硼組成。
  4. 如申請專利範圍第1項所述的方法,還包含:在該半導體層中形成源極與汲極延展區;以及在該半導體層中形成源極與汲極區。
  5. 如申請專利範圍第1項所述的方法,其中,該光暈物種及該碳兩者是以相對於垂直於該半導體層的該表面的方向呈介於20度至40度之間的傾斜角度來布植。
  6. 如申請專利範圍第1項所述的方法,還包含在該半導體層的該表面上方形成高k閘極介電層,以及在該高k閘極介電層上方形成閘極電極。
  7. 如申請專利範圍第1項所述的方法,還包含在共布植該光暈物種及該碳之前,先於該半導體層上方形成閘極電極,並於該閘極電極的側壁處形成第一側壁間隔物。
  8. 如申請專利範圍第7項所述的方法,還包含在共布植該光暈物種及該碳之後,於該第一側壁間隔物上方形成第二側壁間隔物,以及在形成該第二側壁間隔物之後,於該半導體層中形成源極與汲極區。
  9. 如申請專利範圍第7項所述的方法,還包含在形成該第一側壁間隔物之後,於該半導體層中形成源極與汲極延展區。
  10. 一種形成半導體裝置的方法,其包含下列步驟:在半導體層中布植第一P型摻質以在該半導體層中形成N通道電晶體的P型阱;在該半導體層中布植第二P型摻質以在該半導體層中形成該N通道電晶體的光暈區;以及在該半導體層中布植與該光暈區具有實質相同的摻質分佈的碳。
  11. 如申請專利範圍第10項所述的方法,其中,該第二P型摻質及該碳是在單一布植程序中以實質相同的傾斜角度及實質相同的布植能量進行共布植。
  12. 如申請專利範圍第10項所述的方法,還包含在布植該第二P型摻質及該碳之前,先於該半導體層上形成閘極介電質,並於該閘極介電質上形成閘極電極。
  13. 如申請專利範圍第12項所述的方法,其中,形成該閘極介電質包含在該半導體層的表面上形成氧化物層、並於該氧化物層上形成高k介電材料,而形成該閘極電極包含在該閘極介電質上方形成含金屬層、並於該含金屬層上方形成多晶矽層。
  14. 一種半導體裝置,其包含:N通道電晶體,其包含由光暈物種所製成的光暈區,該光暈區於半導體層中形成有摻質分佈;以及 碳物種,其布植於該半導體層中,該碳物種與該光暈區具有實質相同的摻質分佈。
  15. 如申請專利範圍第14項所述的半導體裝置,其中,該光暈物種包含硼。
  16. 如申請專利範圍第15項所述的半導體裝置,其中,該碳物種的密度超過該光暈區中該光暈物種的密度。
TW105106866A 2015-03-25 2016-03-07 短通道n型場效電晶體裝置 TWI627663B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/667,778 2015-03-25
US14/667,778 US9735012B2 (en) 2015-03-25 2015-03-25 Short-channel nFET device

Publications (2)

Publication Number Publication Date
TW201705223A true TW201705223A (zh) 2017-02-01
TWI627663B TWI627663B (zh) 2018-06-21

Family

ID=56975642

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105106866A TWI627663B (zh) 2015-03-25 2016-03-07 短通道n型場效電晶體裝置

Country Status (3)

Country Link
US (2) US9735012B2 (zh)
CN (1) CN106024600B (zh)
TW (1) TWI627663B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10312334B2 (en) * 2016-04-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
FR3051598B1 (fr) * 2016-05-20 2018-10-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation sur un meme substrat de transistors presentant des caracteristiques differentes
US20210193467A1 (en) * 2019-12-20 2021-06-24 Texas Instruments Incorporated Through-gate co-implant species to control dopant profile in transistors
CN111463284B (zh) * 2020-04-10 2023-06-09 上海华力集成电路制造有限公司 N型fet及其制造方法
CN111463286B (zh) * 2020-04-10 2023-11-10 上海华力集成电路制造有限公司 N管io组件及其制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094671B2 (en) * 2004-03-22 2006-08-22 Infineon Technologies Ag Transistor with shallow germanium implantation region in channel
DE102006009226B9 (de) * 2006-02-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Transistors mit einer erhöhten Schwellwertstabilität ohne Durchlass-Strombeeinträchtigung und Transistor
US20080258178A1 (en) * 2006-04-03 2008-10-23 Hsiang-Ying Wang Method of forming a MOS transistor
CN101621006B (zh) * 2008-07-03 2011-01-12 中芯国际集成电路制造(上海)有限公司 利用锗预非晶处理来形成p-型轻度掺杂的漏极区的方法
US8778754B2 (en) * 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
US9000505B2 (en) * 2010-10-20 2015-04-07 Texas Instruments Incorporated Quantum electro-optical device using CMOS transistor with reverse polarity drain implant
CN102737965A (zh) * 2011-04-12 2012-10-17 中芯国际集成电路制造(上海)有限公司 一种Halo结构的形成方法
CN104183495A (zh) * 2013-05-27 2014-12-03 中国科学院微电子研究所 一种半导体器件的形成方法
US20150041916A1 (en) * 2013-08-08 2015-02-12 Samsung Electronics Co., Ltd. Semiconductor device and method of forming the same
JP6143349B2 (ja) * 2013-08-30 2017-06-07 システム・インスツルメンツ株式会社 pH自動調整装置

Also Published As

Publication number Publication date
US20160284549A1 (en) 2016-09-29
US20170316944A1 (en) 2017-11-02
CN106024600A (zh) 2016-10-12
CN106024600B (zh) 2019-04-12
US10121665B2 (en) 2018-11-06
TWI627663B (zh) 2018-06-21
US9735012B2 (en) 2017-08-15

Similar Documents

Publication Publication Date Title
US7855118B2 (en) Drive current increase in transistors by asymmetric amorphization implantation
US9466700B2 (en) Semiconductor device and method of fabricating same
US8338885B2 (en) Technique for enhancing dopant profile and channel conductivity by millisecond anneal processes
KR101822267B1 (ko) Finfet 디바이스들 내의 펀치스루 스토퍼 영역들의 형성
US8354321B2 (en) Method for fabricating semiconductor devices with reduced junction diffusion
US9012277B2 (en) In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
US8183605B2 (en) Reducing transistor junction capacitance by recessing drain and source regions
US8735237B2 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
TWI627663B (zh) 短通道n型場效電晶體裝置
US20090218633A1 (en) Cmos device comprising an nmos transistor with recessed drain and source areas and a pmos transistor having a silicon/germanium material in the drain and source areas
KR20040104957A (ko) 채널 영역에서 레트로그레이드 도펀트 프로필을 구비한반도체 디바이스 및 그 제조 방법
US20190165104A1 (en) Mosfet with selective dopant deactivation underneath gate
US20040192055A1 (en) High concentration indium fluorine retrograde wells
US20150200270A1 (en) Field effect transistors for high-performance and low-power applications
US8933512B2 (en) MOSFET and method for manufacturing the same
US9984939B2 (en) Well implantation process for FinFET device
US20100237440A1 (en) Semiconductor device and method for manufacturing the same
US8669170B2 (en) Methods of reducing gate leakage
US8466500B2 (en) Semiconductor device and method for manufacturing the same
US20120196422A1 (en) Stress Memorization Technique Using Gate Encapsulation
KR20150097946A (ko) 반도체 소자의 제조 방법
TW201924060A (zh) 具有減小的橫向電場之電晶體元件
US8962410B2 (en) Transistors with different threshold voltages
CN108281482B (zh) 半导体结构及其形成方法
US20120139048A1 (en) Mosfet and method for manufacturing the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees