TW201636459A - 形成鈷或鎳互連件的方法 - Google Patents

形成鈷或鎳互連件的方法 Download PDF

Info

Publication number
TW201636459A
TW201636459A TW105111874A TW105111874A TW201636459A TW 201636459 A TW201636459 A TW 201636459A TW 105111874 A TW105111874 A TW 105111874A TW 105111874 A TW105111874 A TW 105111874A TW 201636459 A TW201636459 A TW 201636459A
Authority
TW
Taiwan
Prior art keywords
layer
workpiece
seed layer
seed
metallization
Prior art date
Application number
TW105111874A
Other languages
English (en)
Inventor
夏維羅伊
林約翰W
博許曼提蒙西
蕭萌區
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201636459A publication Critical patent/TW201636459A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/26Methods of annealing
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D9/00Heat treatment, e.g. annealing, hardening, quenching or tempering, adapted for particular articles; Furnaces therefor
    • C21D9/0062Heat-treating apparatus with a cooling or quenching zone
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22FCHANGING THE PHYSICAL STRUCTURE OF NON-FERROUS METALS AND NON-FERROUS ALLOYS
    • C22F1/00Changing the physical structure of non-ferrous metals or alloys by heat treatment or by hot or cold working
    • C22F1/10Changing the physical structure of non-ferrous metals or alloys by heat treatment or by hot or cold working of nickel or cobalt or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/12Electroplating: Baths therefor from solutions of nickel or cobalt
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

一種用於在工件上的特徵中沉積金屬的方法,該方法包括:在工件上的特徵中形成種晶層,其中種晶層包括選自由鈷和鎳組成的群組的金屬;在種晶層上電化學沉積第一金屬化層,其中電化學沉積金屬化層包括使用具有電鍍金屬離子和處於6至13範圍內的pH值的電鍍電解液;和在沉積第一金屬化層之後熱處理工件。

Description

形成鈷或鎳互連件的方法
本發明的實施方式涉及形成鈷或鎳互連結構的方法和所形成的具有鈷或鎳互連結構的工件。
本發明涉及在半導體元件中產生互連結構。積體電路(Integrated circuits;IC)包括在覆蓋基板的介電材料層內或上形成的各種半導體元件。可在介電層中或上形成的這類元件包括MRS電晶體、雙極電晶體、二極體和擴散電阻器。可在介電材料中或上形成的其他元件包括薄膜電阻器和電容器。金屬線使半導體元件互連以向這類元件供電和使得這類元件能夠共享和交換資訊。這類互連結構在介電層內的元件之間水平延伸,並在介電層之間垂直延伸。這些金屬線藉由一系列互連結構彼此連接。先將電互連結構或金屬線圖案化到介電層中以形成垂直和水平的凹陷特徵(過孔和溝槽),接著用金屬填滿凹陷特徵。含有駐留在介電質中的金屬填充線的所得層被稱為金屬化層。
積體電路技術進步中的長期目標一直是積體電路尺寸的縮小。這種積體電路尺寸的縮小對獲得較高的積體電路速度性能至關重要。積體電路性能的提高通 常伴隨著元件面積的減小和/或元件密度的增加。元件密度的增加需要減小用於形成互連結構的過孔和溝槽尺寸(寬度)。然而,隨著晶圓的特徵尺寸減小,可能產生負面影響。例如,減小尺寸的特徵可造成互連結構較不可靠。
製造互連結構的常規銅填充可導致孔隙,尤其是在具有小於30nm尺寸的特徵中。作為使用常規銅沉積形成的一種類型孔隙的一個範例,可夾斷特徵的開口。在小特徵中使用常規銅填充製程還可產生其他類型孔隙。使用常規銅填充技術形成的沉積物(deposit)的這類孔隙和其他固有性質可增加互連結構的電阻,從而減緩元件的電性能和降低銅互連結構的可靠性。
不斷減小的互連結構縮小的另一結果是電遷移故障。電遷移使銅在互連結構中再分佈,並產生可擴展到介電空間中的突出物(extrusion)。一般來說,當電路處於操作中,導線的金屬原子經歷高電流密度時發生電遷移。如果電流密度足夠高,則金屬原子在電子流方向上遷移,從而形成金屬離子已離開的孔隙和形成由金屬材料組成的突出物,突出物沿金屬互連結構的長度突出到金屬或介電阻擋層的外側。孔隙將導致銅互連結構變薄,並最終完全分離,導致開路。此外,突出物可導致銅金屬延伸穿過銅互連結構和進入相鄰銅線中,從而導致短路。
隨著積體電路不斷的小型化,在銅互連結構情況下,因電遷移造成互連結構故障的可能性增加,因為故障是由較小孔隙引起的。這就需要對電遷移故障進行補救。
一旦孔隙開始在金屬線中發展,導電金屬在那個點處變得較窄。由於導體橫截面的減小,通過線的電流密度在變窄位置處增加。結果,互連結構溫度因焦耳加熱而增加。隨著互連結構溫度上升,孔隙生長加快,導致惡性循環,最終造成開路。
銅互連結構的另一缺點是小特徵中的線電阻和通路電阻。例如,針對21nm互連結構所預期的2003ITRS電阻率預計是體電阻率的4倍。Sarvari、Reza等人的“Impact of size effects on the resistivity of copper wires and consequently the design and performance of metal interconnect networks.”Interconnect Technology Conference(互連技術會議),2005.Proceedings of the IEEE 2005 International.IEEE,2005.
處理銅金屬化缺點的一種方法是使用銅合金或除了銅以外的金屬,例如W、Co、Ni、Mn、Sn、Au、Ag、Al或上述金屬的合金。例如,眾所周知,由於Co與Cu相比具有改善的介面和較高的熔點,因此Co相較於Cu增強了電遷移壽命。Co層常用於Cu互連結構 中作為分流層和作為黏合增強層。Lane、M.W.、E.G.Liniger和J.R.Lloyd的“Relationship between interfacial adhesion and electromigration in Cu metallization.”Journal of Applied Physics 93.3(2003):1417-1421。因此,本發明的實施方式針對Co金屬化的整合方案以解決這些和其他問題。
提供本概述,以簡化形式介紹選擇的構思,下文在具體實施方式中將進一步描述這些構思。本概述並不旨在標識所要求保護的主題的關鍵特徵,也不旨在用來輔助確定所要求保護的主題的範圍。
根據本揭示內容的一個實施方式,一種用於在工件上的特徵中沉積金屬的方法。該方法包括:在工件上的特徵中形成種晶層,其中種晶層包括選自由鈷和鎳組成的群組的金屬;在種晶層上電化學沉積第一金屬化層,其中電化學沉積金屬化層包括使用具有電鍍金屬離子和6至13範圍內的pH值的電鍍電解液;和在沉積第一金屬化層之後熱處理工件。
根據本揭示內容的另一實施方式,提供一種微特徵工件。該工件包括:具有特徵的介電質,其中特徵的臨界尺寸小於30nm;和特徵中的體(bulk)金屬化層,該體金屬化層在電化學沉積膜與種晶膜之間無可檢測(detectable)介面,其中體金屬化層包括鈷或鎳。
在本文所描述的任何實施方式中,電鍍金屬離子可選自由鈷、鎳和銅組成的群組。
在本文所描述的任何實施方式中,該方法可包括在具有兩個不同尺寸的工件上沉積至少兩個特徵,其中種晶層填滿最小特徵,但不填滿最大特徵。
在本文所描述的任何實施方式中,該方法可包括在具有兩個不同尺寸的工件上沉積至少兩個特徵,其中種晶層不填滿任一特徵。
在本文所描述的任何實施方式中,用於熱處理工件的溫度可處於150℃至400℃的溫度範圍內。
在本文所描述的任何實施方式中,熱處理工件可使種晶和第一金屬化層退火。
在本文所描述的任何實施方式中,熱處理工件可使種晶和第一金屬化層中的至少一個層回流(reflow)以至少部分地填充特徵。
在本文所描述的任何實施方式中,該方法可包括使用氫自由基H*電漿處理種晶層。
在本文所描述的任何實施方式中,該方法可包括在沉積第一金屬化層之前熱處理種晶層。
在本文所描述的任何實施方式中,熱處理種晶層可處於200℃至400℃的溫度範圍內。
在本文所描述的任何實施方式中,熱處理種晶層可使種晶層退火。
在本文所描述的任何實施方式中,熱處理種晶層可使種晶層回流以至少部分地填充特徵。
在本文所描述的任何實施方式中,第一金屬化層可以是保形或超保形導電層。
在本文所描述的任何實施方式中,第一金屬化層可包括上覆層。
在本文所描述的任何實施方式中,第一金屬化層可填滿最大特徵,而不在工件上沉積上覆層。
在本文所描述的任何實施方式中,該方法可包括在第一金屬化層上電化學沉積第二金屬化層。
在本文所描述的任何實施方式中,第二金屬化層可以是上覆層、帽、填充層、保形導電層或超保形導電層。
在本文所描述的任何實施方式中,第二金屬化層可不經歷熱處理。
在本文所描述的任何實施方式中,該方法可包括CMP。
在本文所描述的任何實施方式中,該方法可包括在CMP之後熱處理工件。
在本文所描述的任何實施方式中,種晶層可具有薄層電阻(sheet resistance),該薄層電阻選自由大於約10Ohm/sq.、大於約50Ohm/sq.和大於約100Ohm/sq.組成的群組。
在本文所描述的任何實施方式中,可藉由選自由物理氣相沉積、化學氣相沉積、原子層沉積和無電沉積組成的群組的製程沉積種晶層。
在本文所描述的任何實施方式中,工件可包括在沉積種晶層之前沉積在特徵中的黏合或阻擋層。
在本文所描述的任何實施方式中,工件可包括直接沉積在介電層上的鈷種晶層。
在本文所描述的任何實施方式中,最小特徵的臨界尺寸可小於30nm。
在本文所描述的任何實施方式中,可將與工件的電觸點至少部分地浸於沉積電解液中,電觸點用於在電化學沉積製程中與工件產生電連接。
在本文所描述的任何實施方式中,電觸點可選自由開放式觸點、非密封式觸點、嵌入式觸點和遮罩式觸點組成的群組。
在本文所描述的任何實施方式中,可在種晶層的整個表面之上沉積第一金屬化層。
20‧‧‧鈷互連結構/工件
22‧‧‧介電層
28‧‧‧黏合層
30‧‧‧種晶層
32‧‧‧金屬化層
120‧‧‧系統
122‧‧‧外殼
124‧‧‧容器
126‧‧‧裝載/卸載站
128‧‧‧前部介面
130‧‧‧退火模組
132‧‧‧沖洗/乾燥模組
140‧‧‧環模組
142‧‧‧電鍍腔室
當結合附圖來參閱以下詳細描述時,本發明的前述方面和許多伴隨優點將變得更加易於瞭解,並且變得更好理解。
圖1A至圖1F是根據本揭示內容的一個實施方式的形成鈷互連結構的方法的一系列示意圖; 圖2A至圖2G是根據本揭示內容的另一實施方式的形成鈷互連結構的方法的一系列示意圖;圖3A至圖3F是根據本揭示內容的另一實施方式的形成鈷互連結構的方法的一系列示意圖;圖4至圖6是根據本文描述的方法製造工件的各種工具;圖7A至圖7C是根據本揭示內容的實施方式的從種晶層去除氧化物和/或其他污染物的方法的一系列示意圖;圖8示意性圖示根據本揭示內容的實施方式的供方法使用的氫離子電漿腔室;圖9示意性圖示根據本揭示內容的另一實施方式的供方法使用的電化學沉積電鍍工具;圖10A和圖10B是描繪根據本揭示內容的實施方式的示例性工件的示意圖;和圖11至圖21是描繪根據本揭示內容的實施方式的示例性製程的一系列流程圖。
本揭示內容涉及微電子工件的特徵(如溝槽和過孔,尤其是鑲嵌應用中)中的非銅金屬化層(如鈷(Co)和鎳(Ni))的方法和整合。
本揭示內容的實施方式針對工件(如半導體晶圓)、用於處理工件的裝置或處理組件和處理工件的方法。術語“工件”、“晶圓”和“半導體晶圓”是指 任何平面媒介或物品,包括半導體晶圓和其他基板或晶圓、玻璃、遮罩和光學或存儲媒介、MEMS基板或任何其他具有微電、微機械或微機電元件的工件。
本文所描述的方法要被用於在工件的特徵(包括溝槽和過孔)中沉積金屬或金屬合金。在本揭示內容的一個實施方式中,製程可用於小特徵中,例如具有小於50nm的特徵臨界尺寸的特徵。然而,本文所描述的製程可適用於任何特徵尺寸。本申請中所論述的尺寸大小可以是特徵的頂部開口處的蝕刻後的特徵尺寸。在本揭示內容的一個實施方式中,鑲嵌特徵可具有小於50nm的最小尺寸大小。在另一實施方式中,鑲嵌特徵可具有小於40nm的最小尺寸大小。在另一實施方式中,鑲嵌特徵可具有小於30nm的最小尺寸大小。
本文所描述的製程可(例如,在鑲嵌應用中)應用於各種形式的鈷、鎳、合金。也可修改本文所描述的製程以用於在高深寬比特徵(例如,矽通孔(through silicon via;TSV)特徵中的通孔)中沉積金屬或金屬合金。
本文使用的描述性術語“微特徵工件”和“工件”可包括在處理中的給定點處先前沉積和形成的所有結構和層,而不僅僅限於附圖中描繪的那些結構和層。例如,較大特徵可存在於根據標準半導體程式和製造的工件上。
雖然在本申請中通常被描述為金屬沉積,但是術語“金屬”還涵蓋金屬合金和共沉積金屬。這類金屬、金屬合金和共沉積金屬可用於形成種晶層或用於完全或部分地填充特徵。作為共沉積金屬和金屬合金的非限制性範例,合金組成比率可在約0.5%至約6%二次合金金屬範圍內。
參看圖1A至圖1F,現將描述使用鈷填充一個或多個特徵和形成示例性鈷互連結構的整合方案。作為非限制性範例,鈷互連結構20中的一系列層通常包括介電層22(參看圖1A)、選擇性黏合層28(參看圖1B)、種晶層30(參看圖1C)和金屬化層32(參看圖1D)。針對第一小特徵和第二較大特徵說明整合方案。如圖1C所示,整合方案包括在第一特徵和第二特徵兩者中沉積薄CVD Co種晶層30。
參看圖1B,金屬互連結構的製造可包括在介電材料上沉積選擇性黏合層28。適宜黏合層包括例如鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)等。作為非限制性範例,黏合層可以是藉由CVD或ALD製程形成的TiN層。在一些應用中,黏合層可並非必需。
參看圖1C,種晶層30被沉積在黏合層28上,或如果無黏合層,則直接沉積在介電層22上。根據本揭示內容的實施方式,種晶層例如藉由CVD製程由Co或Ni形成。雖然通常藉由CVD製程形成,但是也可藉由使用其他沉積技術(如ALD、PVD或無電沉積)形 成種晶層。種晶層30還可以是包括種晶層和襯裡層(未示出)的堆疊膜。
在所示實施方式中,種晶層30填滿較小特徵,但不填滿較大特徵。圖1C可見,種晶層的厚度可等於或大於工件20上的較小特徵的½間距。在所示實施方式中,在較小特徵中形成接縫,在接縫處保形種晶層30的兩側會合到一起。種晶層可具有約5nm至約50nm範圍內的膜厚度。
在本揭示內容的另一實施方式中,Co種晶層可足夠薄以保持所有特徵開放而未填滿甚至工件上的最小特徵。在本揭示內容的另一實施方式中,Co種晶層可填滿工件上的所有特徵(大特徵和小特徵)。
在本揭示內容的一個實施方式中,在種晶層30沉積製程後可視情況立即使工件20退火,如下文更詳細地描述(參看圖2C和圖2D)。這樣的退火可有利於修復接縫、密封微孔隙、使膜穩定、使膜緻密化、降低膜的電阻率和促進晶體生長。在本實施方式中,未使種晶層30退火。在種晶層的沉積之後,沉積ECD Co層,如圖1D所示。ECD Co層可具有處於約50nm與約500nm範圍內的膜厚度。
ECD Co層可以是保形或超保形層。在一個非限制性範例中,使用包括非常稀的鈷乙二胺(ethylenediamine;EDA)絡合物的鹼性化學品沉積ECD Co層。也可使用其他鈷絡合物(如檸檬酸鹽、酒 石酸鹽、甘氨酸、乙二胺四乙酸(ethylenediaminetetraacetic acid;EDTA)、尿素等)沉積ECD鈷種晶,並且可在約2至約11、約3至約10、約4至約10的pH值範圍內或在約6至約10的pH值範圍內沉積ECD鈷種晶。在本揭示內容的一個實施方式中,鈷ECD鹼性化學品可具有溫和酸性、中性或鹼性pH值,例如處於約6.5至8.3的範圍內。另外,鈷電解液可包括鈷離子源(如氯化鈷或硫酸鈷)和絡合劑(如甘氨酸或EDA)。
在另一非限制性範例中,電解液可包括一個或多個組分(如有機添加劑),以實現超保形填充。
在本揭示內容的一些示例性實施方式中,用於ECD的沉積電流密度可具有以下範圍:對於稀釋化學品,從1mA/cm2到6mA/cm2,或對於較濃縮化學品,從1mA/cm2到30mA/cm2。在沉積期間,所施加的電流的波形可以是直流或脈衝電流任一個。在ECD期間,溫度可處於15攝氏度至40攝氏度之間的範圍內。
ECD層可以是ECD Cu層,而不是ECD Co層。
如圖1D與圖1E進行比較所示,在沉積ECD Co層之後,隨後熱處理工件20或使工件20退火。如上所述,Co層的退火可提供以下有利效果中的一個或多個效果:修復種晶層30接縫、密封微孔隙、使膜穩定、使薄膜緻密化、降低膜的電阻率和促進晶體生長。作為非 限制性範例,退火後電阻率可處於約8至約12μΩ‧cm範圍內。在一些情況下,退火層可導致金屬層的回流。
ECD Co層的退火條件可以是處於100℃至400℃的溫度範圍和1毫托與1個大氣壓之間的壓力內。另外,真空退火也處於本揭示內容的範圍內。退火環境可以是氫、氫/氦混合物(例如,4%氫、96%氦)或氫/氮混合物(例如,4%氫、96%氮)。退火製程的時間可處於約1至約60分鐘範圍內。
本文所描述的方法的一個有利效果是單膜填充特徵,而在電化學沉積膜與引入的“種晶”膜之間無可檢測介面。
在圖1A至圖1F的所示實施方式中,ECD Co製程完全填充所有特徵(小特徵和大特徵),在場上留下多達5000埃的上覆層。上覆層厚度可以是總Co厚度(Co種晶加上Co ECD厚度)。因此,除填充特徵的金屬之外,圖1E中的電鍍後退火製程還使上覆層金屬退火。
在本揭示內容的另一實施方式中,可在ECD Co沉積和退火製程之後沉積ECD電鍍製程的上覆層,使得上覆層不經歷退火步驟,如下文參看圖3E所描述。
可在熱處理之前或之後沉積後續保形ECD Co層。
參看圖1F,工件隨後經歷化學機械平坦化CMP製程以減小上覆層。
根據本揭示內容的實施方式,本文所描述的製程可包括CMP後退火,以促進晶體生長,穩定化和降低膜的電阻率,並且密封任何剩餘微孔隙和接縫。
根據本揭示內容的另一實施方式,在圖2A至圖2G中示出Co整合方案。在圖2A至圖2G的情況下,Co整合與參看圖1A至圖1F描述的方法基本上類似,除了與種晶層相關的退火製程中有差異。在圖2A至圖2G的製程中,在ECD Co沉積之前,使Co種晶退火以降低薄層電阻(參看圖2D)。在退火之後,種晶層厚度可處於約5nm至約35nm範圍內。
在一些情況下,種晶層的退火可導致種晶層的回流。在其他情況下,可在不使種晶層回流的情況下執行種晶退火。另外,接縫修復可發生在退火步驟期間。如上所述,Co層的退火可提供以下有利效果中的一個或多個效果:密封微孔隙、使膜穩定、使膜緻密化、降低膜的電阻率和促進晶體生長。作為非限制性範例,退火後電阻率可處於約8至約12μΩ‧cm範圍內。
Co種晶層的退火條件可以是處於100℃至400℃的溫度範圍和1毫托與1個大氣壓之間的壓力內。另外,真空退火也處於本發明的範圍內。退火環境可以是氫、氫/氦混合物(例如,4%氫、96%氦)或氫/氮混合物(例如,4%氫、96%氮)。
根據本發明的另一實施方式,Co整合方案包括至少兩個不連續的ECD Co沉積步驟,一個步驟用於 填充特徵和另一步驟用於上覆層,在圖3A至圖3F中示出。在圖3A至圖3F的情況下,Co整合與參看圖1A至圖1F描述的方法基本上類似,除了與上覆層相關的退火製程中有差異。在此整合方案中,ECD Co退火步驟可發生在填充特徵之後,但在上覆層沉積步驟之前。在此方法中,使金屬化層退火(參看圖3D),但不使上覆層退火(參看圖3E)。退火趨於增加膜中的應力。因此,不使上覆層退火允許互連結構中的較低應力。由於上覆層是經歷CMP的工件的犧牲部分,所以上覆層未退火幾乎不存在負面影響。
根據本發明的實施方式,對於上覆層沉積可使用用於保形或超保形填充的ECD電解液或用於倒置(bottom up)的常規酸性ECD電解液。
第一步驟中的ECD Co金屬化層的厚度可處於約50nm至約100nm的範圍內。第二(上覆層)步驟中的ECD Co金屬化層的厚度可處於約100nm至約300nm的範圍內。
本文所描述的方法中的各製程步驟可在相同處理工具或不同處理工具中執行。在圖4至圖6中示出用於處理工件的示例性系統。
利用氫電漿的處理
種晶層具有氧化傾向,並且這種氧化可降低種晶層上的後續金屬沉積。另外,氧化表面傾向於增加缺陷並可降低互連結構的可靠性。在還原氣氛中使種晶 層高溫退火傾向於還原這類氧化物。在金屬沉積之前,可藉由高溫退火之前或期間或之後的電漿處理進一步還原氧化物。根據本揭示內容的實施方式,可在不同腔室中或在相同腔室中同時或依次執行退火和電漿處理步驟。
根據本揭示內容的實施方式,可使用低溫表面處理方法實現表面處理,以便維持所沉積種晶層的完整性和連續性,並最小化對種晶層的損壞。參看圖7A至圖7C,在本揭示內容的一個實施方式中,利用氫自由基H*處理種晶層。氫自由基H*用於將金屬氧化物還原回金屬並將氧化物轉換成水。氫自由基H*還可用於從種晶層表面清潔污染物(如碳)。
根據本揭示內容的實施方式,可使用電漿腔室、使用熱絲自由基源或兩者的組合產生氫自由基H*。氫自由基H*可用於均勻地還原氧化物和清潔特徵中的種晶層表面。
根據本揭示內容的實施方式的氫自由基H*表面處理的有利效果包括減少導體層的團聚和/或減少種晶層固有性質的改變,這些團聚和/或改變通常由先前開發製程中的高溫處理引起。表面處理的另一有利效果包括由於表面處理減少了氧和其他污染物,故增強了電鍍導體的成核作用。
在藉由氫自由基H*表面處理之後,種晶層表面的表面處理與電化學沉積、再氧化之間的短暫處理窗 明顯減小。因此,在本揭示內容的一些實施方式中,種晶層表面處理與金屬化層沉積之間的時間範圍小於60秒。在其他實施方式中,時間範圍可小於30秒。在一些實施方式中,可藉由在電漿表面處理之前、在電漿表面處理之後或在工件處理的其他間隔期間於氮環境(或另一惰性環境)中存儲工件來減輕種晶層的再氧化。
在本揭示內容的一些實施方式中,濕式製程用於在電鍍之前還原氧化層並進一步清潔種晶表面。濕式製程通常發生在電鍍浴中,介於晶圓浸於浴中與電鍍開始之間。可在具有或不具有上文所描述的電漿處理的情況下使用濕式製程。在一些實施方式中,在不具有之前的電漿處理的情況下執行濕式清潔製程,並且在這些實施方式中,在濕式製程期間去除所有氧化物和表面污染物。在其他實施方式中,電漿處理先於濕式清潔。在其他非限制性實施方式中,僅使用電漿處理,並在浸沒期間或浸沒後立即開始電鍍。
相比之下,種晶沉積製程之後的典型電鍍窗處於約6小時至24小時範圍內,行業一般將此視為在種晶層上電鍍互連金屬的可接受時間段。此外,根據本文所描述的處理方法的鈷種晶層表面處理可具有改善黏合、減少缺陷、改善互連可靠性和後續鈷金屬化層的其他性質的效果。
為了實現短暫處理窗,已對電鍍工具作出改進。參看圖9,示出了供本文所描述的方法使用的示例性 電鍍工具。在圖示實施方式中,提供由應用材料公司製造的示例性RAIDER®電鍍工具的層面視圖(deck view),該電鍍工具包括數個電鍍單元、旋轉-沖洗-乾燥腔室和氫自由基H*產生腔室。藉由在電鍍工具中包括氫自由基H*產生腔室,種晶層表面處理與金屬化層沉積之間的時間範圍可以是60秒或更少。圖6示出包括氫自由基H*產生腔室的另一示例性電鍍工具。
圖4示出示例性電鍍工具的另一示例性實施方式,該電鍍工具通常稱為MUSTANG®工具,由應用材料公司製造。圖4的工具包括外殼122內的模組或子系統。晶圓或基板容器124(如FOUP(front opening unified pod;前開式晶圓傳送盒)可停靠在外殼122前部的裝載/卸載站126處。示例性FOUP可包括氮環境以減少移送期間的金屬層氧化。所用子系統可因系統120執行的特定製造製程而異。在圖示實施方式中,系統120包括前部介面128,前部介面128可為待被移入或移出系統120的晶圓提供臨時存儲,以及視情況提供其他功能。作為非限制性範例,系統120可包括退火模組130、氫自由基H*產生腔室、沖洗/乾燥模組132、環模組140和電鍍腔室142,上述這些可在前部介面128後依次排列在外殼122內。機器人在子系統之間移動晶圓。
圖6示出包括氫自由基H*產生腔室的另一示例性電鍍工具。該工具包括電漿處理腔室、堆疊退火腔 室、晶圓清潔腔室、複數個利用化學品1的ECD Co沉積腔室和複數個利用化學品2的ECD Co沉積腔室。
在本揭示內容的一些實施方式中,工具可在腔室之間具有周圍空氣環境。在其他實施方式中,工具可在腔室之間的外殼中具有氮環境以減輕電漿表面處理之前、電漿表面處理之後或工件處理的其他間隔期間的種晶層氧化。
在本揭示內容的一些實施方式中,工具可包括單獨的退火和氫自由基H*產生腔室。在本揭示內容的其他實施方式中,可在與退火製程所用的相同的腔室中產生氫自由基H*。雖然同一腔室可用於兩個製程,但這些製程將在工件製造製程中分別發生,而不是同時發生。為了適應兩個製程,腔室將具有氫自由基H*產生能力和退火能力兩者。在一個實施方式中,腔室適應從室溫到300℃或室溫到400℃的溫度範圍。
一個處理腔室中的氫自由基H*產生和退火的組合減小了工具的製造點占地面積,並提供了高溫和高真空下的退火,這可被證明是對種晶層有利的。
在本揭示內容的一些實施方式中,金屬化層可以是銅金屬化層。在本揭示內容的其他實施方式中,金屬化層可以是鈷金屬化層。以上描述了種晶層和金屬化層的金屬選項。本揭示內容的實施方式包括例如鈷種晶層和鈷金屬化層。在這些非限制性範例中,在如本文描述的那樣還原氧化層之後,種晶層與金屬化層之間無 可辨識介面。本揭示內容的其他實施方式包括例如鈷種晶層和銅金屬化層。
浸沒式觸點
根據本揭示內容的其他實施方式,提供用於在具有高薄層電阻的工件上電化學沉積的系統和方法。隨著特徵尺寸變得越來越小,例如小於30nm,薄沉積物種晶層傾向於具有非常高的薄層電阻。當使用鈷種晶層時,高薄層電阻是一個問題,不過在鎳或釕種晶的情況下也存在這一問題。高薄層電阻可產生後續金屬層的電化學沉積(electrochemical deposition;ECD)中的困難,尤其是當使用“乾式”電觸點時。本發明的實施方式可適用於ECD種晶、ECD種晶外加(包括退火步驟,如上文所描述)、ECD填充和帽或工件上的任何其他ECD沉積製程。
在已根據上文所描述的範例之一沉積種晶層之後,可將種晶層用作陰極來使用ECD製程沉積金屬層至工件上,其中電極用作金屬沉積的陽極。ECD金屬沉積物可以是ECD種晶、ECD填充物或ECD帽沉積物。
供製造微電子元件使用的ECD工具常常具有許多單晶圓(single-wafer)電鍍腔室。典型腔室包括盛放ECD化學品的容器、容器中接觸化學品的陽極和具有接觸元件的支撐機構,接觸元件具有接合種晶層的電觸點。電觸點被耦接至電源以施加電壓至種晶層。在操作中,將工件的表面浸於化學品中,以使得陽極和種 晶層建立電場,從而引發工件前表面處的擴散層中的金屬離子電鍍到種晶層上。
一種類型的接觸元件是“乾觸點”元件,具有密封隔絕ECD化學品的複數個電觸點。例如,頒發給Brogden等人的美國專利案號5,227,041描述了一種乾式接觸ECD結構,該乾式接觸ECD結構具有浸入ECD化學品中的基座構件、靠近基座構件中的孔安置的密封環、在圍繞密封環的圓圈內排列的複數個觸點和附接於基座構件的蓋。在操作中,工件被放置在基座構件中,以使得工件的正面接合觸點和密封環。當工件的正面浸於ECD化學品中時,密封環防止ECD化學品接觸基座構件內側的觸點。
另一類型的接觸元件是“濕觸點”元件,其中允許電觸點接觸ECD化學品。例如,頒發給Hanson等人的美國專利案號7,645,366描述了浸於ECD化學品中的濕觸點組件。
當種晶層的薄層電阻較高時,很難在種晶層上電化學沉積金屬。在這方面,非常薄的金屬層的薄層電阻與厚度的約2或更多次方成反比。例如,具有50與300埃之間厚度的銅膜的薄層電阻在1.2與45Ohm/sq.之間變化,並與膜厚度的約2.2次方成反比。在一個非限制性範例中,10埃釕種晶層的薄層電阻可大於600Ohm/sq.。相比之下,50埃釕種晶層的薄層電阻小於100Ohm/sq.。
此外,非常薄的膜的薄層電阻還可根據沉積方法、沉積後處理和製程步驟之間的時間而變化。在這方面,藉由CVD或ALD方法沉積的金屬傾向於比藉由PVD或電鍍手段沉積的金屬具有更高的薄層電阻。這一差異可以是一個或多個因素的結果,如較高雜質水準、不同顆粒結構和與大氣氧或濕氣的反應。這個現象對於Co、Ru、Ni和許多其他金屬明顯。例如,CVD Co膜被測量為高於1000Ohm/sq.,相比之下相同厚度的PVD Co膜的值較低。
電化學沉積需要電流傳導通過電鍍表面。電流供應電子,電子還原了電鍍金屬的離子以形成金屬薄層或電鍍膜。沉積速率與電流成比例。因此,為了適應和維持足夠的沉積速率,必須將高電流供應給工件。系統中的電路使用陽極、電解液溶液和陰極。工件通常是陰極,並且隨著電流從陽極流到陰極,電子從陰極轉移到電解液中的離子,以還原那些離子並在陰極上沉積膜。根據製程條件和待沉積的金屬,電流水準可變化,但在Co電鍍期間,電流可在ECD製程中的一些點處低到0.1至0.5A,以及在體沉積期間高達10A至40A。
至工件的電觸點藉由接觸環來實現。現有技術中存在接觸環的多種設計。存在四種主要類型的接觸環:有線(或開放式接觸)接觸環、密封式接觸環、遮罩式接觸環和嵌入式接觸環。在非密封式接觸環的情況下,工件與環之間的電觸點被浸於電解液溶液中。在密 封式環的情況下,密封件使觸點與溶液分離。因此,(所有排列的)非密封環中的電觸點是“濕”的,而密封式環的電觸點是“乾”的。
密封式與非密封式觸點之間的明顯區別在於,在密封式觸點的情況下,無材料電鍍或沉積在密封的區域中,因為在電化學沉積製程期間密封區域並未暴露於電解液中。在圖10A中提供“乾”觸點的示例性工件沉積方案。在這方面,在基板上沉積了第一導電層或種晶層,並在第一導電層上沉積了第二導電層或ECD種晶層。如圖10A可見,在觸點的位置處於第二導電層中有孔隙。
相比之下,非密封式觸點造成暴露於電解液中的工件的整個表面上的沉積或電鍍,包括接觸區域。在圖10B中提供“濕”觸點的示例性工件沉積方案。在這方面,在基板上沉積了第一導電層或種晶層,並在種晶層上沉積了第二導電層或ECD種晶層。與圖10A中的工件不同,在圖10B中的工件上的觸點位置處於第二導電層中無孔隙。
如上文所論述,由除銅以外的金屬製成的薄種晶層或種晶層傾向於具有高薄層電阻。此外,如上文所解釋,傳遞到陰極的電流必須通過種晶層。對於ECD,存在至少四個不同觸點配置,如下所示。第一,觸點可來自密封環,對此,所有電流必須流過薄種晶,並且在密封環的周邊外側無沉積發生。對於示例性密封 式接觸環配置,請參看頒發給Brogden等人的美國專利號5,227,041。
第二,觸點可由非密封環製成,對此,在工件的整個表面上發生沉積。對於示例性非密封式接觸環配置,請參看頒發給Harris的美國專利公開號2013/0134035。
第三,在另一實施方式中,非密封式接觸環可具有“遮罩式”觸點,以在系統中提供額外控制,例如以控制系統中的化學品流動和/或氣泡產生。
第四,觸點可由具有嵌入式觸點的密封環製成。嵌入式觸點一般位於密封環內側,以便工件的外部周邊邊緣保持乾燥。金屬觸點可從密封件突出或與密封件齊平,使得金屬觸點的尖端與工件和密封環周邊內側的化學溶液接觸。在這個第三配置中,密封環周邊外側的乾燥區域上無電化學沉積發生;然而,觸點的尖端暴露於電解液中且暴露於發生反應時正電化學沉積的膜。
高薄層電阻在工件上產生高加熱條件。第一原理計算和模擬表明,穿過厚度在1nm與10nm之間變化且薄層電阻在從約1000Ohm/sq.至小於10Ohm/sq.變化的非常薄的種晶層的功率耗散可超過400W。例如,具有約10微歐姆-釐米的電阻率且在約40A的正常操作條件下運行的1.5nm厚的膜將耗散約100W。考慮到與電荷載體的散射和薄膜性質關聯的電阻率的增加,模擬表明此膜的熱耗散可超過400W。此 外,假定觸點覆蓋工件圓周面積的50%,發明人計算出約20MA/cm2的電流密度。這一電流密度值超過了薄膜的載流容量,根據國際半導體技術藍圖(International Technology Roadmap for SEMIconductors;ITRS),載流容量寬泛來說介於2與3MA/cm2之間。假定絕熱條件,發明人計算出此膜的加熱速率(dT/dt)將超過1億K/s。
儘管所討論的膜並非在絕熱條件下操作,但沒有任何已知材料能承受如此高的加熱速率,並且沒有任何已知材料能消散以充分速率產生的熱量以防止快速局部加熱。在實驗中,發明人發現局部加熱如此大,以致在電化學沉積期間能夠損壞5nm Co膜的乾燥部分,比如容易氧化或迅速劣化。薄膜可在這種加熱下氧化,從而引發開路和電化學製程的停止。因此,很難使用乾觸點在具有高薄層電阻的導電層的工件上沉積金屬,尤其是在電流或電流密度很高(例如,超過3MA/cm2)的情況下。高薄層電阻可大於10、50或100Ohm/sq。
本揭示內容的實施方式針對防止這類過度加熱。在觸點暴露於電解液的情況下,電化學沉積膜產生連續膜,該連續膜連接觸針(pin)與工件上沉積的膜。例如,在非密封環和嵌入式密封環的情況下,在接觸點附近和周圍發生膜的電化學沉積。隨著電化學沉積膜在電化學沉積製程期間變厚,膜的薄層電阻迅速減小且功率耗散快速下降至接近零。此外,接觸點處的液體提供 額外冷卻和對大氣氧的遮罩,從而有效防止種晶層的氧化。由於熱耗散快速減小,種晶層無明顯加熱發生。
此外,可調整電流分佈以允許初始步驟時的低電流沉積和電阻下降時的較高電流沉積。由於熱耗散與I2成比例,因此低初始電流是避免種晶損壞的有效途徑。此電流分佈中的電流對於300或450mm的工件尺寸可在約小於0.5A至約80A的範圍內變化。
範例1
以下是關於處理設備中的工件的用於形成鈷互連結構的示例性流動路徑。在圖4至圖6中提供用於處理工件的示例性系統。
將晶圓載體裝載至系統上,晶圓載體含有製備有薄保形導電種晶膜(例如,CVD Co)的晶圓。
在周圍或低氧環境中從載體移除晶圓。
(可選)晶圓可對齊至共同取向(例如,對齊至凹口)。
(可選)用熱或電漿預處理處理晶圓以減少氧化物和/或退火。(此步驟也可以在上游設備中執行。)
自動化系統移送晶圓至後續處理站。此站可處於周圍或低氧環境中。
在沉積單元中使用濕式電觸點處理晶圓,從而允許在處理期間於接觸區域處沉積和沉積至晶圓邊緣。
在沉積腔室或另一處理站中沖洗和乾燥晶圓。
使晶圓退火。
(可選)在沉積單元中使用濕式或乾式觸點處理晶圓以便後續ECD膜的沉積。(電鍍溶液可與前一沉積步驟的電鍍溶液相同。)
(可選)在沉積單元中使用濕式或乾式觸點處理晶圓以便上覆層膜的沉積。(電鍍溶液可與前一沉積步驟的電鍍溶液不同。)
(可選)在沉積腔室或另一處理站中沖洗和/或乾燥晶圓。
(可選)在處理站中沖洗和/或乾燥和/或斜面蝕刻和/或背側清潔晶圓。
(可選)使晶圓退火。
將晶圓返回至晶圓載體,晶圓具有填充圖案的單一膜和上覆層,沉積的膜與引入的“種晶”膜之間無可檢測介面。
可將晶圓載體移除並移送到下一製造製程。
晶圓經歷CMP。
(可選)在CMP之後,使晶圓退火。
範例2
參看圖11,在工件上沉積特徵的示例性製程包括獲取具有特徵的工件,在特徵中沉積Co種晶層,在 Co種晶層上電化學沉積Co金屬化層,進行電鍍後退火,隨後使工件經歷CMP。
範例3
參看圖12,示例性製程與圖11中的製程類似,並且進一步包括在種晶層之前沉積的襯裡層(如黏合層)。黏合層可以是任何適合的黏合層(如TiN或TaN層)。
範例4
參看圖13,示例性製程與上文所描述的製程中的一個或多個製程類似。工件包括至少兩個特徵,一個特徵具有小於20nm的特徵尺寸,另一特徵具有大於或等於20nm的特徵尺寸。當沉積Co種晶後,Co種晶填滿較小特徵,但不填滿較大特徵。當種晶填滿較小特徵時,種晶的厚度可大於較小特徵的開口尺寸的½。在由種晶層填滿後,較小特徵中可存在接縫。
範例5
參看圖14,示例性製程與上文所描述的製程中的一個或多個製程類似。在沉積Co種晶層之後,使Co種晶層退火。可在100至400℃的溫度範圍內進行種晶層的退火。Co種晶層的退火可使種晶層部分地回流和/或修復其中的接縫。Co種晶退火可以是對第二電鍍後退火的附加。
範例6
參看圖15和圖16,示例性製程與上文所描述的製程中的一個或多個製程類似。電鍍Co藉由ECD製程執行,並具有50nm至500nm範圍內的厚度。ECD Co可以是保形填充或超保形填充。ECD Co製程完全填充所有特徵,在場上留下上覆層。上覆層厚度可以是總Co厚度(Co種晶加上Co ECD厚度)。因此,除填充特徵的金屬之外,電鍍後退火製程還使上覆層金屬退火。
範例7
參看圖17和圖18,示例性製程與上文所描述的製程中的一個或多個製程類似。在觸點浸於電解液中的情況下執行ECD製程。
範例8
參看圖18,示例性製程與上文所描述的製程中的一個或多個製程類似。Co種晶足夠薄以保持所有特徵開放而不填滿上文在範例4中所描述的最小特徵。
範例9
參看圖19,示例性製程與上文所描述的製程中的一個或多個製程類似。電鍍Co藉由ECD製程執行,並具有30nm至100nm範圍內的厚度。ECD Co可以是保形填充或超保形填充。觸點可浸於電解液中。ECD Co製程完全填充所有特徵,但並未在場上留下上覆層。在ECD Co製程後,使工件退火。在退火之後,在退火ECD Co層上電鍍上覆層。對於電鍍上覆層,觸點可不 需要浸於電解液中。因此,上覆層金屬未退火,從而可有助於減小工件中的應力。工件隨後經歷CMP。
範例10
參看圖20和圖21,示例性製程與上文所描述的製程中的一個或多個製程類似。在CMP之後,工件經歷CMP後退火,以促進晶體生長,穩定和降低膜的電阻率,以及密封任何剩餘微孔隙和接縫。由於突出(protrusion)的傾向性,通常不在較大特徵中使用CMP後退火製程。然而,在小的鈷特徵情況下,存在極小的突出風險。圖20是針對一個製程,其中在電鍍後退火步驟之前在ECD Co電鍍步驟期間電鍍上覆層(參看範例6)。圖21是針對一個製程,其中在電鍍後退火步驟之後電鍍上覆層(參看範例9)。
雖然已經圖示和描述了說明性實施方式,但是應將理解,可在不背離本揭示內容的精神和範圍的情況下做出多種變化。
20‧‧‧鈷互連結構/工件
22‧‧‧介電層
28‧‧‧黏合層
30‧‧‧種晶層

Claims (26)

  1. 將要求享有獨有性質或特權的本發明的實施方式限定如下:一種用於在一工件上的一特徵中沉積金屬的方法,該方法包含以下步驟:(a)在一工件上的一特徵中形成一種晶層,其中該種晶層包括選自由鈷和鎳組成的群組的一金屬;(b)在該種晶層上電化學沉積一第一金屬化層,其中電化學沉積該金屬化層包括使用具有一電鍍金屬離子和處於6至13範圍內的一pH值的一電鍍電解液;和(c)在沉積該第一金屬化層之後熱處理該工件。
  2. 如請求項1所述的方法,其中該電鍍金屬離子選自由鈷、鎳和銅組成的群組。
  3. 如請求項1所述的方法,進一步包含以下步驟:在一工件上沉積具有兩種不同尺寸的至少兩個特徵,其中該種晶層填滿最小特徵,但不填滿最大特徵。
  4. 如請求項1所述的方法,進一步包含以下步驟:在一工件上沉積具有兩種不同尺寸的至少兩個特徵,其中該種晶層不填滿任一特徵。
  5. 如請求項1所述的方法,其中用於熱處理該工件的溫度處於150℃至400℃的溫度範圍內。
  6. 如請求項1所述的方法,其中熱處理該工件使該種晶層和該第一金屬化層退火。
  7. 如請求項1所述的方法,其中熱處理該工件使該種晶層和該第一金屬化層中的至少一個層回流以至少部分地填充該特徵。
  8. 如請求項1所述的方法,進一步包含以下步驟:使用一氫自由基H*電漿處理該種晶層。
  9. 如請求項1所述的方法,進一步包含以下步驟:在沉積該第一金屬化層之前熱處理該種晶層。
  10. 如請求項1所述的方法,其中該第一金屬化層是一保形或超保形導電層。
  11. 如請求項1所述的方法,其中該第一金屬化層包括一上覆層。
  12. 如請求項1所述的方法,其中該第一金屬化層填滿最大特徵而不在該工件上沉積一上覆層。
  13. 如請求項1所述的方法,進一步包含以下步驟:在該第一金屬化層上電化學沉積一第二金屬化層。
  14. 如請求項13所述的方法,其中該第二金屬化層是一上覆層、一帽、一填充層、一保形導電層或一超保形導電層。
  15. 如請求項13所述的方法,其中該第二金 屬化層不經歷熱處理。
  16. 如請求項1所述的方法,進一步包含CMP。
  17. 如請求項1所述的方法,進一步包含以下步驟:在CMP之後熱處理該工件。
  18. 如請求項1所述的方法,其中該種晶層具有一薄層電阻,該薄層電阻選自由大於約10Ohm/sq.、大於約50Ohm/sq.和大於約100Ohm/sq.組成的群組。
  19. 如請求項1所述的方法,其中藉由選自由物理氣相沉積、化學氣相沉積、原子層沉積和無電沉積組成的群組的一製程沉積該種晶層。
  20. 如請求項1所述的方法,其中該工件包括在沉積該種晶層之前沉積在該特徵中的一黏合層或阻擋層。
  21. 如請求項1所述的方法,其中工件包括直接沉積在一介電層上的一鈷種晶層。
  22. 如請求項1所述的方法,其中最小特徵的臨界尺寸小於30nm。
  23. 如請求項1所述的方法,其中將與該工件接觸的電觸點至少部分地浸於該沉積電解液中,該電觸點用於在該電化學沉積製程中與該工件產生一電 連接。
  24. 如請求項23所述的方法,其中該電觸點選自由開放式觸點、非密封式觸點、嵌入式觸點和遮罩式觸點組成的群組。
  25. 如請求項1所述的方法,其中該第一金屬化層被沉積在該種晶層的整個表面之上。
  26. 一種微特徵工件,包含:具有一特徵的一介電質,其中該特徵的臨界尺寸小於30nm;該特徵中的一體金屬化層,該體金屬化層在一電化學沉積膜與一種晶膜之間無可檢測介面,其中該體金屬化層包括鈷或鎳。
TW105111874A 2015-04-15 2016-04-15 形成鈷或鎳互連件的方法 TW201636459A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/687,755 US20160309596A1 (en) 2015-04-15 2015-04-15 Methods for forming cobalt interconnects

Publications (1)

Publication Number Publication Date
TW201636459A true TW201636459A (zh) 2016-10-16

Family

ID=57129131

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111874A TW201636459A (zh) 2015-04-15 2016-04-15 形成鈷或鎳互連件的方法

Country Status (4)

Country Link
US (1) US20160309596A1 (zh)
KR (1) KR20160123253A (zh)
CN (1) CN106057730A (zh)
TW (1) TW201636459A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802376B (zh) * 2017-12-29 2023-05-11 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
EP3555347B1 (en) * 2016-12-16 2023-08-02 Topsoe A/S Deposition of a coating on an interconnect for solid oxide cell stacks
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
CN108735797B (zh) * 2017-04-25 2022-05-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
WO2019045755A1 (en) * 2017-09-01 2019-03-07 Intel Corporation METAL INTERCONNECTIONS, DEVICES AND METHODS
US10347529B2 (en) 2017-10-04 2019-07-09 Globalfoundries Inc. Interconnect structures
US10741497B2 (en) 2018-02-15 2020-08-11 Globalfoundries Inc. Contact and interconnect structures
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
KR20200074341A (ko) * 2018-12-14 2020-06-25 삼성디스플레이 주식회사 금속 마스크, 이의 제조 방법, 및 표시 패널 제조 방법
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
FR3092589A1 (fr) * 2019-02-08 2020-08-14 Aveni Electrodéposition d’un alliage de cobalt et utilisation en microélectronique
US11177162B2 (en) 2019-09-17 2021-11-16 International Business Machines Corporation Trapezoidal interconnect at tight BEOL pitch
CN111041533B (zh) * 2019-12-31 2021-06-29 苏州清飙科技有限公司 电镀纯钴用电镀液及其应用
WO2022108762A1 (en) * 2020-11-19 2022-05-27 Lam Research Corporation Low resistivity contacts and interconnects

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
EP1337693A2 (en) * 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
JP2004315889A (ja) * 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
US6967155B2 (en) * 2003-07-11 2005-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesion of copper and etch stop layer for copper alloy
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US8357599B2 (en) * 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9425092B2 (en) * 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9777386B2 (en) * 2015-03-19 2017-10-03 Lam Research Corporation Chemistry additives and process for cobalt film electrodeposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802376B (zh) * 2017-12-29 2023-05-11 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置
US11854869B2 (en) 2017-12-29 2023-12-26 Micron Technology, Inc. Methods of forming high aspect ratio features

Also Published As

Publication number Publication date
US20160309596A1 (en) 2016-10-20
KR20160123253A (ko) 2016-10-25
CN106057730A (zh) 2016-10-26

Similar Documents

Publication Publication Date Title
TW201636459A (zh) 形成鈷或鎳互連件的方法
US10622252B2 (en) Co or Ni and Cu integration for small and large features in integrated circuits
US20220336271A1 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
US10062607B2 (en) Methods for producing interconnects in semiconductor devices
KR101784997B1 (ko) 전기화학적 도금 방법들
US8691687B2 (en) Superfilled metal contact vias for semiconductor devices
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
TW201602423A (zh) 超共形鍍覆
US20140103534A1 (en) Electrochemical deposition on a workpiece having high sheet resistance
TWI653367B (zh) 具有高薄片電阻之工件上的電化學沉積
KR101076927B1 (ko) 반도체 소자의 구리 배선 구조 및 그 형성방법
US20080264774A1 (en) Method for electrochemically depositing metal onto a microelectronic workpiece
US20170194192A1 (en) Metal filling and planarization of recessed features
JP2014116463A (ja) 半導体装置の製造方法