TW201622032A - 多重圖案化處理之度量 - Google Patents

多重圖案化處理之度量 Download PDF

Info

Publication number
TW201622032A
TW201622032A TW104133912A TW104133912A TW201622032A TW 201622032 A TW201622032 A TW 201622032A TW 104133912 A TW104133912 A TW 104133912A TW 104133912 A TW104133912 A TW 104133912A TW 201622032 A TW201622032 A TW 201622032A
Authority
TW
Taiwan
Prior art keywords
measurement
interest
parameter
model
target
Prior art date
Application number
TW104133912A
Other languages
English (en)
Other versions
TWI659483B (zh
Inventor
史帝藍 伊凡渥夫 潘戴夫
德思米特瑞 山可
亞歷山大 庫茲尼斯夫
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201622032A publication Critical patent/TW201622032A/zh
Application granted granted Critical
Publication of TWI659483B publication Critical patent/TWI659483B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

提出用於評估多重圖案化程序之效能之方法及系統。量測圖案化結構且判定特徵化藉由該多重圖案化程序引發之幾何誤差之一或多個參數值。在一些實例中,量測一主、多重圖案化目標且藉由一信號回應度量(SRM)量測模型自該量測資料直接判定一所關注參數之一值。在一些其他實例中,量測一主、多重圖案化目標及一輔助目標且藉由一信號回應度量(SRM)量測模型自該量測資料直接判定一所關注參數之一值。在一些其他實例中,在不同程序步驟處量測一主、多重圖案化目標且藉由一信號回應度量(SRM)量測模型自該量測資料直接判定一所關注參數之一值。

Description

多重圖案化處理之度量 相關申請案之交叉參考
本專利申請案根據35 U.S.C.§119規定主張在2014年10月16日申請之題為「多重圖案化處理之度量」之美國臨時專利申請案第62/064,973號之優先權,該案標的之全部內容以引用之方式併入本文中。
所描述之實施例係關於度量系統及方法,且更特定言之係關於用於特徵化藉由多重圖案化程序產生之結構尺寸之參數之改良量測之方法及系統。
通常藉由應用至一樣品之一序列處理步驟製造半導體裝置(諸如邏輯及記憶體裝置)。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。舉例而言,微影尤其係涉及產生一半導體晶圓上之一圖案之一個半導體製造程序。半導體製造程序之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上製造,且接著分離成個別半導體裝置。
針對一給定微影系統,多重圖案化技術現普遍用來增大經印刷至半導體晶圓上之特徵之解析度。圖1A至圖1D描繪普遍稱為一微影-蝕刻-微影-蝕刻(litho-etch-litho-etch(LELE))程序之一雙重圖案化微影(DPL)技術。圖1A描繪一矽基底層10、一介面層(諸如二氧化矽)、一 裝置層12、一硬遮罩層13、一犧牲層14及源自一微影圖案化步驟之一經圖案化光阻層15。接著,圖1A中所描繪之結構經受導致圖1B中所繪示之結構之曝光及蝕刻步驟。在此結構中,光阻層15之圖案已有效轉印至硬遮罩層13。犧牲層14及經圖案化光阻層15兩者皆已移除。數個沈積及微影步驟用來達成圖1C中所繪示之結構。圖1C繪示組建於硬遮罩層13之頂部上之另一犧牲層16及經圖案化光阻層17。經圖案化光阻層17包含與第一經圖案化光阻層15相同之間距且與經蝕刻至硬遮罩層13中之圖案相同之間距之圖案。然而,經圖案化光阻層17自硬遮罩層13之圖案偏移經圖案化光阻層17之間距之一半。接著,圖1C中所描繪之結構經受導致圖1D中所繪示之結構之曝光及蝕刻步驟。在此結構中,光阻層17之圖案已有效轉印至硬遮罩層13。犧牲層16及經圖案化光阻層17兩者皆已移除。圖1D繪示經蝕刻至硬遮罩13中之一圖案,該圖案為藉由微影系統之遮罩產生之經圖案化光阻層15及17之間距之兩倍。
圖1D亦描繪非最佳化DPL程序之效應。理想地,經雙重圖案化結構之標稱間距應為一恆定值P。然而,歸因於DPL程序中之缺陷,所得結構之間距可歸因於光柵非均勻性而取決於位置變化。此普遍稱為「間距偏差(pitch walk)」。自標稱間距P之一變動在圖1D中描繪為△P。在另一實例中,各所得結構之一臨界尺寸應為相同標稱值CD。然而,歸因於DPL程序中之缺陷,所得結構之一臨界尺寸(例如,中間臨界尺寸、底部臨界尺寸等等)可取決於位置而變化。自臨界尺寸CD之一變動在圖1D中描繪為△CD。
間距偏差及△CD係藉由DPL程序中之缺陷(諸如兩個微影層之間的未對準、微影程序之焦點及曝光中之不均勻性、遮罩圖案誤差等等)引發之例示性幾何誤差。間距偏差及△CD兩者皆引入大於預期之一單元胞。儘管特定描述間距偏差及△CD,但可設想其他多重圖案化 誤差。
儘管參考圖1A至圖1D描述LELE程序,但可設想引發類似誤差之許多其他多重圖案化程序(例如,微影-微影-蝕刻(litho-litho-etch)、間隔界定之雙重圖案化(spacer defined double patterning)等等)。類似地,儘管參考圖1A至圖1D描述一雙重圖案化程序,但在較高階圖案化程序(諸如四重圖案化)中出現類似誤差。通常,誤差(諸如間距偏差及△CD)在源自較高階圖案化程序之結構中更明顯。
在一半導體製造程序期間,在各種步驟處使用度量程序來偵測晶圓上缺陷以促進更高良率。光學度量技術提供高處理能力量測之可能而無樣本破壞之風險。包含散射量測及反射量測實施方案及相關聯分析演算法之數個基於光學度量技術普遍用於特徵化奈米級結構之臨界尺寸、薄膜厚度、組成物、及其他參數。
採用基於實體、模型之量測之光學度量技術通常需要圖案化結構之一參數化、幾何模型。實例參數包含臨界尺寸、間距偏差或其他所關注參數。另外,需要光學系統與受量測結構之間的互動之一準確電磁模型來模擬量測期間產生之信號。應用模擬信號對量測信號之非線性迴歸來判定模型化結構之參數。此方法需要結構之準確模型化及材料性質。此等模型需要長時間段來組譯、計算且達成一量測結果。通常,量測程序遭受對臨界參數之弱敏感性,且在一些情況中,基於實體模型之量測技術導致低敏感性及不良精確度。經量測光學信號缺少對此等臨界參數之敏感性使之極難監測且控制圖案化程序。
CD-SEM量測技術利用一聚焦電子束來掃描圖案化結構之表面。基於藉由CD-SEM量測產生之影像來計算所關注參數。儘管CD-SEM能夠進行高解析度量測,但該技術苦於低處理能量及差精確度。此等限制使CD-SEM無法用作一高處理能量度量工具來特徵化多重圖案化結構。
歸因於愈加小之解析度需求、多參數相關性、愈加複雜之幾何結構及不透明材料之日趨使用,涉及藉由多重圖案化程序產生之結構之量測之度量應用面臨挑戰。因此,期望用於改良量測之方法及系統。
提出用於評估多重圖案化程序之效能之方法及系統。更特定言之,量測藉由一多重圖案化程序產生之幾何結構,且根據本文描述之方法及系統判定特徵化藉由該等多重圖案化程序引發之幾何誤差之一或多個參數值。
在一項態樣中,基於一信號回應度量(SRM)技術執行藉由一多重圖案化程序產生之結構之量測。基於與目標結構之量測相關聯之光學量測資料(例如,光頻譜資料)公式化且訓練一SRM量測模型。與此等目標結構相關聯之所關注參數具有藉由一參考度量系統判定之已知值。該經訓練之SRM量測模型提供使藉由該光學量測工具收集之光學量測資料與該圖案化結構之參數值直接相關之一轉移函數。以此方式,該經訓練之SRM量測模型能夠執行藉由多重圖案化程序形成之結構之線內光學度量。
在一進一步態樣中,僅基於自包含多重圖案化度量目標之量測位點收集之原始量測資料產生該SRM量測模型。機器學習、特徵提取及其他技術用來建立一直接輸入輸出模型(即,轉移函數),其係關於一或多個多重圖案化目標之DOE光譜及該所關注參數之對應參考量測。在一些實施例中,多重圖案化度量目標之該訓練集包含標稱上相同之目標(即,因程序變量而與彼此不同之該等目標)。在一些實施例中,出於模型訓練之目的有意放大影響該等所關注參數之該等程序變量。
在另一進一步態樣中,對來自經整合至一多目標集中之多個目 標之量測信號訓練一SRM量測模型。此方法使臨界參數與彼此且與其他程序變量解相關。
在又一進一步態樣中,基於在一多重圖案化程序之多個步驟處之一度量目標之量測訓練且使用一SRM量測模型。來自一或多個先前程序步驟之經量測光譜經前饋用於訓練且使用與該主目標相關聯之該SRM量測模型。此方法亦使臨界參數與彼此且與其他程序變量解相關。
在又一態樣中,本文描述之量測模型結果用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。舉例而言,使用本文描述之方法判定之結構參數值可經傳送至一微影工具以調整該微影系統以實現一所需輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等等)或沈積參數(例如,時間、濃度等等)可包含於一量測模型中以將主動回饋各自提供至蝕刻工具或沈積工具。
前文係一發明內容且因此必要地含有細節之簡化、一般化及省略;因此,熟習此項技術者將瞭解發明內容僅係闡釋性的且不以任何方式限制。將在本文提出之非限制性實施方式中瞭解本文描述之該等裝置及/或程序之其他態樣、發明特徵及優點。
10‧‧‧矽基底層
12‧‧‧裝置層
13‧‧‧硬遮罩層
14‧‧‧犧牲層
15‧‧‧光阻層
16‧‧‧犧牲層
17‧‧‧經圖案化光阻層
100‧‧‧半導體結構/目標結構
101‧‧‧基板層
102‧‧‧氧化物層
103‧‧‧氮化物層
104‧‧‧氧化物層
105A‧‧‧氮化物間隔件結構
105B‧‧‧氮化物間隔件結構
105C‧‧‧氮化物間隔件結構
105D‧‧‧氮化物間隔件結構
105E‧‧‧氮化物間隔件結構
110‧‧‧晶圓
111‧‧‧度量目標
112‧‧‧座標架構
113‧‧‧晶粒
130‧‧‧標繪圖
140‧‧‧標繪圖
150‧‧‧標繪圖
160‧‧‧標繪圖
170‧‧‧標繪圖
180‧‧‧標繪圖
195‧‧‧圖表
200‧‧‧方法
201‧‧‧區塊
202‧‧‧區塊
203‧‧‧區塊
204‧‧‧區塊
250‧‧‧方法
251‧‧‧區塊
252‧‧‧區塊
253‧‧‧區塊
300‧‧‧度量系統
301‧‧‧樣品
302‧‧‧照明器
304‧‧‧光譜儀
306‧‧‧偏光照明光束
307‧‧‧偏光狀態產生器
308‧‧‧收集光束
309‧‧‧偏光狀態分析器
311‧‧‧光譜
330‧‧‧電腦系統/運算系統
331‧‧‧處理器
332‧‧‧記憶體
333‧‧‧匯流排
334‧‧‧程式指令
340‧‧‧結構參數值
CD‧‧‧臨界尺寸
△CD‧‧‧臨界尺寸變量
CD1‧‧‧臨界尺寸參數
CD2‧‧‧臨界尺寸參數
CD3‧‧‧臨界尺寸參數
P‧‧‧標稱間距
△P‧‧‧標稱間距變量
X‧‧‧座標軸
Y‧‧‧座標軸
圖1A至圖1D描繪普遍稱為一微影-蝕刻-微影-蝕刻(LELE)程序之一雙重圖案化微影(DPL)技術之經選擇步驟。
圖2描繪使用一自對準四重圖案化(SAQP)程序建構之一半導體結構100。
圖3描繪一半導體晶圓110,其具有定位於晶圓表面上方之各種量測位點處之數個度量目標。
圖4繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自經量測光譜量測CD2之結果之一標繪圖130。
圖5繪示示範使用經訓練SRM模型之CD2量測中之變量之一標繪圖140。
圖6繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自經量測光譜量測間距偏差之結果之一標繪圖150。
圖7繪示示範使用經訓練SRM模型之間距偏差量測中之變量之一標繪圖160。
圖8繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自輔助目標及主目標100之經量測光譜量測CD1之結果之一標繪圖170。
圖9繪示示範使用經訓練SRM模型之CD1量測中之變量之一標繪圖180。
圖10A描繪在圖2中所描繪之「最終蝕刻之前」步驟之前之一SADP程序中之一步驟之一模型。
圖10B描繪基於兩個不同參考量測資料集使用不同量測技術之針對不同參數之量測結果之一圖表195。
圖11係繪示公式化且訓練有助於判定特徵化藉由一多重圖案化程序引發之幾何誤差之一或多個參數值之一SRM量測模型之一方法200之一流程圖。
圖12係繪示判定特徵化藉由一多重圖案化程序引發之幾何誤差之一或多個參數值之一方法250之一流程圖。
圖13繪示用於根據本文呈現之例示性方法量測一樣品之特性之一系統300。
現將詳細參考本發明之背景實例及一些實施例,其等之實例在隨附圖式中繪示。
提出用於評估多重圖案化程序之效能之方法及系統。更特定言 之,根據本文描述之方法及系統,量測藉由多重圖案化程序產生之幾何結構,且判定特徵化藉由多重圖案化程序引發之幾何誤差之一或多個參數值。
在一項態樣中,基於一信號回應度量(SRM)技術執行藉由多重圖案化程序形成之結構之量測。基於與目標結構之量測相關聯之光學量測資料(例如,光頻譜資料)公式化且訓練一SRM量測模型。與此等目標結構相關聯之所關注參數具有藉由一參考度量系統判定之已知值。經訓練之SRM量測模型提供使藉由光學量測工具收集之光學量測資料與圖案化結構之參數值直接相關之一轉移函數。以此方式,經訓練之SRM量測模型能夠執行藉由多重圖案化程序形成之結構之線內光學度量。
圖2描繪使用一自對準四重圖案化(SAQP)程序建構之一半導體結構100。圖2繪示最終蝕刻之前之一程序步驟。半導體結構100包含一基板層101、一氧化物層102、一氮化物層103、一氧化物層104及若干氮化物間隔件結構105A至105E。各間隔件具有一高度及厚度。此等結構之間距及厚度中之變量導致若干臨界參數值中之變量。舉例而言,圖2中所描繪之臨界尺寸參數CD1、CD2及CD3由於其等對鰭形成程序之影響及最後對最終結構之效能之影響而頻繁受監測。另外,間距偏差係量測及控制兩者之一重要參數。間距偏差藉由方程式(1)而與CD1及CD3相關。
在一項進一步態樣中,僅基於自包含多重圖案化度量目標(經模擬或實際)之量測位點收集之原始量測資料(例如,模擬光譜或自一實驗設計(DOE)晶圓收集之光譜)產生SRM量測模型。機器學習、特徵提取及其他技術用來建立一直接輸入輸出模型(即,轉移函數),其係關 於一或多個多重圖案化目標之DOE光譜及所關注參數之對應參考量測。在一些實施例中,多重圖案化度量目標之該訓練集包含標稱上相同之目標(即,因程序變量而與彼此不同之該等目標)。在一些實施例中,出於模型訓練之目的有意放大影響所關注參數之程序變量。
在一個實例中,轉移函數使散射量測信號及CD2之圖2中描繪之SAQP目標100之對應CD-SEM量測相關。針對所關注之各參數產生一SRM模型,且該模型用於執行其他量測位點上之後續量測。
圖11繪示適用於藉由一度量系統(諸如本發明之圖13中繪示之度量系統300)之實施方案之一方法200。在一項態樣中,應理解,可經由藉由運算系統330(或任何其他通用運算系統)之一或多個處理器執行之一預程式化演算法來執行方法200之資料處理區塊。應理解,本文中辨識度量系統300之特定結構態樣並不表示限制且應解釋為僅係闡釋性的。
在區塊201中,藉由一運算系統(例如,運算系統330)接收與複數個量測位點之量測相關聯之一量測資料量。複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一經多重圖案化度量目標。在複數個量測位點之各者處已知所關注(若干)參數之一值。
出於模型訓練之目的,可自具有設計參數(例如,結構或程序參數)中之已知擾動之任何位置獲取量測資料。此等位置(例如)可處於切割道中、裝置上,或可在晶圓上(例如)微影曝光條件或主遮罩設計特特徵在一值範圍內變化之其他位置處。在另一實例中,可自不同裝置位置(例如,具有密集特徵之一位置及具有隔離特徵之一位置,或遮罩上具有兩個不同CD之位置)獲取量測資料。一般言之,自以一已知方式擾動之不同位置獲取量測資料。可自遮罩資料、設備資料獲取(EDA)資料、程序資料等等已知該擾動。
在一個實例中,焦點、曝光及覆疊之任一者跨該裝置或該晶圓有系統地變化。在另一實例中,一隨機焦點及曝光矩陣(FEM)用來減少與底層參數之相關,如在Izikson等人之美國專利案第8,142,966號中描述,該案之全部內容以引用之方式併入本文中。
在一較佳實施例中,在一實際DOE晶圓之製造中實施系統變量集。後續量測DOE晶圓以產生在區塊201中接收之量測資料。一經製造晶圓包含無法輕易藉由模擬模型化之系統誤差。舉例而言,藉由一真實晶圓之量測更準確擷取底層之效應。可藉由針對一固定底層條件在製造期間修改程序參數(例如,焦點及曝光變量)而將底層比重與量測回應解相關。在另一實例中,藉由自具有變化頂層之形貌及恆定之底層條件之特徵獲得多個資料集而減輕底層比重。在一項實例中,頂層可包含一週期性結構且底層可係非週期的。
可選擇量測位置以增大量測敏感性。在一個實例中,在線端處執行之量測對焦點改變最敏感。一般言之,應在對待量測之參數中之改變最敏感之結構處進行量測。
儘管較佳執行DOE晶圓之實際量測,但在一些其他實施例中,可模擬針對不同、已知結構參數值之一DOE晶圓之量測回應。在此等實例中,合成地產生區塊201中接收之量測資料。舉例而言,可採用一程序模擬器,諸如自KLA-Tencor公司(Milpitas,California(USA))可得之Positive Resist Optical Lithography(PROLITH)模擬軟體。一般言之,在此專利文件之範疇內,可設想任何程序模型化技術或工具(例如,自Coventor,Inc.(Cary,North Carolina,USA)可得之Coventor模擬軟體)。
在一些實例中,量測資料包含在不同量測位點處獲得之一光譜範圍內之兩個橢偏參數(Ψ、△)。然而,一般言之,量測資料可係指示經圖案化至一半導體晶圓之表面上之結構之結構或幾何性質之任何 量測資料。
在一些實例中,量測資料係與一DOE晶圓(例如,晶圓110)之表面上之量測位點之量測之模擬相關聯。舉例而言,量測資料可包含相關聯於與各量測位點相關聯之多重圖案化度量目標的經模擬光譜量測。
在一些其他實例中,量測資料係與一DOE晶圓(例如,晶圓110)之表面上之量測位點之實際量測相關聯。量測資料包含相關聯於與各量測位點相關聯之多重圖案化度量目標的實際光譜量測。
在一些實例中,量測資料與一實驗設計(DOE)晶圓上複數個量測位點之量測相關聯,且藉由在量測位點之各者處之一參考量測系統量測特徵化多重圖案化度量目標之所關注(若干)參數。參考度量系統係一受信任度量系統(諸如一掃描式電子顯微鏡(SEM)、穿隧式電子顯微鏡(TEM)、原子力顯微鏡(AFM))或一x射線量測系統(諸如能夠準確地量測參數值之一小角度X射線散射計(SAXS)或一X射線螢光(XRF)系統)。然而,通常,參考度量系統一般缺少作為一線內度量系統操作之能力,(例如)此係歸因於針對個別位點之量測之低處理能力、高量測不確定性等等。
在一些實施例中,依一半導體晶圓之表面上之一實驗設計(DOE)圖案組織參數變量,(例如)如在本文中參考圖3描述。以此方式,量測系統詢問對應於不同結構參數值之晶圓表面上之不同位置。在參考圖3所描述之實例中,量測資料與使用CD1及CD2中之已知變量處理之一DOE晶圓相關聯。舉例而言,在微影期間藉由改變劑量而變化CD1,且在第一蝕刻步驟期間藉由改變蝕刻時間而變化CD2。CD3藉由方程式(2)與CD1及CD2相關。
CD 3=LithoPitch-4T spacer -CD 1-2CD 2 (2)
其中LithoPitch係光阻劑光柵圖案之一預定間距,且Tspacer係間隔 件厚度。儘管,在此實例中,變量劑量及蝕刻來產生所需參數變量,但一般言之,可設想與程序參數(例如,微影焦點、曝光量、及其他局域或全域參數)、結構參數或兩者之任何已知變量相關聯之量測資料。
圖3描繪一半導體晶圓110,其具有定位於晶圓表面上方之各種量測位點處之數個晶粒(例如,晶粒113)。在圖3中描繪之實施例中,晶粒經定位於依與所描繪之x及y座標架構112對準之一矩形網格圖案所配置之量測點處。各晶粒包含一SAQP度量目標111。在圖3中描繪之實施例中,各多重圖案化度量目標111包含源自一第一圖案化步驟之一線集以及源自多重圖案化程序中之一後續步驟之至少一或多個***線集。因此,各多重圖案化度量目標包含具有間隔件結構(諸如多重圖案化單元胞111)之一重複圖案之一光柵結構。多重圖案化單元胞111之幾何結構以CD1、CD2、CD3及間距偏差為特徵,如參考圖2描述。
晶圓110包含具有不同、已知結構參數值之一晶粒陣列。因此,CD1及CD2取決於其等在晶圓110上之位置而具有不同、已知值。以此方式,晶圓110可視為一實驗設計(DOE)晶圓。希望DOE晶圓包含多重圖案化度量目標之一矩陣,其等跨期望起因於下層程序窗之結構參數值(例如,CD1及CD2)之完整範圍。如在圖3中描繪,針對不同晶粒行(x方向上之行索引),CD1之值改變而CD2之值保持恆定。相反地,針對不同晶粒列(y方向上之列索引),CD1之值保持恆定而CD2之值改變。以此方式,晶圓110包含一晶粒矩陣,其包含取決於CD1及CD2在矩陣中之位置之CD1及CD2之不同值。再者,CD1及CD2之值包括期望起因於程序窗之CD1及CD2之值。
在區塊202,藉由縮減量測資料之一維度而提取量測資料之一或多個特徵。然而此區塊係選用的,當採用此區塊時,至少部分基於一 或多個經提取特徵判定SRM量測模型。
一般言之,可藉由數個已知方法(包含一主成分分析、一非線性主成分分析、自第二量測資料量之一個別信號選擇及第二量測資料量之篩選)縮減量測資料之維度。
在一些實例中,使用主成分分析(PCA)、非線性PCA、核心PCA、獨立成分分析(ICA)、快速傅立葉變換分析(FFT)、離散餘弦變換分析(DCT)或此等技術之一組合來分析量測資料以提取最有利反映在不同量測位點處存在之程序參數、結構參數或兩者中之變量之特徵。在一些其他實例中,可應用一信號篩選技術以提取最有力反映在不同量測位點處存在之參數變量之信號資料。在一些其他實例中,可自量測資料中存在之多個信號選擇最有力反映在不同量測位點存在之參數變量之個別信號。儘管較佳自量測資料提取特徵以縮減經受後續分析之資料之維度,但其非完全必要的。在此意義上,區塊202係選用的。
在區塊203中,至少部分基於量測資料判定SRM量測模型。
基於自量測資料提取之特徵或替代地直接自量測資料判定一SRM量測模型。一經訓練SRM量測模型經結構化以接收由在一或多個量測位點處之一度量系統產生之量測資料,且直接判定與各量測目標相關聯之結構參數值。在一較佳實施例中,SRM量測模型經實施為一神經網路模型。在一個實例中,基於自量測資料提取之特徵選擇神經網路之節點數量。在其他實例中,SRM量測模型可實施為一線性模型、一多項式模型、一回應表面模型、一決策樹模型、一隨機森林模型、一支援向量機模型或其他類型之模型。
在區塊204中,基於所關注參數之已知值訓練SRM量測模型。在一些實例中,使用DOE量測資料及已知參數值來產生經訓練之SRM量測模型。該模型經訓練使得其輸出擬合由DOE光譜界定之程序變量空 間中之所有光譜之經界定預期回應。
在一些實例中,經訓練之SRM模型用來直接自如本文參考方法250描述之其他晶圓(例如,產品晶圓)之實際裝置結構收集之量測資料(例如,光譜)計算結構參數值。以此方式,僅需要自已知樣本獲取之光譜或合成地產生之光譜來產生一量測模型且使用該模型執行量測。以此方式公式化之一SRM量測模型直接接收量測資料(例如,經量測光譜)作為輸入且提供參數值作為輸出,且因此為一經訓練之輸入輸出模型。
在Pandev之美國專利案第8,843,875號、Pandev等人之美國專利公開案第2014/0297211號、Shchegrov等人之美國專利公開案第2014/0316730號、美國專利公開案第2014/0172394號、Pandev等人之美國專利公開案第2014/0297211號、Pandev等人之美國專利公開案第2015/0042984號、Pandev等人之美國專利公開案第2015/0046118號、Pandev之美國專利公開案第14/624485號及美國臨時專利公開案第61/991857號中描述與作為量測程序之部分之模型產生、訓練及利用相關之額外細節,該等案之各者之全部內容以引用之方式併入本文中。
圖12繪示適用於藉由一度量系統(諸如本發明之圖13中繪示之度量系統300)之實施方案之一方法250。在一項態樣中,應理解,可經由藉由運算系統330(或任何其他通用運算系統)之一或多個處理器執行之一預程式化演算法來執行方法250之資料處理區塊。本文中辨識度量系統300之特定結構態樣並不表示限制且應解釋為僅係闡釋性的。
在區塊251中,藉由一運算系統(例如,運算系統330)接收與一半導體晶圓之一表面上之複數個位點之量測相關聯之一光學量測資料量。複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個 圖案化步驟產生之至少一個所關注參數為特徵之一經多重圖案化度量目標。(若干)所關注參數指示藉由多重圖案化程序引發之一幾何誤差。
在一個實例中,圖2中描繪之目標結構100之結構參數CD1、CD2、CD3及間距偏差為所關注參數。藉由非限制性實例提供此等參數。一般言之,許多其他結構參數(例如,側壁角度、底部臨界尺寸等等)可用來指示藉由一多重圖案化程序引發之幾何誤差。
在一些實施例中,一受量測產品晶圓包含一標稱定值結構陣列。因此,不管在晶圓上之位置,CD1、CD2、CD3及間距偏差皆具有相同標稱值。
在一些實例中,量測資料包含在不同量測位點處獲得之一光譜範圍內之兩個橢偏參數(Ψ、△)。量測資料包含相關聯於與各量測位點相關聯之多重圖案化度量目標的光譜量測。儘管在一些實例中,量測資料為光譜量測資料,但一般言之,量測資料可係指示經圖案化至一半導體晶圓之表面上之結構之結構性質或幾何性質之任何量測資料。
在區塊252中,基於量測資料及一經訓練SRM量測模型判定與複數個量測位點之各者相關聯之至少一個所關注參數之值。所關注參數之值指示藉由多重圖案化程序引發之一幾何誤差。直接自經訓練SRM量測模型計算所關注參數之值。
在區塊103中,所關注參數之值儲存於一記憶體(例如,記憶體332)中。
圖4繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自經量測光譜量測CD2之結果之一標繪圖130。在此實例中,在其他幾何參數值之變量存在的情況下,針對CD2之不同值合成地產生DOE光譜。在此實例中,CD2之標稱值在5奈米之一範圍內變化。圖4 繪示藉由SRM量測模型針對給定量測光譜預測之CD2之值對用於產生合成光譜之實際CD2值之一標繪圖。如在圖4中描繪,CD2之預測值與實際值之間的擬合相當接近。
圖5繪示示範使用經訓練SRM模型之CD2量測中之變量之一標繪圖140。在此實例中,在其他幾何參數值之變量存在的情況下,針對CD2之相同值合成地產生DOE光譜。針對DOE光譜之各集藉由經訓練SRM模型做出CD2之值之一估計。圖5繪示針對各DOE光譜藉由SRM量測模型預測之CD2之值之一標繪圖。如在圖5中描繪,使用約0.1奈米之一精確度來量測CD2。
圖6繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自經量測光譜量測間距偏差之結果之一標繪圖150。在此實例中,與目標100之CD2相關聯之相同量測光譜用於估計間距偏差。圖6繪示藉由SRM量測模型針對給定量測光譜預測之間距偏差之值對用於產生合成光譜之實際間距偏差值之一標繪圖。如在圖6中描繪,間距偏差之預測值與實際值之間的擬合相當接近。
圖7繪示示範使用經訓練SRM模型之間距偏差量測中之變量之一標繪圖160。針對DOE光譜之各集藉由經訓練SRM模型做出間距偏差之值之一估計。圖7繪示針對各DOE光譜藉由SRM量測模型預測之間距偏差之值之一標繪圖。如在圖7中描繪,使用約1.3奈米之一變量來量測間距偏差。
歸因於目標100中存在的結構對稱性,無法單獨直接自目標100導出之散射量測信號量測CD1。來自具有CD1中之一正值擾動(例如,CD1+x)之一光柵結構之散射量測信號等同於來自具有CD1中之一負值擾動(例如,CD1-x)之一光柵結構之散射量測信號。
在一項進一步態樣中,一SRM量測模型在來自經整合至一個多目標集中之多個目標之量測信號上訓練且對來自相同多個目標之量測 信號操作。此方法使臨界參數與彼此且與其他程序變量解相關。
在一些實施例中,輔助目標經定位成緊接著主量測目標且經受相同程序變量(例如,SAQP程序變量)。在此等實施例中,度量目標之訓練集包含一主、標稱定尺寸之目標及具有所關注參數之不同標稱值之一或多個輔助目標。
在微影程序步驟期間形成輔助目標。在一些實例中,具有不同線對空間比及/或不同間距之一遮罩可用於產生輔助目標。較佳盡可能將主目標及輔助目標定位靠近在一起以增強SRM量測模型之準確度。在一些實施例中,主度量目標及輔助度量目標兩者在各量測位點處定位成鄰近彼此。藉由將度量目標定位成靠近在一起,簡化用於鏈結兩個度量目標之參數之假定較不可能引發明顯誤差。舉例而言,只要兩個度量目標定位成最接近彼此,則針對兩個度量目標,底層之厚度極可能為相同值。因此,針對相鄰度量目標,底層之厚度可視為相同恆定值,而不引發明顯誤差。
使用輔助目標來訓練且使用一SRM量測模型類似於前文描述之單一目標方法。然而,另外,訓練多目標SRM量測模型需要訓練來自輔助目標及主度量目標之資料。類似地,使用多目標SRM量測模型需要來自輔助目標及主度量目標之量測資料。然而,應注意,由於並不關注與輔助目標相關聯之特定參數值,故僅需要自主目標收集用於訓練之參考量測資料。
圖8至圖9示範使用主度量目標100及兩個輔助目標達成之CD1之量測之結果。在此實例中,除輔助目標與彼此之不同處在於光柵圖案之光阻劑寬度外,輔助目標與主度量目標相同。在此實例中,一個輔助目標之光阻劑寬度之差異導致與輔助目標相關聯之一CD1值比主目標之CD1值大2奈米。另外,另一輔助目標之光阻劑寬度之差異導致與輔助目標相關聯之一CD1值比主目標之CD1值小2奈米。
圖8繪示示範使用根據本文所描述之方法200訓練之一SRM模型直接自輔助目標及主目標100之經量測光譜量測CD1之結果之一標繪圖170。在此實例中,在其他幾何參數值之變量存在的情況下,針對CD1之不同值合成地產生DOE光譜。在此實例中,CD1之標稱值在5奈米之一範圍內變化。圖8繪示藉由SRM量測模型針對給定量測光譜預測之CD1之值對用於產生合成光譜之實際CD1值之一標繪圖。如在圖8中描繪,CD1之預測值與實際值之間的擬合相當接近。
圖9繪示示範使用經訓練SRM模型之CD1量測中之變量之一標繪圖180。在此實例中,在其他幾何參數值之變量存在的情況下,針對CD1之相同值合成地產生DOE光譜。針對DOE光譜之各集藉由經訓練SRM模型做出CD1之值之一估計。圖9繪示針對各DOE光譜藉由SRM量測模型預測之CD1之值之一標繪圖。如在圖9中描繪,使用約0.1奈米之一精確度來量測CD1。
在另一進一步態樣中,基於來自在多重圖案化程序之多個步驟處之一度量目標之量測信號訓練且使用一SRM量測模型。來自一或多個先前程序步驟之經量測光譜經前饋用於訓練且使用與主目標相關聯之SRM量測模型。此方法亦使臨界參數與彼此且與其他程序變量解相關。
此方法不需要實施額外輔助目標所需之額外晶圓空間。然而,此方法需要在一多個程序步驟中執行晶圓量測。
使用在多個程序步驟處收集之量測資料來訓練且使用一SRM量測模型類似於前文描述之單一目標方法。然而,另外,訓練SRM量測模型需要量測在兩個不同程序步驟之一最小者處之主目標。類似地,使用SRM量測模型需要在不同程序步驟處來自主目標之量測資料。然而,應注意,由於在此步驟處僅關注與目標之特定參數值,故僅需要在最近程序步驟處自主目標收集用於訓練之參考量測資料。
圖10A描繪在圖2中所描繪之「最終蝕刻之前」步驟之前之SADP程序中之一步驟之一模型。在此步驟處之量測資料含有關於CD1及CD2之資訊,但不具有關於CD3之資訊,此係因為尚未形成最終光柵。然而,在此步驟中,CD1至CD3之值已固定且歸因於程序對稱性不會在程序步驟之剩餘部分期間改變。
圖10B示範如本文描述應用光譜前饋之CD1及CD2之量測結果之精確度(分別標記為「CD1(SFF)」及「CD2(SFF)」)。
如本文描述,需要使用其他技術獲得之參考量測來訓練SRM模型。CD-SEM係因其高量測不確定性為人知之一例示性量測技術。圖8示範,由於針對典型訓練資料集平均化參考量測誤差,故本文描述之量測技術對參考量測誤差穩健。
圖10描繪基於兩個不同參考量測資料集使用不同量測技術(即,單一目標、多目標模型(MTM)及光譜前饋(SFF))之不同參數(即,CD1、CD2及間距偏差)之量測結果之一圖表195。第一集採用合成參考量測訓練資料而無雜訊。在圖10B中使用一實體圖案繪示該等結果。第二集採用包含0.25奈米、三西格瑪(sigma)之量測資訊之合成參考量測訓練資料。在圖10B中使用一陰影圖案繪示該等結果。如在圖10B中描繪,加入量測雜訊對所得量測之精確度無明顯影響。
儘管分開描繪使用多個目標及光譜前饋來增強SRM模型訓練及使用,但可組合使用兩種技術。在一個實例中,一SRM模型經訓練且應用以使用來自輔助目標之光譜及來自先前圖案化步驟之光譜來執行量測。以此方式,訓練光譜及量測光譜兩者係藉由相同程序條件形成且在程序之不同步驟處之不同目標之光譜之組合。
在本文中藉由非限制性實例描述涉及SADP之特定實例。一般言之,本文描述之方法及系統可用來改良藉由任何多重圖案化技術(例如,自對準之雙重、三重、四重、八重圖案化、雙重微影雙重蝕刻 (LELE)圖案化等等)產生之所關注參數之量測。
圖13繪示用於根據本文呈現之例示性方法量測一樣品之特性之一系統300。如在圖13中展示,系統300可用來執行一樣品301之一或多個結構之光譜橢圓偏振量測。在此態樣中,系統300可含裝備有一照明器302及一光譜儀304之一光譜橢圓偏振儀。系統300之照明器302經組態以產生一經選擇波長範圍(例如,150至2000nm)之照明且將其引導至安置於樣品301之表面上之結構。繼而,光譜儀304經組態以接收自樣品301之表面反射之照明。進一步應注意,使用一偏光狀態產生器307使自照明器302出現之光偏光以產生一偏光照明光束306。藉由安置於樣品301上之結構反射之輻射行進通過一偏光狀態分析器309且至光譜儀304。相對於偏光狀態分析在收集光束308中藉由光譜儀304接收之輻射,而容許藉由通過分析器之輻射之光譜儀之光譜分析。此等光譜311經傳遞至運算系統330以進行結構分析。
如在圖13中描繪,系統300包含一單一量測技術(即,SE)。然而,一般言之,系統300可包含任何數量之不同量測技術。藉由非限制實例,系統300可經組態為一光譜橢圓偏振量測儀(包含Mueller矩陣橢圓偏振量測)、一光譜反射儀、一光譜散射儀、一覆疊散射儀、一角度解析式束廓反射儀、一偏光解析式束廓反射儀、一束廓反射儀、一束廓橢圓偏振量測儀、任何單一及多個波長橢圓偏振量測儀或任何其等組合。此外,一般言之,可自多個工具而非整合多種技術之一個工具收集藉由不同量測技術收集且根據本文描述之方法分析之量測資料。
在一進一步實施例中,系統300可包含用來基於根據本文描述之方法開發之量測模型執行量測之一或多個運算系統330。一或多個運算系統330可經通信耦合至光譜儀304。在一項態樣中,一或多個運算系統330經組態以接收與樣品301之結構之量測相關聯之量測資料 311。
應理解,可藉由一單一電腦系統330或替代地一多電腦系統330執行整份本發明所描述之各種步驟。再者,系統300之不同子系統(諸如光譜橢圓偏振量測儀304)可包含適用於執行本文描述之步驟之至少一部分之一電腦系統。因此,上述描述不應解釋為限制本發明,而是僅闡釋本發明。此外,一或多個運算系統330可經組態以執行本文描述之方法實施例之任一者之任何(若干)其他步驟。
另外,電腦系統330可以任何技術中已知之方式通信耦合至光譜儀304。舉例而言,一或多個運算系統330可耦合至與光譜儀304相關聯之運算系統。在另一實例中,可藉由耦合至電腦系統330之一單一電腦系統直接控制光譜儀304。
度量系統300之電腦系統330可經組態以藉由可包含電線及/或無線部分之一傳輸媒體接收及/或獲取來自系統之子系統(例如,光譜儀304及類似物)之資料或資訊。以此方式,傳輸媒體可充當電腦系統330與系統300之其他子系統之間的一資料鏈路。
整合式度量系統300之電腦系統330可經組態以藉由可包含電線及/或無線部分之一傳輸媒體接收及/或獲取來自其他系統之資料或資訊(例如,量測結果、模型化輸入、模型化結果等等)。以此方式,傳輸媒體可充當電腦系統330與其他系統(例如,記憶體內建度量系統300、外部記憶體、參考量測源320或其他外部系統)之間的一資料鏈路。舉例而言,運算系統330可經組態以經由一資料鏈路接收來自一儲存媒體(即,記憶體332或一外部記憶體)之量測資料。舉例而言,使用光譜儀304獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體332或一外部記憶體)中。就此而言,可自內建記憶體或自一外部記憶體系統匯入光譜結果。再者,電腦系統330可經由一傳輸媒體發送資料至其他系統。舉例而言,一組合量測模型或藉由電 腦系統330判定之一結構參數值340可經傳送且儲存於一外部記憶體中。就此而言,量測結果可匯出至另一系統。
運算系統330可包含但不限於一個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理機、或技術中已知之任何其他裝置。一般言之,術語「運算系統」可經廣泛定義以涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
可在一傳輸媒體(諸如一電線、纜線或無線傳輸鏈路)上傳輸實施方法(諸如本文描述之該等方法)之程式指令334。舉例而言,如在圖13中繪示,儲存於記憶體332中之程式指令334在匯流排333上傳輸至處理器331。程式指令334儲存於一電腦可讀媒體(例如,記憶體332)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
在一些實施例中,照明光及自照明量測位點收集之光包含多個、不同波長。在一些實施例中,自經照明量測位點按多個、不同收集角度收集該光。藉由偵測按多個波長及收集角度之光,改良對間距偏差及臨界尺寸(例如,CD)中之變量之敏感性。在一些實施例中,自經照明量測位點按多個、不同方位角收集該光。此等平面外量測亦可改良對間距偏差及臨界尺寸中之變量之敏感性。在一些實施例中,針對系統設定之一特定集(例如,光譜或角度解析式系統、一或多個方位角、一或多個波長及其等之任何組合)最佳化光學量測資料之收集。
在一些實例中,與用於模型建立、訓練及量測之多個目標相關聯之量測資料之使用消除(或顯著降低)量測結果中之底層之效應。在一個實例中,來自兩個目標之量測信號經減去以消除(或顯著減少)各量測結果中底層之效應。與多個目標相關聯之量測資料之使用增加嵌入模型中之樣本及程序資訊。特定言之,使用包含在一或多個量測位 點處之多個、不同目標之量測之訓練資料實現更準確之量測。
在一個實例中,針對隔離目標及密集目標兩者之一DOE晶圓之光譜量測產生一量測模型。接著,基於光譜量測資料及已知結構參考值訓練量測模型。所得經訓練量測模型後續用來計算樣本晶圓上之隔離目標及密集目標兩者之結構參數值。以此方式,各參數具有其自身之經訓練模型,其自與隔離目標及密集目標兩者相關聯之經量測光譜(或經提取特徵)計算參數值。
在另一進一步態樣中,針對模型建立、訓練及量測收集來源於藉由多個、不同量測技術之一組合執行之量測之量測資料。與多個、不同量測技術相關聯之量測資料之使用增加嵌入模型中之樣本及程序資訊且實現更準確之量測。量測資料可自藉由多個、不同量測技術之任何組合執行之量測導出。以此方式,可藉由多個、不同量測技術量測不同量測位點以增強可用於特徵化半導體結構之量測資訊。
一般言之,在此專利文件之範疇內,可設想任何量測技術或兩個或兩個以上量測技術之組合。例示性量測技術包含但不限於光譜橢圓偏振量測(包含Mueller矩陣橢圓偏振量測)、光譜反射量測、光譜散射量測、散射量測覆疊、束廓反射量測(角解析及偏光解析兩者)、束廓橢圓偏振量測、單一及多個離散波長橢圓偏振量測、傳輸小角度x射線散射計(TSAXS)、小角度x射線散射(SAXS)、掠入射小角度x射線散射(GISAXS)、廣角度x射線散射(WAXS)、x射線反射率(XRR)、x射線繞射率(XRD)、掠入射x射線繞射(GIXRD)、高解析度x射線繞射(HRXRD)、x射線光電光譜(XPS)、x射線螢光(XRF)、掠入射x射線螢光(GIXRF)、低能量電子引發式x射線發射散射量測(LEXES)、x射線斷層掃描及x射線橢圓偏振量測。一般言之,可設想可用於半導體結構之特徵化之任何度量技術(包含基於影像之度量技術)。額外感測器選項包含電子感測器(諸如非接觸式電容/電壓或電流/電壓感測器), 其等對裝置加偏壓且使用一光學感測器(或輔助光學技術,諸如XRD、XRF、XPS、LEXES、SAXS及泵探測技術)偵測所得偏壓(或相反)。在一項實施例中,一二維束廓反射儀(光瞳成像器)可用來收集具有一小光點大小之角度解析式及/或多光譜資料。一UV Linnik干涉儀亦可用作一Mueller矩陣光譜光瞳成像器。
在一些實例中,本文描述之模型建立、訓練及量測方法經實施為自KLA-Tencor公司(Milpitas,California,USA)可得之一SpectraShape®光學臨界尺寸度量系統之一元件。以此方式,該模型經產生且在DOE晶圓光譜藉由系統收集後立即可使用。
在一些其他實例中,(例如)藉由實施自KLA-Tencor公司(Milpitas,California,USA)可得之AcuShape®軟體之一運算系統離線實施本文描述之模型建立、訓練方法。所得、經訓練之模型可作為可由執行量測之一度量系統存取之一AcuShape®文庫之一元件併入。
在另一實例中,本文描述之方法及系統可用於覆疊度量。光柵量測尤其與覆疊量測相關。覆疊度量之目標係判定不同微影曝光步驟之間的偏移。歸因於裝置上結構之小尺寸(且通常為小覆疊值),裝置上執行覆疊度量係困難的。
舉例而言,典型切割道覆疊度量結構之間距自200奈米變化至2,000奈米。但,裝置上、覆疊度量結構之間距通常為100奈米或更小。另外,在一標稱生產環境中,裝置覆疊僅係裝置結構之週期性之一小分率。相比而言,用於散射量測覆疊中之替代度量結構頻繁偏移較大值(例如,四分之一間距)以增強對覆疊之信號敏感度。
在此等條件下,使用具有對小偏移、小間距覆疊之足夠敏感度之感測器架構執行覆疊度量。本文描述之方法及系統可用來基於裝置上結構、替代結構或兩者獲得對覆疊敏感之一量測信號。
在獲取後,分析經量測信號以基於量測信號中之變量判定覆疊 誤差。在一項進一步態樣中,使用PCA分析光譜或角度解析式資料,且訓練一覆疊模型以基於在經量測信號中偵測之主成分判定覆疊。在一個實例中,覆疊模型係一神經網路模型。在此意義中,覆疊模型非一參數模型,且因此不受藉由不準確模型化假定引入之誤差影響。
在一些實施例中,訓練覆疊度量模型係基於標稱上等同於裝置特徵但具有較大偏移之專用度量結構之量測。此可有助於克服敏感度問題。此等偏移可藉由在待在主遮罩設計期間量測之兩個層中之特徵之間引入之固定設計偏移引入。該等偏移亦可藉由微影曝光中之偏移引入。藉由使用多個、偏移目標(例如,間距/4及-間距/4)自壓縮信號(例如,PCA信號)更有效提取覆疊誤差,且亦可降低底層之效應。
一般言之,可將本文呈現之用於執行半導體度量之方法及系統直接應用至實際裝置結構或定位於晶粒中或切割線內之專用度量目標(例如,替代結構)。
在又一態樣中,本文描述之量測技術可用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。舉例而言,使用本文描述之該等方法判定之結構參數值可經傳送至一微影工具以調整微影系統實現一所需輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等等)或沈積參數(例如,時間、濃度等等)可包含於一量測模型中以將主動回饋各自提供至蝕刻工具或沈積工具。
一般言之,本文描述之系統及方法可經實施為一專用度量工具之部分,或替代地實施為一程序工具(例如,微影工具、蝕刻工具等等)之部分。
如本文描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等等)、在任何兩個或兩個以上結構之間的一臨界尺寸(例如,在兩個結構之間的距離)及在兩個或兩個以上結構之間的一位移(例如, 覆疊光柵結構之間的覆疊位移等等)。結構可包含三維結構、經圖案化結構、覆疊結構等等。
如本文描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文描述,術語「度量系統」包含至少部分用來特徵化任何態樣中之一樣品之任何系統,其等包含量測應用,諸如臨界尺寸度量、覆疊度量、焦點/劑量度量、及組成度量。然而,此等技術術語並不限制如本文描述之術語「度量系統」之範疇。另外,度量系統300可經組態用於量測圖案化晶圓及/或未圖案化晶圓。度量系統可經組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨觀檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及獲益於基於臨界尺寸資料校準系統參數之任何其他度量或檢測工具。
本文針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各種實施例。術語「樣品」在本文中用來指一晶圓、一主光罩、或可藉由技術中已知之方法處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。此等基板普遍可在半導體製造設施中找到及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含在一基板上形成之不同材料之一或多個層。形成於一晶圓上之一或多個層可經「圖案化」或「未圖案化」。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「主光罩」可係在一主光罩製造程序之任何階段之一主光罩,或係可或不可經釋離以用於一半導體製造設施中之一已完成主光罩。一主光罩或一「遮罩」一般定義為一實質上透明基板,其具有形 成於其上且經組態於一圖案中之實質上不透明區。基板可包含(例如)一玻璃材料,諸如非晶SiO2。一主光罩可在一微影程序之一曝光步驟期間安置於一光阻劑覆蓋之晶圓上方,使得主光罩上之圖案可經轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未圖案化。舉例而言,一晶圓可包含複數個晶粒,其等各者具有可重複圖案特徵。此等材料層之形成及處理可最終導致完成之裝置。許多不同類型之裝置可形成於一晶圓上,且如本文使用之術語晶圓意在涵蓋其上製造技術已知之任何類型裝置之一晶圓。
在一或多項例示性實施例中,在硬體、軟體、韌體或其等之任何組合中實施所描述之功能。若實施於軟體中,該等功能可作為一電腦可讀媒體上之一或多個指令儲存或傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體,通信媒體包含促進一電腦程式從一個位置傳送至另一位置之任何媒體。一儲存媒體可係可藉由一通用或專用電腦存取之任何可用媒體。藉由實例但非限制,此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存、磁碟儲存或其他磁性儲存裝置、或可用於攜載或儲存具有指令或資料結構形式之所需程式碼構件且可藉由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。而且,任何連接適當地被稱為一電腦可讀媒體。舉例而言,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或諸如紅外線、無線電及微波之無線技術從一網站、伺服器或其他遠端來源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或諸如紅外線、無線電及微波之無線技術包含於媒體之定義中。如在本文中使用之磁碟及光碟包含光碟(CD)、雷射光碟、光碟、數位多用途光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地複製資料,然而光碟使用雷射光學地複製資料。上文之組合亦應包含於電腦可讀媒體之範 疇內。
儘管上文為教學目的描述特定實施例,但此專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,可在不脫離如在申請專利範圍中提及之本發明之範疇的情況下實踐所描述之實施例之各種特徵之各種修改、調適及組合。
100‧‧‧半導體結構/目標結構
101‧‧‧基板層
102‧‧‧氧化物層
103‧‧‧氮化物層
104‧‧‧氧化物層
105A‧‧‧氮化物間隔件結構
105B‧‧‧氮化物間隔件結構
105C‧‧‧氮化物間隔件結構
105D‧‧‧氮化物間隔件結構
105E‧‧‧氮化物間隔件結構
CD1‧‧‧臨界尺寸參數
CD2‧‧‧臨界尺寸參數
CD3‧‧‧臨界尺寸參數

Claims (20)

  1. 一種方法,其包括:接收與一半導體晶圓之一表面上之第一複數個量測位點之量測相關聯之一第一光學量測資料量,其中該第一複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中該至少一個所關注參數指示藉由該多重圖案化程序引發之一幾何誤差;基於該第一量測資料量及一信號回應度量(SRM)模型判定與該第一複數個量測位點之各者相關聯之該至少一個所關注參數之一值,其中該SRM模型係將該所接收光學量測資料與該至少一個所關注參數之一值直接相關之一輸入輸出模型量測模型;及將該至少一個所關注參數之該值儲存於一記憶體中。
  2. 如請求項1之方法,其進一步包括:接收與第二複數個量測位點相關聯之一第二量測資料量,其中該第二複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中在該第二複數個量測位點之各者處已知特徵化該多重圖案化度量目標之該至少一個所關注參數;至少部分基於該第二量測資料量判定該SRM量測模型;及至少部分基於該至少一個所關注參數之該等已知值訓練該SRM量測模型。
  3. 如請求項2之方法,其中該第二量測資料量與一實驗設計(DOE)晶圓上之該第二複數個量測位點之量測相關聯,且在該第二複數個量測位點之各者處藉由一參考量測系統量測特徵化該多重 圖案化度量目標之該至少一個所關注參數。
  4. 如請求項2之方法,其中模擬該第二量測資料量及在該第二複數個量測位點之各者處特徵化該多重圖案化度量目標之該至少一個所關注參數。
  5. 如請求項2之方法,進一步包括:藉由縮減該第二量測資料量之一維度而提取該第二量測資料量之一或多個特徵,且其中判定該SRM量測模型至少部分基於該一或多個特徵。
  6. 如請求項5之方法,其中縮減該第二量測資料量之該維度涉及一主成分分析、一非線性主成分分析、自該第二量測資料量之一個別信號選擇及該第二量測資料量之一篩選之任一者。
  7. 如請求項1之方法,其中該SRM量測模型係一多目標模型。
  8. 如請求項7之方法,其中該第一量測資料量包含一標稱度量目標及至少一個輔助度量目標之量測,其中該標稱度量目標及該至少一個輔助度量目標兩者各以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵。
  9. 如請求項8之方法,其中該至少一個輔助度量目標之一所關注參數之一值不同於該標稱度量目標之該所關注參數之一值。
  10. 如請求項1之方法,其中該第一量測資料量包含在該多重圖案化程序之不同程序步驟處之一標稱度量目標之量測。
  11. 一種系統,其包括:一度量工具,其包含一照明源及經組態以執行一目標結構之量測之一偵測器;及一運算系統,其經組態以:接收與一半導體晶圓之一表面上之第一複數個量測位點之量測相關聯之一第一光學量測資料量,其中該第一複數個量 測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中該至少一個所關注參數指示藉由該多重圖案化程序引發之一幾何誤差;基於該第一量測資料量及一信號回應度量(SRM)模型判定與該第一複數個量測位點之各者相關聯之該至少一個所關注參數之一值,其中該SRM模型係將該所接收光學量測資料與該至少一個所關注參數之一值直接相關之一輸入輸出模型量測模型;及將該至少一個所關注參數之該值儲存於一記憶體中。
  12. 如請求項11之系統,其中該運算系統經進一步組態以:接收與第二複數個量測位點相關聯一第二量測資料量,其中該第二複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中在該第二複數個量測位點之各者處已知特徵化該多重圖案化度量目標之該至少一個所關注參數;至少部分基於該第二量測資料量判定該SRM量測模型;及至少部分基於該至少一個所關注參數之該等已知值訓練該SRM量測模型。
  13. 如請求項12之系統,其中該運算系統經進一步組態以:藉由縮減該第二量測資料量之一維度而提取該第二量測資料量之一或多個特徵,且其中判定該SRM量測模型至少部分基於該一或多個特徵。
  14. 如請求項13之系統,其中縮減該第二量測資料量之該維度涉及一主成分分析、一非線性主成分分析、自該第二量測資料量之一個別信號選擇及該第二量測資料量之一篩選之任一者。
  15. 如請求項11之系統,其中該第一量測資料量包含一標稱度量目標及至少一個輔助度量目標之量測,其中該標稱度量目標及該至少一個輔助度量目標兩者各以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵。
  16. 如請求項15之系統,其中該至少一個輔助度量目標之一所關注參數之一值不同於該標稱度量目標之該所關注參數之一值。
  17. 如請求項11之系統,其中該第一量測資料量包含在該多重圖案化程序之不同程序步驟處之一標稱度量目標之量測。
  18. 如請求項11之系統,其中該偵測器經組態以按多個波長、多個收集角度或多個波長及多個收集角度之一組合自該目標結構收集光。
  19. 一種非暫態電腦可讀媒體,其包括:用於使一電腦接收與一半導體晶圓之一表面上之第一複數個量測位點之量測相關聯之一第一光學量測資料量之程式碼,其中該第一複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中該至少一個所關注參數指示藉由該多重圖案化程序引發之一幾何誤差;用於使該電腦基於該第一量測資料量及一信號回應度量(SRM)模型判定與該第一複數個量測位點之各者相關聯之該至少一個所關注參數之一值之程式碼,其中該SRM模型係將該所接收光學量測資料與該至少一個所關注參數之一值直接相關之一輸入輸出模型量測模型;及用於使該電腦將該至少一個所關注參數之該值儲存於一記憶體中之程式碼。
  20. 如請求項19之非暫態、電腦可讀媒體,其進一步包括: 用於使該電腦接收與第二複數個量測位點相關聯一第二量測資料量之程式碼,其中該第二複數個量測位點之各者包含以藉由一多重圖案化程序之至少兩個圖案化步驟產生之至少一個所關注參數為特徵之一多重圖案化度量目標,其中在該第二複數個量測位點之各者處已知特徵化該多重圖案化度量目標之該至少一個所關注參數;用於使該電腦至少部分基於該第二量測資料量判定該SRM量測模型之程式碼;及用於使該電腦至少部分基於該至少一個所關注參數之該等已知值訓練該SRM量測模型之程式碼。
TW104133912A 2014-10-16 2015-10-15 多重圖案化處理之度量 TWI659483B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462064973P 2014-10-16 2014-10-16
US62/064,973 2014-10-16
US14/879,534 2015-10-09
US14/879,534 US10215559B2 (en) 2014-10-16 2015-10-09 Metrology of multiple patterning processes

Publications (2)

Publication Number Publication Date
TW201622032A true TW201622032A (zh) 2016-06-16
TWI659483B TWI659483B (zh) 2019-05-11

Family

ID=55747266

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133912A TWI659483B (zh) 2014-10-16 2015-10-15 多重圖案化處理之度量

Country Status (6)

Country Link
US (1) US10215559B2 (zh)
KR (1) KR102245698B1 (zh)
CN (1) CN106796105B (zh)
IL (1) IL250089B (zh)
TW (1) TWI659483B (zh)
WO (1) WO2016061218A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742277B (zh) * 2017-05-11 2021-10-11 美商克萊譚克公司 用於對準以不同模態所獲取之影像之以學習為基礎之方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10534275B2 (en) 2015-06-22 2020-01-14 Nova Measuring Instruments Ltd. Method for use in process control of manufacture of patterned sample
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
WO2018075808A1 (en) * 2016-10-20 2018-04-26 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
IL273294B2 (en) * 2017-09-26 2023-11-01 Nova Ltd Metrology method and system
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11635682B2 (en) 2019-06-26 2023-04-25 Kla Corporation Systems and methods for feedforward process control in the manufacture of semiconductor devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
WO2021168611A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168610A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems having light source with extended spectrum for semiconductor chip surface topography metrology
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
KR102405557B1 (ko) * 2021-10-14 2022-06-07 라이트비전 주식회사 컴퓨터가 구분하기 용이한 회절패턴 분류 체계 시스템 및 이에 있어서 분류 체계 구축 방법

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5922537A (en) * 1996-11-08 1999-07-13 N.o slashed.AB Immunoassay, Inc. Nanoparticles biosensor
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7092110B2 (en) * 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
JP2007505322A (ja) * 2003-09-12 2007-03-08 アクセント・オプティカル・テクノロジーズ・インコーポレイテッド 線プロファイル非対称性測定
US7695688B2 (en) * 2003-09-19 2010-04-13 Applied Biosystems, Llc High density plate filler
US7407630B2 (en) * 2003-09-19 2008-08-05 Applera Corporation High density plate filler
US7460223B2 (en) * 2003-09-19 2008-12-02 Applied Biosystems Inc. Inverted orientation for a microplate
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4740826B2 (ja) * 2006-02-23 2011-08-03 株式会社神戸製鋼所 形状測定装置、形状測定方法
JP4861893B2 (ja) * 2006-07-28 2012-01-25 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板の処理システム
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7616817B2 (en) * 2007-04-12 2009-11-10 The United States Of America As Represented By The Secretary Of The Navy Three dimensional shape correlator
CN101359611B (zh) 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量***的选定变量进行优化
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7742889B2 (en) * 2008-03-27 2010-06-22 Tokyo Electron Limited Designing an optical metrology system optimized with signal criteria
US20090296075A1 (en) 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
CN101393015B (zh) 2008-10-17 2010-06-16 华中科技大学 一种微纳深沟槽结构在线测量方法及装置
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
WO2013018093A1 (en) 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130158957A1 (en) 2011-12-16 2013-06-20 Lie-Quan Lee Library generation with derivatives in optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) * 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742277B (zh) * 2017-05-11 2021-10-11 美商克萊譚克公司 用於對準以不同模態所獲取之影像之以學習為基礎之方法

Also Published As

Publication number Publication date
WO2016061218A1 (en) 2016-04-21
US20160109230A1 (en) 2016-04-21
CN106796105A (zh) 2017-05-31
KR102245698B1 (ko) 2021-04-27
TWI659483B (zh) 2019-05-11
KR20170067885A (ko) 2017-06-16
US10215559B2 (en) 2019-02-26
IL250089B (en) 2020-11-30
CN106796105B (zh) 2020-01-31
IL250089A0 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
US10612916B2 (en) Measurement of multiple patterning parameters
TWI659483B (zh) 多重圖案化處理之度量
TWI631476B (zh) 用於裝置上之量測之方法及系統
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
TWI686684B (zh) 量測系統及方法