TW201207356A - Method & apparatus for measuring a structure on a substrate, method & apparatus for generating a model recipe, and computer program products for implementing such methods & apparatus - Google Patents

Method & apparatus for measuring a structure on a substrate, method & apparatus for generating a model recipe, and computer program products for implementing such methods & apparatus Download PDF

Info

Publication number
TW201207356A
TW201207356A TW100119651A TW100119651A TW201207356A TW 201207356 A TW201207356 A TW 201207356A TW 100119651 A TW100119651 A TW 100119651A TW 100119651 A TW100119651 A TW 100119651A TW 201207356 A TW201207356 A TW 201207356A
Authority
TW
Taiwan
Prior art keywords
model
parameters
candidate
parameter
subset
Prior art date
Application number
TW100119651A
Other languages
English (en)
Other versions
TWI445920B (zh
Inventor
Maria Johanna Hendrika Aben
Hugo Augustinus Joseph Cramer
Noelle Martina Wright
Sanchez Ruben Alvarez
Martijn Jaap Daniel Slob
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201207356A publication Critical patent/TW201207356A/zh
Application granted granted Critical
Publication of TWI445920B publication Critical patent/TWI445920B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

201207356 六、發明說明: 【發明所屬之技術領域】 本發明係關於測量基板上結構之方法及裝置。舉例而 &,本發明可應用於顯微鏡結構之以模型為基礎之度量衡 中,例如,以估定微影裝置之臨界尺寸(CD)或疊對效能。 【先前技術】 微影裝置為將所要圖案施加至基板上(通常施加至基板 之目私部分上)的機器。微影裝置可用於(例如)積體電路 (ic)之製造中。在該情況下,圖案化元件(其或者被稱作光 罩或比例光罩)可用以產生待形成於IC之個別層上的電路 圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部 仝(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通 常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上 而進行圖案之轉印。一般而言,單一基板將含有經順次地 圖案化之鄰近目標部分的網路。已知微影裝置包括:所謂 的步進器,其中藉由一次性將整個圖案曝光至目標部分上 來輻照每一目標部分;及所謂的掃描器,其中藉由在給定 方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行 或反平行於此方向而同步地掃描基板來輻照每一目標部 分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化 元件轉印至基板。 為了監視微影程序’測量經圖案化基板之參數。舉例而 吕’參數可包括形成於經圖案化基板中或經圖案化基板上 之順次層之間的疊對誤差,及經顯影感光性抗蝕劑之臨界 156085.doc 201207356 線寬(CD)。可對產品基板及/或對專用度量衡目標執行此 測量°存在用於進行在微影程序中所形成之顯薇鏡結構之 測寬的各種技術,包括掃描電子顯微鏡及各種專門工具之 使用。一種快速且非侵入形式之專門檢測工具為散射計, 其中將輻射光束引導至基板之表面上之目標上,且測量散 射光束或反射光束之屬性。吾人已知兩種主要類型之散射 計。光譜散射計將寬頻帶輻射光束引導至基板上,且測量 經散射成特定窄角範圍之輻射的光譜(作為波長之函數的 強度)。角解析散射計使用單色輻射光束且測量作為角度 之函數的散射輻射之強度。 藉由比較光束在其已藉由基板反射或散射之前與之後的 屬性,可判定基板之屬性。舉例而言,可藉由比較自反射 光束或散射光束之測量所獲得之資料與自參數化模型所演 算之模型(模擬)繞射信號進行此判定。經演算信號可被預 演算且儲存於一文件庫(library)中,該文件庫表示分佈於 參數化模型之參數空間中的複數個候選基板結構。或者或 此外,可在反覆搜尋程序期間變化參數,直到經演算繞射 信號匹配於經測量信號為止。舉例而言,在us 7522293 (Wu)中,將此兩種技術分別描述為(例如)「以文件庫為基 礎」之程序及「以回歸為基礎」之程序。 特別是對於複雜結構或包括特定材料之結構,準確地模 型化散射光束所需要之參數的數目較高。界定「模型配 方」,其中將參數界定為給定(「固定」)或可變(「浮 動」)。對於浮動參數,以絕對術語或藉由參考與標稱值 156085.doc 201207356 之偏差來界疋谷許變化範圍。配方中之每一浮動參數表示 模i中之另一自由度」,且因此表示多維參數空間(其中 將找到最佳匹配候選結構)中之另一維度。即使在少數參 數之清況下,计算任務之大小亦(例如)藉由不可接受地提 尚文件庫樣本之數目而快速地變得極大。其亦提高錯誤地 匹配於不對應於經測量基板之參數集的危險。不幸地,將 參數固定至不等於經測量結構中之值的值將會使匹配程序 失真,使得在可為最受關注參數之浮動參數中引起不準確 度。因此,配方為言十算之準確纟與實務性之間的精緻折 衷。 【發明内容】 本發明之一目的係藉由上文所描述之類型之重新建構方 .法來提供用於結構測量之較佳工具。詳言之,本發明旨在 使月&夠在為了準確度而需要更多可變參數與引起模型具有 過多自由度之損失之間達到較佳包含。發明人已認識到, 不僅藉由將參數固定至標稱值,可藉由在浮動參數之間強 加相關關係來減少模型中之自由度的數目。 根據本發明之一第一態樣,提供一種測量-基板上之一 結構之至少—參數的方法,該方法包含以下步驟: ⑷接收起因於在預定照明下轄射與該結構之相互作用的一 經偵測信號; (b) If由根據一模型配方|卜会去t^ 万木燹化參數而產生複數個候選結 構; 中之每一者之相 (C)藉由模型化該預定輻射與該等候選結構 156085.doc
S -6 - 201207356 互作用來演算複數個候選模型信號; (d)比較該經偵測信號與該複數個候選模型信號以識別一最 佳匹配模型信號;及 ⑷基於在步驟⑷中之tb較結果,基於對應於該最佳匹配 模型信號的該候選結構之該等參數來報告該結構之一或多 個參數之一測量, 其中該模型配方包括界定該等參數之一子集之間的一相 關關係的至少一約束,且其中在步驟(b)中,當產生該等候 選結構時,應用該約束,使得將該參數子集約束成根據該 約束而共同地變化,藉此減少該模型中之自由度的數目, 而不將該子集之該等參數視為固定參數。 本發明允命減少自由度而不在與已知技術相同之程度上 折衷重新建構準確度。應注意,已知系統中之模型通常歸 因於存在性「自然」約束而具有比所存在之自由度更多的 可變參數。舉例而言,普遍的是使一模型化結構中之兩個 特徵具有其自己的形狀及材料參數。儘管在該模型中分離 地命名此等參數,但若藉由相同程序步驟將該等所討論特 徵形成於同一材料層中,則該等參數將被自然地約束為相 等。本發明牽涉到識別及應用附加於此等自然約束之約 束’以旨在將該等自由度減少至低於藉由該模型自身蘊涵 之自由度。 本發明進一步提供一種產生供如上文所闡述之一測量方 法中使用之一模型配方的方法,該方法包含以下步驟: (bl)提供模型結構與各別模型信號之一參考集合,該參考 156085.doc 201207356 集合係以比該模型配方所需要之自由度更多的自由度予以 產生; (b2)產生複數個候選模型配方,每一候選模型配方包人 或多個約束之一不同集,每一約束藉由界定該等可變參數 之一子集之間的相關關係而減少該模型十之自由度的數 目’以便減少該模型中之自由度的數目,而不將該子集之 該等參數視為固定參數; (b3)在代替該經偵測信號而代用來自該參考集合之複數個 模型信號的情況下,使用每一候選模型配方來執行一測量 方法之該等步驟(c)至(e); (b4)藉由比較使用每一候選模型配方所獲得之經測量參數 值與對應於在步驟(b3)中所使用之該等模型信號的該等模 型結構之已知參數值來選擇一最佳模型配方。 本發明進一步提供一種用於測量一基板上之一結構之至 少一參數的檢測裝置,該裝置包含: -一照明系統,該照明系統用於用一或多個輻射光束來照 明該結構; ' • 偵測系統,該偵測系統用於偵測起因於該輻射與該結 構之間的相互作用的一信號;及 -一處理器,該處理器用於比較該經偵測信號與複數個模 型k號以識別一最佳匹配模型信號, 其中該處理器經配置以藉由參考形狀及材料屬性係藉由 一數學模型中之複數個參數表示的一各別候選結構來產生 該等模型、號中之每一者,每一候選結構在該等參數中之 156085.doc 201207356 -或多:方面不同於該等其他候選結構,#中該處理器經 配置:乂猎由參考指定該等參數中哪些參數將被視為固定參 數及該等參數巾料參數將被視為可變參數之—模型配方 來產生該等候選結構中之每一者,且其中該模型配方進一 =含界定該等可變參數之一子集之間的一相關關係的至 少一約束,且其中該處理器經配置以在該等候選模型結構 之該產生中應用該約束,使得將該參數子集約束成根據該 約束而共同地變化,m咸少該模型中之自由度的數目, 而不將該子集之該等參數視為固定參數。 在-實施例中,本發明提供一種測量一基板上之一結構 之至少一參數的方法,該方法包含以下步驟: (m)界定該結構之—數學模在該數學模型中藉由隨著 複數個自自度而彳變之複數個參數來表示形狀及材料屬 性; (η)藉由指定該等參數中哪些參數將被視為固定參數及該等 參數中哪些參數將被視為可變參數來界定一模型配方; (〇)用一或多個輻射光束來照明該結構,且偵測起因於該輻 射與該結構之間的相互作用的一信號; (Ρ)藉由參考該模型配方來產生複數個候選模型結構,每一 候選結構在該等可變參數中之一或多者方面不同於該等其 他候選結構; ⑴藉由模擬該輻射與該等候選結構中之每一者之間的相互 作用來演算複數個模型信號; (s)比較該經偵測信號與該等模型信號中之至少一些模型信 156085.doc 201207356 號;及 ⑴基於在步驟(S)中之比較結果,識別一最佳匹配模型信 號,且基於對應於該最佳匹配模型信號的該候選結構之該 等參數來報告該結構之一或多個參數之一測量, 其中在步驟(η)中所產生之該模型配方進一步包含至少 一約束,該至少一約束界定該等可變參數之一子集之間的 一相關關係’以便減少該模型中之自由度的數目,而不將 該子集之該等參數視為固定參數,且其中在步驟(ρ)中,當 產生該等候選結構時,應用該約束,使得將該參數子集約 束成根據該約束而共同地變化。 本發明進一步提供用於使一處理器實施此等方法及裝置 及/或產生供此等裝置及方法中使用之模型配方的特定電 腦程式產品。 【實施方式】 現將參看隨附示意性圖式而僅藉由實例來描述本發明之 實施例,在該等圖式中,對應元件符號指示對應部分。 圖1示意性地描繪微影裝置❶該裝置包含: 如’ UV輻射或DUV輻射); -照明系統(照明器)IL,其經組態以調節輻射光束β(例 -支撐結構(例如,光罩台)ΜΤ, ,其經建構以支撐圖案化
準確地定位該圖案化元件之第一***ρΜ ;
如,抗蝕劑塗佈晶圓)W,且 ?)WT,其經建構以固持基板(例 且連接至經組態以根據特定參數 156085.doc -10- 201207356 來準確地定位該基板之第二***pw ;及 -投影系統(例如,折射投影透鏡系統)PL,其經組熊以 將藉由圖案化元件MA賦予至輻射光束B之圖案投影至基板 W之目標部分c(例如,包含一或多個晶粒)上。 照明系統可包括用於引導、塑形或控制輻射的各種類型 之光學組件,諸如折射、反射、磁性、電磁、靜電或其他 類型之光學組件,或其任何組合" 支撐結構支撐(亦即,承載)圖案化元件。支撐結構以取 決於圖案化元件之定向、微影裝置之設計及其他條件(諸 如圖案化元件是否被固持於冑空環境中)的方式來固持圖 案化元件。支樓結構可使用機械、真空、靜電或其他㈣ 技術來固持圖案化元件。支撐結構可為(例如)框架或台, 其可根據需要而為固定或可移動的。支撐結構可確保圖案 化元件(例如)相對於投影系統處於所要位置。可認為本^ 中對術語「比例光罩」或「光罩」之任何使用均與更通用 之術語「圖案化元件」同義。 本文中所使用之術語「圖案化元件」應被廣泛地解釋為 指代可用以在輻射光束之橫截面中向輻射光束賦予圖案以 便在基板之目標部分中產生圖案的任何元件。應注意,例 如,若被賦予至輻射光束之圖案包括相移特徵或所謂的辅 助特徵,則圖案可能不會確切地對應於基板之目標部分中 的所要圖案。it常,被賦予至輕射光束之圖案將對應於目 標部分令所產生之元件(諸如積體電路)中的特定功能層。 圖案化元件可為透射或反射的。圖案化元件之實例包括 156085.doc 201207356 光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在 微影中係熟知的,且包括諸如二元、交變相移及衰減相移 之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列 之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者 可個別地傾斜,以便在不同方向上反射人射輻射光束。傾 斜鏡面將圖案賦予於藉由鏡面矩陣反射之輻射光束中。 本文中所使用之術語「投影系統」應被廣泛地解釋為涵 蓋任何類型之投影系統,包括折射、反射、反射折射、磁 f生、電磁及靜電光學系統或其任何組合,其適於所使用之 曝光輻射,或適於諸如浸沒液體之使用或真空之使用的其 他因素。可認為本文中對術語「投影透鏡」之任何使用均 與更通用之術語「投影系統」同義。 如此處所描繪,裝置為透射類型(例如,使用透射光 罩)。或者,裝置可為反射類型(例如,使用上文所提及之 類型的可程式化鏡面陣列,或使用反射光罩)。 微影裝置可為具有兩個(雙載物台)或兩個以上基板台 (及/或兩個或兩個以上光罩台)的類型。在此等「多載物 台」機器中,可並行地使用額外台,或可在一或多個台上 進行預備步驟,同時將一或多個其他台用於曝光。 微影裝置亦可為如下類型:其中基板之至少一部分可藉 由具有相對高折射率之液體(例如,水)覆蓋,以便填充投 影系統與基板之間的空間。亦可將浸沒液體施加至微影裝 置中之其他空間,例如,在光罩與投影系統之間的空間。 浸沒技術在此項技術中被熟知用於增加投影系統之數值孔 156085.doc 201207356 徑。本文中所使用之術語「浸沒」不意謂諸如基板之結構 必須浸潰於液體中’而是僅意謂液體在曝光期間位於投影 系統與基板之間。 參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而 言’當輻射源為準分子雷射時,輻射源與微影裝置可為分 離實體。在此等情況下,不認為輻射源形成微影裝置之部 分’且輻射光束係憑藉包含(例如)適當引導鏡面及/或光束 擴展器之光束傳送系統BD而自輻射源s〇傳遞至照明器 IL。在其他情況下,例如,當輻射源為水銀燈時,輻射源 可為微影裝置之整體部分。輻射源8〇及照明器匕連同光束 傳送系統BD(在需要時)可被稱作輻射系統。 照明器IL可包含用於調整輕射光束之角強度分佈的調整 器AD。通常,可調整照明器之光瞳平面中之強度分佈的 至少外部徑向範圍及/或内部徑向範圍(通常分別被稱作口 外部及σ内部)。此外,照明器几可包含各種其他組件,諸 如積光器IN及聚光器C〇。照明器可用以調節輻射光束’ 以在其橫截面中具有所要均一性及強度分佈。 輻射光束B入射於被固持於支撐結構(例如,光罩台mt) 上之圖案化元件(例如,光罩ΜΑ)上,且係藉由該圖案化元 件而圖案化。在橫穿光罩财後,輻射光束β傳遞通過投影 系統扎’投影系統杜將該光束聚焦至基板w之目標部分c 上。憑藉第二***Pw及位置感測器ιρ(例如,干涉測量 二、:性編碼器、2_D編碼器或電容性感測器),基板台 確地移動,例如,以使不同目標部分C定位於輻射 156085.doc • 13- 201207356 光束B之路徑中。類似地,第一***PM及另一位置感測 器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之 機械擷取之後或在掃描期間相對於輻射光束B之路徑而準 確地定位光罩MA » —般而言,可憑藉形成第一***pM 之部分的長衝程模組(粗略定位)及短衝程模組(精細定位) 來實現光罩台MT之移動。類似地,可使用形成第二定位 器PW之部分的長衝程模組及短衝程模組來實現基板台wT 之移動。在步進器(相對於掃描器)之情況下,光罩台MT可 僅連接至短衝程致動器,或可為固定的。可使用光罩對準 標記Μ1、M2及基板對準標記p丨、p2來對準光罩μα及基板 W。儘管所說明之基板對準標記佔用專用目標部分,但該 等標記可位於目標部分之間的空間中(此等標記被稱為切 割道對準標記)。類似地,在一個以上晶粒提供於光罩ΜΑ 上之情形中,光罩對準標記可位於該等晶粒之間。 所描繪裝置可用於以下模式中之至少一者中: 1. 在步進模式中,在將被賦予至輻射光束之整個圖案一 次性投影至目標部分c上時,使光罩台ΜΤ及基板台冒丁保 持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT 在X及/或Y方向上移位,使得可曝光不同目標部分c。在 步進模式中,曝光場之最大大小限制單次靜態曝光中所成 像之目標部分C的大小。 2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至 目標邛分c上時,同步地掃描光罩台MT及基板台WT(亦 即,單次動態曝光)。可藉由投影系統?1之放大率(縮小率) 156085.doc 201207356 及影像反轉特性來判定基板台WT相對於光罩台MT之速度 及方向。在掃描模式中,曝光場之最大大小限制單次動態 曝光中之目標部分的寬度(在非掃描方向上),而掃描運動 之長度判定目標部分之高度(在掃描方向上)。 3.在另一模式中,在將被賦予至輻射光束之圖案投影至 目標部分C上時’使光罩台ΜΤ保持基本上靜止,從而固持 可程式化圖案化元件’且移動或掃描基板台WT。在此模 式中,通常使用脈衝式輻射源,且在基板台WT之每一移 動之後或在掃描期間的順次輻射脈衝之間根據需要而更新 可程式化圖案化元件。此操作模式可易於應用於利用可程 式化圖案化元件(諸如上文所提及之類型的可程式化鏡面 陣列)之無光罩微影。 亦可使用對上文所描述之使用模式之組合及/或變化或 完全不同的使用模式。 如圖2所示,微影裝置LA形成微影單元LC(有時亦被稱 作微影單元或叢集)之部分,其亦包括用以對基板執行曝 光前程序及曝光後程序之裝置。通常,此等裝置包括用以 沈積抗蝕劑層之旋塗器^、用以顯影經曝光抗蝕劑之顯影 器M、冷卻板ch,及烘烤板BK。基板處置器或機器人r〇 自輸入/輸出埠⑽、1/〇2拾取基板、在不同程序裝置之間 移動基板’且接著將基板傳送至微影裝置之裝載匣LB。通 常被集體地稱作塗佈顯影系統(track)之此等元件係在塗佈 顯影系統㈣單元Tcu之控訂,塗佈顯料'統控制單元 TCU自身係藉由監督控制系統⑽控制,監督控制系統 156085.doc _ 15· 201207356 scs亦經由微影控制單元LACU而控制微影裝置。因此, 不同裝置可經操作以最大化產出率及處理效率。 為了正確地且一致地曝光藉由微影裝置曝光之基板,需 要檢測經曝光基板以測量屬性,諸如後續層之間的疊對誤 差、線厚度、臨界尺寸(CD),等等。若偵測到誤差,則可 對後續基板之曝光進行調整,尤其是在檢測可足夠迅速且 快速地進行以使得同一批量之其他基板仍待曝光的情況 下。再者,已經曝光之基板可被剝離及重做(以改良良率) 或被捨棄,藉此避免對已知有缺陷之基板執行曝光。在基 板之僅一些目標部分有缺陷的情況下,可僅對為良好之該 等目標部分執行另外曝光。 使用檢測裝置以判定基板之屬性,且特別是判定不同基 板或同一基板之不同層的屬性如何在層與層之間變化。檢 測裝置可整合至微影裝置LA或微影單元Lcf,或可為單 獨兀件。為了實現最快速測量,需要使檢測裝置在曝光之 後立即測量經曝光抗蝕劑層中之屬性。然而,抗蝕劑中之 潛影具有極低對比度(在已曝光至輻射的抗蝕劑之部分與 尚未曝光至輻射的抗蝕劑之部分之間僅存在極小的折射率 差)且並非所有檢測裝置均具有足夠敏感性來進行潛影 之有用測1:。因此,可纟曝光後供烤步驟(PEB)之後採取 測量,曝光後烘烤步驟(PEB)通常為對經曝光基板所進行 之第-步驟且其增加抗钮劑之經曝光部分與未經曝光部分 之間的對比度。在此階段’抗钱劑中之影像可被稱作半潛 像(semi-丨atent) ^亦有可能進行經顯影抗蝕劑影像之測量 156085.doc • 16 · 201207356 (此時’抗姓劑之經曝光部分或未經曝光部分已被移除), 或在圖案轉印步驟(諸如蝕刻)之後進行經顯影抗蝕劑影像 之測量。後者可能性限制重做有缺陷基板之可能性,但仍 可提供有用資訊。 圖3描繪可用於本發明之一實施例中的散射計。該散射 計包含寬頻帶(白光)輻射投影儀2,其將輻射投影至基板w 上。反射輻射傳遞至光譜儀偵測器4,其測量鏡面反射輻 射之光譜10(作為波長之函數的強度)。自此資料,如圖3之 底部處所示,可藉由處理單元pu來重新建構引起經偵測光 譜Ι(λ)之結構或剖面ζ(χ)。通常,藉由嚴密耦合波分析 (RCWA)及非線性回歸進行此重新建構。可藉由與模擬光 譜文件庫之比較進行此重新建構。一般而言,對於重新建 構,結構之通用形式係已知的,且根據對製造該結構所採 用之程序的認識來假定一些參數,從而僅留下該結構之少 々參數以自散射測量資料以判^。此散射計可經組態為 正入射散射計或斜入射散射計。 圖4中展示可用於本發明之一實施例的另一散射計。在 此元件中,藉由輻射源2發射之輻射係使用透鏡系統12予 以準直且透射通過干涉濾光器13及偏光器17、藉由部分反 射表面16反射且經由顯微鏡接物鏡15而聚焦至基板…上, 顯微鏡接物鏡15具有高數值孔徑(ΝΑ),較佳地為至少0.9 且更佳地為至少0.95。浸沒散射計可甚至具有數值孔徑超 過1之透鏡。反射輻射接著通過部分反射表面16而透射至 偵測器18中’以便偵測散射光譜n貞測器可位於處於透 156085.doc 17 201207356 鏡系統15之焦距的背部投影式光瞳平面丨丨中,然而,該光 瞳平面可代替地藉由辅助光學器件(圖中未繪示)而再成像 至該偵測器上。光曈平面為輻射之徑向位置界定入射角且 角位界定輻射之方位角所在的平面。偵測器較佳地為二維 偵測器’使得可測量基板目標30之二維角散射光譜。偵測 器18可為(例如)CCD或CMOS感測器陣列,且可使用為(例 如)每圖框40毫秒之積分時間。 舉例而言,通常使用參考光束以測量入射輻射之強度。 為此,當輻射光束入射於光束***器丨6上時,將該輻射光 束之部分透射通過該光束***器以作為朝向參考鏡面14之 參考光束。接著將參考光束投影至同一偵測器18之不同部 分上,或者,投影至不同债測器(圖中未綠示)上。 干涉濾光器13之集可用以選擇在(比如)4〇5奈米至79〇奈 米或甚至更低(諸如200奈米至3〇〇奈米)之範圍内的所關注 波長。干涉遽光器可為可調諧的’而非包含不同渡光器之 集。可使用光柵以代替干涉濾光器。在以下描述中,將使 用術語「光」以指代用於散射測量技術中之輻射。關於用 於散射測量或任何其他度量衡技術中之輻射的術語「光」 之使用不意欲蘊涵對在光譜之可見部分中之輻射的任何限 制。 偵測器18可測量散射光在單一波長(或窄波長範圍)下之 強度、分離地在多個波長下之強度,或在一波長範圍内所 積分之強度。此外,偵測器可分離地測量橫向磁偏光及橫 向電偏光之強度’及/或橫向磁偏光與橫向電偏光之間的 156085.doc •18· 201207356 相位差。 使用寬頻帶光源(亦即,具有寬光頻率或波長範圍且因 此具有寬顏色範圍之光源)係可能的,其給出大光展量 (etendue),從而允許多個波長之混合。在寬頻帶混合中之 为里具有(比如)Δλ之頻寬時’可有利的是提供分量之間的 至少2 Δλ(亦即’為該頻寬之兩倍)之間隔。若干輕射 「源」可為已使用光纖束予以***的延伸型輻射源之不同 部分。以此方式’可在多個波長下並行地測量角解析散射 光譜。可測量3-D光譜(波長及兩個不同角度),其相較於2_ D光譜含有更多資訊。此情形允許測量更多資訊,其增加 度量衡程序穩固性。ΕΡ1,628,164Α中更詳細地描述此情 形。
基板W上之目標3 0可為1-D光栅,其經印刷成使得在顯 影之後’條狀物(bar)係由固體抗蝕劑線形成。目標3〇可為 2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑 中之固體抗蝕劑柱狀物或導孔(孔)形成。或者,條狀物、 柱狀物或導孔可經蝕刻至基板中。此圖案對微影投影裝置 (特別疋投影系統PL)中之色像差敏感,且照明對稱性及此 等像差之存在將使其自身表現為經印刷光栅之變化。因 此,使用經印刷光柵之散射測量資料以重新建構該等光 栅《根據對印刷步驟及/或其他散射測量程序之認識,可 將1-D光柵之參數(諸如線寬及形狀)或2_D光栅之參數(諸如 柱狀物或導孔寬度或長度或形狀)輸入至藉由 執行之重新建構程序。 MPU 156085.doc 19 201207356 在結合諸如目標30之目標結構之模型化及其繞射屬性來 使用上文所描述之散射計中之一者的情況下,可以許多方 式來執行該結構之形狀及其他參數之測量。在藉由圖5表 示的第一類型之程序中,演算基於目標形狀(第一候選結 構)之第一估計的繞射圖案且比較該繞射圖案與經觀測繞 射圖案。接著,系統地變化模型之參數且在一系列反覆中 重新演算繞射’以產生新候選結構且因 在藉由圖6表示的第二類型之程序中,預先演算用=多 不同候選結構之繞射光譜以產生繞射光譜「文件庫」。接 著,比較自測量目標所觀測之繞射圖案與經演算光譜文件 庫以找到最佳擬合。可共同地使用兩種方法:可自文件庫 獲得粗略擬合,接著進行反覆程序,以找到最佳擬合。 更羊、,田地參看圖5 ,將概括地描述進行目標形狀及/或材 料屬性之測量的方式。對於此描述,將假定目標為1維(卜 D)結構。實務上,目標可為2維,絲相應地調適處理。 5〇2 使用諸如上文所描述之散射計的散射計來測量基 板上之貫際目標的繞射圖案。將此經測量繞射圖 諸如電腦之演瞀糸纪 ^ ^ -PTT + m统可為上文所提及之處理單 70 ,或其可為分離裝置。 之Γ目來建界立/模型配方」,其依據參數pi(pi、p2、p3等等) A目標結構之參數化模型。舉例而言,此等參 數可在m週期性結構中表參 度、特微夕眘# 〈月度特徵之兩度或深 輻射光戾中夕ο 外町半(在存在於散射測量 、疋波長下)之參數來表示目標材料及下伏 156085.doc
S •20- 201207356 層之屬性。下文將給出特定實例。重要地,雖然目標於構 可藉由描述其形狀及材料屬性之許多參數予以界定,作模 型配方將界定此等參數中之許多參數以具有固定值,而其 他參數將出於以下程序步驟之目的而為可變或「浮動參 數。下文進一步描述進行固定參數與浮動參數之間的選擇 的程序。此外’吾人將引入可容許參數變化而不為完全獨 立浮動參數的方式。出於描述圖5之目的,僅將可變參數 認為參數Pi504 :藉由設定浮動參數之初始值亦即,
Pl(G)、Ρ2⑼、Μ⑼等等)來估計模型目標形狀。將在特定預 定範圍(如在配方中所界定)内產生每一浮動參數。 506 :使用表示經估計形狀連同模型之不同器件之光學 屬性的參數,以(例如)使用嚴密光學繞射方法(諸如 或馬克士威(Maxwell)方程式之任何其他解算器)來演算散 射屬性。此情形給出經估計目標形狀之經估計或模型繞射 圖案。 ", 5〇8、51〇:接著’比較經測量繞射圖案與模型繞射圖 案’且使用該等圖案之類似性及差異以演算用於模型目標 形狀之「優質化函數」(merit functi〇n)。 不 其準確地表示 、p2(”、p3 ⑴等 512.假定優質化函數指示出模型需要在 貫際目標形狀之前予以改良,新參數pi⑴ =估計且反覆地回饋至步㈣中。重複步請至 空間中之此 其指示如下 為了輔助搜尋’步驟506中之演算可在灸數 特定區域中進一步產生優質化函數之偏導數, 156085.doc -21 - 201207356 敏感性:在該敏感性的情況下,增加或縮減一參數將會增 加或縮減該優質化函數。優質化函數之演算及導數之使用 在此項技術中通常係已知的,且在此處將不予以詳細地描 述。 514.當優質化函數指示出此反覆程序已以所要準碟度 收斂於一解時,將當前估計之參數報告為實際目標結構之 測量。 此反覆程序之計算時間很大程度上係藉由所使用之前向 繞射模型(亦即,自經估計目標結構使用嚴密光學繞射理 論的經估計模型繞射圖案之演算)判定。若需要更多參 數,則存在更多自由度。原則上,演算時間隨著自由度之 數目之冪而增加《可以各種形式來表達在5〇6處所演算之 經估計或模型繞射圖案。若以與在步驟51〇中所產生之經 測量圖案相同的形式來表達經演算圖案,則會簡化比較。 舉例而言,可容易地比較模型化光譜與藉由圖3之裝置測 量之光譜;可容易地比較模型化光曈圖案與藉由圖4之裝 置測量之光瞳圆案。 貫穿自圖5向前的此描述,在假定使用圖4之散射計的情 況下,將使用術語「繞射圖案」。熟習此項技術者可易於 使本教示適應於不同類型之散射計,或甚至適應於其他類 型之測量器具。 圖6說明一替代實例程序’其中預先演算用於不同經估 計目標形狀(候選結構)之複數個模型繞射圖案,且將該等 模型繞射圖案儲存於一文件庫中以與一實際測量進行比 156085.doc
S •22· 201207356 較基礎原理及術語與針對圖5之程序的原理及術語相 同。圖6之程序之步驟為: 6〇2 .產生該文件庫之程序開始。可針對每一類型之目 標、·•。構來產生-分離文件庫。可根據需要由測量裝置之使 用者產生該文件庫,或可由該裝置之供應者預產生該文件 庫。 建立模型配方」’其依據參數Pi(p丨、p2、p3等等) 之數目來界疋目標結構之參數化模型。考慮事項類似於反 覆程序之步驟503中的考慮事項。 604.舉例而言,藉由產生所有參數之隨機值來產生第 參數集P! 、P2(Q)、P3(())等等,每一參數處於其期望值 範圍内。 6〇6 .演算模型繞射圖案且將模型繞射圖案儲存於一文 件庫中’其表示自藉由參數表示之目標形狀所期望的繞射 圖案。 產生新形狀參數集p丨⑴、p2⑴、p3⑴等等。將步驟 606至608重複達數十次、數百次或甚至數千次直到包含 所有,’’里儲存模型化繞射圖案之文件庫被判斷為足夠地完整 為止。每一經儲存圖案表示多維參數空間中之一樣本點。 文件庫中之樣本應以足以使得將足夠接近地表示任何實際 繞射圖案之密度來填入樣本空間。 610.在產生文件庫之後(但可在產生文件庫之前),將 實際目標30置放於散射計中且測量其繞射圖案。 612 :比較經測量圖案與儲存於文件庫中之模型化圖案 156085.doc •23· 201207356 以找到最佳匹配圖案。可與文件庫中之每一樣本進行比 較,或可使用更系統的搜尋策略,以減少計算負擔。 614 .若找到匹配,則可將用以產生匹配文件庫圖案之 經估什目標形狀判定為近似物件結構。將對應於匹配樣本 之形狀參數輸出為經測量形狀參數。可直接對模型繞射信 號執打匹配程序,或可對為了快速評估而最佳化之代用模 型執行匹配程序。 616 :視情況’將最近匹配樣本用作起始點,且使用一 改進程序以獲得用於報告之最終參數。舉例而言,此改進 程序可包含極類似於圖5所示之反覆程序的反覆程序。 疋否需要改進步驟616為實施者之選擇事情。若極密集 地取樣文件庫,則可能無需反覆改進,此係因為將始終找 到良好匹配。另一方面,對於實務使用,此文件庫可能過 大。因此,一實務解決方案係將文件庫搜尋用於粗略參數 集,接著使用優質化函數以判定更準確的參數集以用所要 準確度報告目標基板之參數而進行一或多次反覆。在執行 額外反覆時,將經演算繞射圖案及關聯改進參數集作為新 輸入項而添加於文件庫中將為一選項。以此方式,可最初 使用一文件庫,該文件庫係基於相對小量計算努力,但其 使用改進步驟616之計算努力而建置成較大文件庫。無論 使用哪一方案,均亦可基於多個候選結構之匹配的良好性 而獲得經報告可變參數中之一或多者之值的進一步改進。 舉例而言,可藉由内插於兩個或兩個以上候選結構之參數 值之間來產生最終所報告之參數值(假定該等候選結構中 156085.doc
S •24- 201207356 之兩者或全部均具有高匹配記分)。 圖7說明極簡單形式之目標3〇,及界定其形狀之一些參 數。基板700(例如,矽晶圓)載運藉由許多平行條狀物形成 之繞射光柵,該等平行條狀物已藉由曝光及顯影抗蝕劑材 料層而形成《目標光柵無需包含凸起條狀物’該等條狀物 僅係作為一實例予以說明及提及。適當特徵包括已藉由微 影或藉由微影、接著進行蝕刻、沈積及其他程序步驟而形 成之直'立條狀物、接觸孔,等等。此處純粹地出於簡單性 起見而選擇條狀物。 特徵702表示組成光柵之結構中之一者的橫截面。層7㈣ 係在抗蝕劑下方,在一普遍實例中,層7〇4將簡單地為在 矽晶圓上之「原生」氧化物層,例如,其具有丨奈米至3奈 米之厚度。在一實際產品中,可在目標3〇下方存在具有不 同屬性之許多層。在使基板塗佈有抗蝕劑及曝光之前,已 以已知方式將抗反射BARC層7〇6塗佈於基板上以改良經印 刷圖案之品質。 特徵702之參數(該等參數待藉由諸如圖5或圖6所示之程 序測量)包括特徵高度H1、中高臨界尺寸(中cd*mcd)及 侧壁角度SWA。必要時,可界定其他參數。若將測量非對 稱性,則可針對左側壁及右側壁分離地界定SWA。可能將 任何其他特徵(比如頂部圓&、飾邊或冑決線邊緣粗=度 (Lme Edge R〇ughness,LER)之塗層梯形)添加至模型: 加準確度。 3 此等參數HI、MCD、 SWA將以不同方式有貢獻於當藉 156085.doc •25· 201207356 由散射測量來測量此目標30時將觀測之繞射圓案。將影響 繞射圖案之其他形狀參數為下伏層7〇6、7〇4之高度(厚 度),該等高度(厚度)分別被標註為Η2、Η3。除了幾何參 數以外,光學參數亦可包括於模型中。為了模型化目標且 因此容許演算模型化繞射圖案,在步驟5〇6及/或6〇6之演 算中使用此等參數之經估計值。當吾人考量層之數目、特 徵702之形狀參數且亦潛在地考量下伏特征及層之形狀參 數時,清楚的是,參數空間(其中將執行針對最佳擬合參 數集之搜尋)係高度地多維。目標光柵圖案自身可為二 維。模型化所需要之額外參數為所有不同材料之屬性,諸 如該等材料之折射率、消光係數,此等參數可經適當地界 定成使得該等參數可.被看作固定參數,或該等參數自身可 經受不確定性。可能需要根據入射輻射之波長及偏光進一 步細分該等參數。 至此所描述的圖5及圖6之程序為熟習此項技術者所知道 及使用。然而,在用於產生文件庫及反覆模型化之已知程 序中,不考量參數之間的相關關係》在樣本參數集之產生 中’允許每一浮動參數在容許最小-最大範圍内隨機地變 化。類似地’在圖5之反覆程序中,允許參數以所有種類 之組合而變化’只要每一參數擬合於一經預界定最小最 大範圍内既可。然而,在藉由實際微影程序生產之產品 中,在不同參數之間存在許多相關關係,使得兩個參數實 務上不會完全地獨立於彼此而變化。舉例而言,臨界尺寸 及側壁MCD相對於SWA趨向於共同地變化》 156085.doc -26·
S 201207356 在本申請案中,圖5及圖6之測量程序使用經修改處理以 產生樣本參數集》一修改係根據「現實」候選結構相對於 厂不現實」候選結構之定義來限定所考慮之參數集。有效 地’根據參數中之一參數之值來限定參數中之另一參數之 谷許範圍。稱後將在標題「現實取樣」(Realistic Sampiing) 下參看圖14至圖16來描述此修改,該修改為吾人之同在申 請中之專利申請案[…參考文獻Ρ·3663 〇〇〇 us]的主題。現 將參看圖8至圖13來描述將被吾人稱作「配方最佳化」 (Recipe 〇ptimizati〇n)之另一修改。可獨立地或共同地應 用此等修改。此等標題係出於描述簡易性而被使用且不意 欲限制本教示之範疇。 模型配方最佳化-背景 光學剖面度量衡使用模型擬合方法以自散射測量信號提 取目標結構之參數。典型擬合技術使用直接回歸(圖或以 文件庫為基礎之合成信號模型(圖6)。可存在若干浮動參 數,如上文所論述。此等浮動參數中之一些浮動參數可僅 内部地與㈣_,其他浮動參數為系統使用者想要經由 測量程序而判定之真正的所關注參數。必須針對2個態樣 來最佳化模型配方: 1. 準確度··藉由均方根誤差或謂犯測量。準確度可分解 成雜訊敏感性及偏誤(系統誤差)。 2. 通常具有三種目標之模型最佳化: 1. 獲得對所關注參數之實際變化的最佳測量回應。 2. 獲得所關注參數之測量對雜訊、校準誤差、模型近似 156085.doc -27· 201207356 及其他參數之變化的不敏感性。 3.達成擬合程序之預備或執行速率。 旦月1j先進技術方法係設置具有足夠浮動參數以描述待測 量的實際結構之所有可能變化的通用模型配方。對於操作 者其將接著將此等參數之子集固定於各別標稱值,以獲 得上述目標。 若在應用中發生的特定參數之典型變化具有對測量信號 之極小影響或無影響’則確實有利的是使該參數固定(特 別是對於第二目標及第三目標)。對於第二目才票,當使參 數固定時會減少匹配程序中之自由度,此情形通常改良擬 合程序之穩定性(條件數)及雜訊穩固性。對於第三目標, 當使參數固定時擬合程序之速率應增加。舉例而言,在直 接口歸中,通常使用南斯_牛頓(〇&1138_〜>^〇11,0;^)反覆方 法。用於GN步驟之時間隨著必須演算之導數的數目而線 性地增加,且因此與自由度成比例。在以文件庫為基礎之 匹配中,文件庫中之輸入項的數目隨著自由度之幂而按比 例調整’且因此’預備時間隨著自由度之冪而按比例調 整。 數學上’目前先進技術係判定經測量信號與模型化信號 之間的最佳擬合’其中模型化信號取決於參數Pi。可以方 程式(1)之形式來表達此最佳擬合: 丨丨z ··..· (1 其中Pfit為上文所提及之最佳擬合浮動參數集Pi,Smeas表示
156085.doc - 28 - S 201207356 經測量繞射信號,且S^JPeand)表示用於候選參數集p c a n d 之模型化繞射信號。此等信號可被看作分量為(例如)繞射 光瞳圖案之個別像素值的向量。因此,方程式(丨)中之範數 II·..丨丨表示經測量信號與每一模型化信號之間的「距離」或 誤差。進行擬合程序以尋找此誤差經最小化所針對之參數 集P。 根據目前先進技術,藉由使參數集中之一或多個參數h 固定以變得較接近於上文所提及之3個目標來最佳化模型 配方。在使參數固定時,其被固定所處之值被稱作其標稱 值。可能的是,此標稱值為微影程序所針對之值,或其可 簡單地出於此模型配方之目的而固定。數學上,最佳化配 方接著好像是: plH-KDll2 (以Pi=Pi_為條件(對於特定固定⑽ ⑺ 其中Pi, nom表示參數卩丨之標稱值。 配方最佳化通常為試誤事情,藉由配方最佳化,有經驗 之科學家或技術員試圖找到良好@定,浮動參數集。此項 「技術」係判定具有在不利影響與上文所提及之正面效應 之間的最佳平衡的該固定/浮動參數集。在各種情況下, 該最佳平衡仍為折衷且稍微令人不滿意。舉例而言,若該 等參數中之-者具有對經測量信號之小但可測量的影響, 且該影響之㈣(Signat叫與利注參數之影響高度㈣ 關,則會引起特定問題。在此情況下,使第—參數固定會 具有對2號目標之不利影響,此係因為該第一參數之實際 156085.doc -29- 201207356 變化現被錯决地解譯為所關注參數之明顯變化。在目前先 進技術中’改良準確度之唯—方式係、允許比實際上所關注 參數更多的參數浮動。 發明人已識別限制目前先進技術配方最佳化之成功的以 下因素: •操作者僅具有有限自由度來調整配方,此係因為每一參 數必須固定至標稱值或獨立地浮動。 -雖然可測量敏感性,但不會容易地判定RMSE,此係因 為不知道何種誤差要素為由參數之間的相關導致的系統 偏誤。因此,不知道使參數固定之影響。 _關於先前項目,不清楚如何以系統方式且在不花費過多 機器時間的情況下判定固定參數及浮動參數之最佳選 擇。 用以減少自由度之已知方式係藉由分離地測量該等參數 中之一些參數’且將該等參數前饋至配方中。此方式為有 效策略,但需要額外測量且因此使產出率降級。可將已知 策略與本文中所描述之新穎策略進行組合,以進一步增強 所關注參數之測量效能。 具有減少之自由度的模型配方最佳化 執行分別在圖5及圖6之程序中之步驟503及/或603處所 應用的模型配方最佳化,以便減少擬合程序中之自由度, 而無簡單地使更多模型參數固定之缺點。此外,提供—自 動化工具,該自動化工具將根據模型之參數及所關注參數 來设定或至少建議一模型配方。
156085.doc S 201207356 代替將特定參數m定至給定值及允許其他參數獨立於彼 此而浮動,新穎裝置在更通用之條件(包括約束)集下執行 最小化。本實施例使用—種形式之約束,該等約束使參數 之線性組合固定,但此情形僅僅為一實例。以數學形式: l -Lt)丨丨2 (以 A(Pcand_p_H 為條件) (3) 其中A為可經選擇以在較少折衷之情況下接近上文所提及 之目標之約束集的矩陣表達。具體言之,允許模型配方中 之此等約束會允許減少模型中之自由度而未必使參數固 定。 在展開該等約束之矩陣表達(在方程式(3)中A(U_) =〇)的情況下,如下界定一約束: Σ«>^-ρΓ)=° /=1 ••(4) 其中係數集(Xj形成矩陣A之一個列,且pjn〇m為參數之標稱 值。可界疋數個約束,每一約束係藉由矩陣A中之一列表 示》應注意,不使用參數之值來表達約束,但使用該等值 與標稱(ρ·ρ_)之偏差。每_約束界定α』為非零所針對的參 數之線性組合。可使用且通常亦將使用固定參數,如在方 程式(2)中。對於固定參數,p_pn〇m=〇。使參數pj固定為可 表示於方程式(3)中之更通用之約束的特殊情況,其中僅相 關係數(Xj為非零。 雖然固定參數明顯地將自由度之數目減少達一個,但方 程式(4)之更通用之約束亦可減少自由度。此係因為藉由約 156085.doc -31 - 201207356 束相關之兩個或兩個以上參數不再獨立但必須共同地變 化。因此’在擬合程序中’ ^以允許此等參數中僅僅一者 以正常方式浮動,且經由相依性關係而演算其他參數。作 為-實例’可藉由將-個參數移動至方程式⑷中之等號的 另-側而自-約束產生-相依性關係,此情形導致:
Pk=pr +Σ^Μ~ρΓ) ....(5) 因此,在圖5及圖6之方法中,在步驟5〇3或6〇3中所界定 之配方包括約束集’使得不固定之_或多個參數仍然不為 自由度,但可經由相依性關係而自其他參數予以演算。 另外,產生在下文被稱作「參數諮詢」化咖咖… advisor)之工具,以由於通用條件而自動地判定尺河沾誤 差。此工具幫助判定最佳組態.操作者無需明確地界定約 束(可藉由該工具基於程序模擬而找到該等約束)^然而, 在描述此工具之前,吾人呈現使用約束之原理及益處之— 些說明。 本發明允許軟體有更多自由度來針對速率及準確度進行 最佳化。在擬合期間條件之應用仍減少雜訊敏感性及自由 度。朝向更通用之條件的展開改良第一目標。吾人具有兩 個實例來展示所提議方法之優質化,接著展示一些實務結 果。 第一實例線性約束 用於說明此等更通用之條件之原理及益處的第一實例為 -32- 156085.doc
S 201207356 模型含有兩個相關參數的情形。圖8展示—實例,在該實 例中目標30之結構包含基板800,基板8〇〇具有形成於其上 之第一層802及第二層804。第一層8〇2具有厚度或高度山 及折射率η,。第二層8G4具有高度从折射率η”該結構之 另一屬性為該兩個層之總和高度(被標註為dt〇t)。可使兩個 參數之總和U為-參數,且可藉由具有良好確定性之 任何適度準確模型來判定該總和。然而,為了此實例起 見,該等折射率之值極接近。因此,應理解,任何光學測 量技術均將具有個別地解析參數心及七之困難。 現參看圖9(a),吾人看出,每—參數di、心分別被界限 至各別區間[叫、[d2]«>在僅僅將此等參數標料二維參數 二間的If况下,看出,結果為矩形9〇〇必須位於經測量結 構之實際尺寸中。在此實例中,偏絲圍對稱地以該等範 圍之各別參數之標稱值為中心。被標註為Act之圓點表示 確切地處於標稱值上的樣本之參數空間中之部位。藉由被 ‘ D主為Nom之空心圓點來表示標稱值,空心圓點在圖9(a) 中被實心圓點隱藏。歸因於其相關,經測量信號中之資訊 不足以判定具有低於由使用者所供應之參數範圍之不確定 性的兩個參數中之每一者。 在此内容背景中,有用的是考慮假想機率密度函數 (Probability Density Functi〇n,pDF),其表示藉由擬合程序 找到參數以位於參數空間之特定部分中的可能性。在此實 例中,橢圓形902意欲表示95。/〇機率之輪廓,其中參數旬及 1兩者均浮動。橢圓形與矩形之下降對角線嚴密地對準。 156085.doc •33- 201207356 可自如下事實理解此情形:自其他演算熟知總和高度 山。t-di+d2。清楚地,機率函數延伸超出已知參數範圍,且 模型具有判定經測量樣本位於該對角線上之何處之困難。 實際上,此情形指示出用於此兩個參數之許多組合的模型 繞射圖案係如此類似以使得在經測量光曈圖案内之雜訊挫 敗使經測量圖案擬合於d〗或旬之特定值的任何試圖。 圖9(b)說明及比較當總和高度山^不處於其標稱值時目前 先進技術策略(使參數固定)之效能與如在本申請案中所提 議之新穎方法(線性約束)之效能。實際目標結構位於參數 空間中之實心圓點Act處。橢圓形902再次表示95% PDF, 其中兩個參數七及士均浮動。若吾人採用習知方法且將… 固定於其標稱值,則搜尋面積限於垂直點線。七固定之機 率密度函數接著改變至較小點線橢圓形9〇4。 上升對角線虛點線表示線性約束,根據該線性約束, 0^(^)=0,其中〜為使兩個高度參數相關之線性約束的 係數(且其中旬及旬代表與各別參數之標稱值的偏差,而非 其絕對值)。藉由虛點線橢圓形906表示之所得pdf為三個 橢圓形中之最小者,此情形指示出在測量中未以較少雜訊 找到此等參數。PDF 906之重心亦等於藉由dl&d2之範圍 界限的原始PDF 902之重心》 自圖9(b)(熟習此項技術者亦將看到最後圖),可看出, 線性約束相較於參數固定方法(dl-dl—=0)具有較佳雜訊位 準、較小偏移且允許較大實際測量範圍。 第二實例線性約束 156085.doc
S •34· 201207356 圖1 〇說明用於改良型模型配方之線性約束之應用的第二 實例。在此情況下,涉及兩個通用參數Pl及Ρ2。水平軸線 及垂直轴線對應於此等參數之偏差,而非該等參數之絕對 值。在此實例中’不確定性(雜訊)充分地低於容許參數範 圍’使得在圖解内不會看到矩形邊界框。此處,用於配方 最佳化之有用資訊片段在於:吾人僅關注測量該等參數中 之一者(Pi)。如前所述’ 口1與?2相關,使得使兩個參數浮 動將會給出大的不確定性,而使P2固定將會在0〗中引入不 必要地大的誤差。發明人已認識到,在許多此等情形中, 一約束(例如,線性約束910)仍係可能的,此約束將減少模 型中之自由度且同時改良準確度。若表示優質化函數之二 階偏導數的模型之Hessian橫越參數空間係均一的,則此約 束對於參數空間中之所有經取樣點將有效,且可因此經強 加為配方定義之部分β此情形將通常在以窄容許參數範圍 來模型化緊密受控生產程序時適用,且可在模型最佳化程 序中予以測試。在下文所描述之參數諮詢模組中,可藉由 檢測經演算導數來測試此假定之適當性。 在圖解中,不知道且未繪示參數以及卩2之實際值。假設 輪廓912基於經觀測繞射圖案而表示模型之「成本函數」。 在應用無約束之方程式(1)的情況下,擬合程序將達到點 914。應用線性約束力ρι&ρ2,以沿著具有特定斜率且穿過 標稱位置Nom之線910而定位。因此,代替位於成本函數 輪廓之中心處,參數之經報告(經測量)值位於點916處,點 916處於約束線上之點(其中點916正切於成本函數輪廓(比 156085.doc -35- !; 201207356 較平行線910,))。藉由將點916而非點914報告為經測量參 數’所報告的P2之值可能會較遠離於或可能不會較遠離於 實際值。然而,參數Μ不為所關注的,且結果,可極大地 增強所關注的報告參數p丨之確定性。 對於可應用的此類型之每一約束,將繞射模型演算中之 自由度的數目減少達一個。在上文之第一實例中,約束 (山+旬吲⑻或dtet-ch-deO)可被看作Γ自然」約束其係由 具有比實際上存在之自由度更多之可變參數的模型導致。 約束〇td(di-d2) = 〇以及在第二實例中對參數pi及h之約束指 不實務上原來是相關之參數之間的相關關係、,而此相關並 非必然的、明確的,在涵義上亦非「實體的」(physical。 下文進一步所描述之新穎技術及工具能夠識別此等額外約 束’此識別獨立於對所謂的「自然」約束之明確認識。新 穎技術及工具亦能夠識別涉及三個或三個以上參數之額外 約束,其中已知自然約束趨向於一次性僅使一參數對相 關。 設計選擇事情是,將所t胃的自然約束看作模型之部分或 是模型配方之部分。無論以哪-方式來分類該等自然約 束 在可感測實施中此等自然約束之包括均將 不會被看作 減少自由度之數目,此係因為模型之 該自由度。再者,自然約束被給定而 於或可能不包括於最佳化模型配方中 實例結果 設計者從未首先提供 非被評估為可能包括 之約束。 圖11說明複雜目標結構30,其為了實驗起 見而在聚焦曝 156085.doc • 36-
S 201207356 光矩陣(FEM)程序中形成於遍及300毫米基板之75個分離場 中。如所熟知’非隨機FEM程序經設計以橫越諸如矽晶圓 之基板之場而以系統且已知之方式來變化微影步驟之參 數。在此目標結構中,層人至〇以一堆疊而形成於基板96〇 上。頂部層G係使用微影裝置而圖案化,且具有類似於圖7 中之特徵702的形狀參數η一G、MCD_G&SWA_G。此三個 形狀參數被界定為所關注參數。每一下伏層A至ρ具有其自 己的南度(H_A等等)及材料屬性。出於實驗目的,知道所 有高度之標稱值,但僅層A固定。諸如折射率之材料屬性 亦固定。此情形留下10個浮動參數及1〇個自由度,其為目 前先進技術方法之自由度的異乎尋常地高的數目。需要許 多反覆以達到收斂結果。通常’認為3次至7次反覆對於商 用度量衡工具係可接受的。在此十個浮動參數之情況下, 需要每場介於6次與39次之間的反覆,平均每場超過13次 反覆。 在使用參數諮詢工具(下文所描述)的情況下,吾人判定 具有三個約束之模型配方。在此實例中,該三個約束將 H—D、H_B及Η一E中之每一者約束至其他浮動參數之線性 組合。在剛才所提及之3約束配方的情況下,經測量結構 之重新建構需要較少反覆(平均為7.52次),且橫越fem晶 圓所需要之反覆的數目更一致得多(僅在6次至1〇次之範圍 内)。所關注參數(MCD一G、SWA_G& H_G)侧壁角度及抗 钱劑高度)之經測量(經重新建構)值極類似於原始配方,其 中所有參數均浮動。吾人推斷出,此模型為對原始配方之 156085.doc •37· 201207356 改良。 使用參數諮詢工具之配方最佳化程序 圖12A說明使用上文所描述之技術及原理來達到最佳化 模型配方的程序。可藉由諸如處理單元PU(圖3或圖4)之電 腦之適當程式設計來實質上自動化該程序。該程序之步驟 如下。 在980處,儲存具有形狀及材料屬性之所有參數的目標 結構之完全模型’且亦儲存每一參數之標稱值及容許偏差 範圍。在步驟982中,藉由取樣整個參數空間且演算模型 化繞射k號來產生資料集984。舉例而言,可遍及整個多 維參數範圍而應用「拉丁超立方體取樣」(Latin hyper cube sampling)。經演算繞射信號可包含藉由像素陣列表 示之光曈圖案。除了界定光瞳圖案中之每一像素的強度以 外,亦演算每一像素強度相對於各種參數之偏導數以有助 於模型最佳化程序。 視情況,基於參數之間的已知相互相關關係,參考規則 庫(rule base)985來執行資料產生步驟984,該規則庫界定 用於現實取樣之特定限^。下文將參看圖15及圖i 6來更詳 細地描述此技術,該技術為吾人之同在申請中之申請 案·..(參考文獻P-3663.000_us)的主題。可藉由此技術極大 地減少資料集984中之樣本的數目,且因此減少所需要之 计算負擔及儲存空間。 為料集984形成藉由模組986使用之文件庫,模組形 成被稱作參數諮詢」之工具。模組986判定對光子雜訊 156085.doc
S •38· 201207356 (在散射計成像中)之參數的效應,及參數之間的相關。藉 由使用者輸入988來規定特定所關注參數(p〇I)❶參數諮詢 模組986判定是否可使模型中之參數固定,或是否可引入 參數之間的線性關係(或其他通用約束),而不負面地影響 所關注參數。下文參看圖12B來給出參數諮詢模組之更多 描述。 輸出「最佳」(推薦)約束及固定集99〇。在992處,參考 藉由參數諮詢模組986識別之約束及固定來調適模型,且 輸出最佳化模型配方994。可完全自動地執行步驟992,或 其可容許使用者干預以確認是否應該應用藉由模組986識 別之固定及/或通用約束中之每一者。在一特定實施例 中自動地應用約束,而在使參數固定之前需要使用者干 預。 參數諮詢實施 圖12B更詳細地說明更深入地考慮參數諮詢模組986之工 作,應注意’良好模型配方滿足以下要求: -使用模型配方而自經測量繞射信號所重新建構之參數 係準確的; -針對重新建構程序之演算係快速的;及 -重新建構係穩固的·無故障或「非實體」(不現實)社 果。 具有過多自由度會導致簡單地歸因於雜訊的重新建構程 序之高可變性。此分量被稱作3σ(3-西格瑪)誤差,其中表 示標準偏差。具有過多自由度亦會導致較高演算時間及用 156085.doc •39- 201207356 於經預演算光瞳圖案之任何文件庫的較大儲存。使用過少 浮動參數會導致模型與實物之間的大誤差。此後者誤差為 靜態的非雜訊相關偏誤。均方根誤差(RMSE)量化偏誤與 雜訊之組合誤差。因此,尋找對應於低RMSE之配方為用 以找到在偏誤與雜訊敏感性之間平衡的模型配方的良好方 式。 在留意此情形的情況下,模組986之基本原理係將圖5 及/或圖6之重新建構程序模擬達許多次,其嘗試不同候選 模型配方及不同樣本,比較所得RMSE值以自該等候選模 型配方當中識別最佳模型配方◎具體言之,執行程序1〇〇〇 以產生候選約束,確切而言,產生候選約束集。在此内容 背景中之「約束」包括參數之固定及更通用之約束(諸如 上文所論述之線性約束)兩者。每一候選約束集以不同方 式修改模型980,以減少自由度。 必要時’可完全隨機地產生候選約束。視情況,如藉由 虛線箭頭所示,可藉由資料集984中之資訊(特別是(例如) 每參數的繞射信號像素之偏導數)來導引此程序。每一候 選約束(出於此目的而包括候選固定)集形成具有減少之自 由度的分離候選模型配方,且在1002處指示此等候選模型 配方之集。在1004處,選擇來自資料集984之數個樣本。 接著,將模擬重新建構程序1006執行達許多次。此模擬重 新建構程序為與上文參看圆5及/或圖6所描述之程序相同 的程序,但使用來自資料集984之樣本的模型繞射信號, 以代替藉由散射計中之實際目標結構之照明偵測的信號。 •40· 156085.doc
201207356 =外針對每—樣本來執行模擬重新建構程序,其中候選 挨型配方1〇〇2中之每一者以模型98〇之全部自由度代 型 980。 許多重新建構程序1〇〇6之輸出有效地為模擬測量集 〇8其表不使用每一特定候選模型配方之散射測量及重 新建構程序如何將已報告每一樣本之測量。在使用所關注 參數P〇kf訊的情況下’程序咖進行誤差RMSE之比較 以評估各種候選模型配方之效能。自此比較,在99〇處輸 出「最佳」約束及固定集。可在步驟992中使用此最佳集 (其當然可包括替代最佳集(若首選)),以界定供實際目標 結構30之實際測量中使用的最佳化模型配方994。對於^ 學實施’參數被表示為^…小^’其“為浮動參 數之數目。若經重新建構值可被表示為&(確切值被表示 為β),則待應用準則為「期望RMSE」,其被界定為: ....⑹ 理論上,可使用資料集984作為參考集合來演算期望 RMSE,因此無需實際目標結構之測量。基於散射計成像 雜訊之適當機率密集函數來演算期望值.尺阽沾為雜訊與 偏誤之平方總和: RMSE = ^/bias2 + sigma2 ....(7) 在一實施例中’參數諮詢演算法採取候選模型配方,且 156085.doc 41 201207356 針對假想光柵之樣本集來評估該或該等所關注參數中之期 望RMSE,該等假想光柵係隨機地選自資料集。用以量 化候選模型配方之準破度的準則可基於尺纖遍及所關注 參數是優於或是劣於另一候選配方。舉例而言可能應用 一準則以查看值在「期望RMSE」下對於樣本集中之樣本 的至少75%是否較低(即使對於25%較高)。 正如圖5及圖6說明用於使最佳參數集擬合於經觀測繞射 圖案之替代搜尋策略,因此,針對最佳化模型配方之搜尋 可以文件庫為基礎、反覆的(以回歸為基礎),或此兩者之 混合。出於此搜尋之目的,候選模型配方集1〇〇2構成「文 件庫」。一旦已如圖12B所說明而執行「粗略」模型配方最 佳化(始於第一候選模型配方集1〇〇2),隨即可接著藉由再 次重複該程序但始於在粗略程序中被識別為「最佳」之候 選模型配方中的一或多者而執行「精細」配方最佳化。精 細配方最佳化可與剛才所描述之粗略程序一樣係以文件庫 為基礎。或者,若可在所關注參數之約束與RSME值之間 建立適當數學連接,則精細配方最佳化可甚至係以回歸為 基礎。 參數諮詢模組986不能考慮到新參數,其僅可消除或限 定現存參數。因此,重要的是以具有(多於)足夠參數之模 型而開始。舉例而言,參看圖13(3),吾人看出,模型化一 模型中之光栅的最簡單方式為單一梯形。然而,此情形將 僅終究為對特徵之實際實體形狀的近似。對於一些應用, 使用此簡單近似(a)將會導致不準確的經重新建構參數或甚 麵 5d〇C -42-
S 201207356 至非實體結果。在該等情況下,可使用更準確的近似,例 如’用如在(b)處所示之三個梯形。 減少自由度之數目(而非同樣地減少參數之數目)會減少 演算時間且減少雜訊敏感性。在一參數對之間應用約束及 關聯相依性會將自由度減少達一個,但參數之數目保持相 同。同時’模型980之設計者可最初在參數及自由度之數 目方面相對「慷慨」(gener〇us),而參數諮詢模組將指示 可在何處應用約束以減少所應用模型配方994中之自由 度。應瞭解,配方最佳化程序自身(圖12A、圖12B)涉及許 多額外自由度及多維度,然而,配方最佳化程序可被執行 僅一次’而在經測量目標結構之重新建構中實際地應用最 佳化配方的重新建構程序可被執行許多許多次。若最佳化 程序之結果為相較於已知配方具有較少自由度之有效率模 型配方,則益處將快速地勝過額外複雜化。在許多商用應 用中,將重要的是能夠每幾秒重複測量(即使設置時間長 得多)。 總之,可將參數諮詢模組986視為實現散射測量重新建 構程序之自由度之數目之自動或半自動減少的工具。特別 藉由強加或建議可將特定參數固定至特定值或可以特定方 式使特疋參數取決於另一參數或參數之組合來減少自由 度。許多不同形式之演算法係可能的,且上文所闡明之演 算法僅為一實例。為了獲得自由度之最低可能數目,在此 實例中,模組986使用以下觀念·· •集中於所關注參數(ΡΟΪ) 156085.doc -43· 201207356 -集中於所關注範圍 〇根據配方中之範圍 〇根據對應用程序之認識 下文進一步解釋此等觀念: 集中於所關注參數(POI):更多參數通常意謂模塑與實 物之間的較佳匹配。然而,未必真實的是,所有此等參數 均為操作者所關注《操作者可能(比如)首選使參數p5被準 確地測量(重新建構),且可能不關心參數p3是否被準確地 知道。若僅對於所關注參數而需要低RMSE,則很可能的 是’相較於在所有參數均需要具有低RMSE值之情況下’ 可進一步減少自由度之數目。 POI亦可被界定為參數之組合。舉例而言,在圖13(b) 中’總尚度可為被界定為三個梯形之高度之總和的p〇I。
集中於所關注範圍。每一配方含有每一參數之範圍規 格。模組986僅針對處於此等範圍内之該等設定來演算p〇I 之期望RMSE。範圍愈小,則可施加之自由度愈多,而不 使精確度降級。 一另外改良係基於對特定參數實務上如何相互相關之認 識。下文詳細地描述已經提及且被稱作「現實取樣」之此 態樣。 如上文所述’此處所識別及應用之約束不僅僅為可能被 看作「自然」約束之約束,該等「自然」約束為模型之定 義所固有。舉例而言’雖然模型可將兩個層之堆疊的總高 度識別為與兩個層之個別高度分離的參數,但此三個參數 156085.doc
S 44· 201207356 將僅終究表示模型中之兩個自由度。在該情況下,不存在 識別本文中所呈現之形式之額外約束的程序。舉例而言, 在圖9之實例中,可清楚地看出藉由上升對角線表示之 約束係附加於(在某種意義上,正交於)藉由機率分佈函數 902之下降〜對角線定向表示&「自然」約束。此等自然約 束之另—實例將為兩個特徵之高度之間的相等性,其中在 相同:料層及程序步驟中製造該等特徵。此等自然約束之 另一實例將為兩個特徵之折射率之間的相等性,該等特徵 係由相同材料製成(假定該材料展示「正常」行為卜 參數諮詢之任何新實施均很可能很大程度上基於用於不 同模型之微影程序的模擬。此方法假定模型足夠準確以描 述實物,但此假定不能用經產生資料予以驗證。因此,隨 著資料變得可用’其可用於模型之檢核。供此檢核程序中 使用之實例資訊源可包括: •與參考度量衡工具之相關 _使用擬合測量(通常為FEM測量)之留數 -如藉由工具經由重新建構所建議之參數減少的驗證 現實取樣 關於上文所提及的圖6之測量程序之第二修改,吾人引 入「現實」候選結構相對於「不現實」候選結構之概念。 其被描述於吾人之同在申請中之專利申請案…(參考文獻P- 3663』00-Us)中,該申請案之内容以引用的方式併入本文 中。 圖W針對圖7所示之簡單目標結構以示意性方式展示臨 156085.doc -45- 201207356 界尺寸CD與SWA之間的相互相關關係。用於特徵7〇2之三 個不同形狀被展示於(a)、(13)及(£;)處。在(句中,參數hi、 MCD及SWA(圖14中未標註)均處於低值。在(b)中,相同的 二個參數均處於高值。在(幻中,參數]9;高,但mcd&swa 均低。在藉由獨立於彼此而使參數隨機化來產生經估計參 數集的情況下,所有三個實例(a)、(15)及(c)均相等地很可 能會發生。然而,在實際目標3〇中,曝光及蝕刻之程序係 使得展示於(c)處之情形簡單地不現實。其不能發生或其將 以極低機率而發生。當此等情形在圖6或圖12之文件庫内 被包括為經演算樣本或在圖5之反覆程序内被容許為估計 時,針對匹配所考慮之樣本的許多估計將對應於不能顯現 於或不可能顯現於所測量之微影程序中的形狀。 在目標形狀與繞射圖案之間不存在一對一關係,且因 此’可以不同形狀產生相同光瞳圖案或其他繞射圖案。允 許在文件庫中表示此等「不現實」形狀可能會在提供散射 測量工具之測量結果的匹配程序中導致錯誤結果。此外, 文件庫中之額外候選者意謂額外搜尋時間及較大文件庫大 小〇 為了改良在步驟514或614中及/或在模型配方最佳化中 所報告之測量的品質及可靠性’發明人有效地提議在反覆 模型化期間經估計參數之產生(步驟5〇4/5丨2)中及/或文件 庫產生(步驟604/608、982)中應用濾光器。考慮到微影程 序之已知參數(例如,聚焦範圍、劑量範圍及照明設定), 產生限定集合。可(例如)基於模型之參數之線性組合的此 156085.doc -46-
S 201207356 等限定迫使在與吾人之微影程序之期望結果匹配的「所關 注面積」(area of interest)中產生樣本。 圖15說明可如何使用表示特徵702之梯形橫截面的三個 參數HI、MCD與SWA之間的相關關係以限定針對繞射圖 案之演算所考慮的參數之組合。在(a)處之曲線圖展示在一 實例目標中可藉由側壁角度SWA(垂直軸線)及臨界尺寸 MCD(水平軸線)採取之值範圍。在沙)處之曲線圖展示抗蝕 劑高度H1(垂直軸線)對照相同SWA範圍(水平轴線)^因 此,曲線圖(a)上之部位表示SWA、MCD、參數值之特定 組合。可注意,SWA可實務上超過90度,其中形狀為凹入 形。在此情況下,梯形特徵7〇2將顯現為倒轉。 將具有更多複雜性之特徵的形狀模型化為(例如)梯形堆 疊亦為一選項,在該堆疊中,蝕刻程序可藉由底部梯形及 頂部梯形得到更複雜的剖面,底部梯形及頂部梯形各自具 有其自己的高度、寬度及SWA。清楚地,將該特徵細分成 特徵堆疊(以該等特徵自己的參數)會增加問題之多維性 質。因此’利用此等參數之間的相互相關關係以按本文中 所=述之方式來限定現實參㈣或可能參㈣為用於控制 計算需求之有吸引力的工具,此係因為結構及程序變得更 複雜且因此其模型變得更複雜。在特徵堆疊之情況下,堆 疊之總高度為具有與堆疊内之組件之高度之已知相互相關 關係的參數。組件之高度不會合計達到堆疊之總高度的候 =射被認為不現實。組件可為分離材料,或簡單地為 、里化單一複雜特徵之分離組件。 156085.doc •47· 201207356 雖然考慮堆疊式特徵或模型化為堆疊之特徵,但可提及 到,此處所描述之技術相等地適用於「無特徵」結構(諸 如多層薄膜結構)之測量。在此等情況下,可能會產生爭 議的是,經觀測且模型化之光瞳圖案或光譜是否嚴格地為 「繞射圖案」’此係因為其僅含有零階分量。在顯著大的 中心至邊緣差異存在於層中所在的樣本中觀測到類環圖 案,該差異係由在結構之層之間的界面處所反射的射線之 間的干涉導致。然而,所描述之散射計經完美地調適以觀 測此等結構,且用於藉由與模型繞射圖案之比較來測量該 等結構之參數(正如針對光柵所描述)。為了避免疑惑,本 文中使用表達「繞射圖案」&「模型化繞射圖案」以涵蓋 此等零階圖案而無進一步區別β 每-曲線圖上之小正方形表示可以已知重新建構程序產 生之隨機化樣本。較大正方形表示在實際目標中所觀測的 SWA、MCD參數之組合。可觀測至,】,實際樣本分佈於受限 定面積中,該面積具有特性形狀,其係程序相依的。在本 文中所揭示之經修改程序步驟中,藉由曲線R1所表示之第 一規則來限定在步驟504/5 12、6〇4/608及982中所產生之參 數集(在參數空間中之樣本)。自作為用於匹配於經觀測繞 射圖案之候選者的考慮事項排除SWA、MCD值位於此曲線 外之參數集。在圖解中將所排除樣本中之兩者標註為χ。 類似地’在曲線圖(b)中’不同參數分佈為可在實際目標樣 本中觀測的對H1、SWA之特性。曲線们所表示之規則排 除落在曲線外之樣本(諸如X)。 I56085.doc
S •48· 201207356 藉由應用規則RJ、R2,肢ώ au & ( 將自作為重新建構經觀測目標 形狀之程序_之候撰去沾本疮古 、選者的考慮事項排除不現實參數集(諸 如對應於圖14(c)之夫激鱼、 1 ;麥數集)。可以不同方式來應用該等限 定或規則以達成相/5]料·旛。7 & 咬坎相U效應。可如前所述而隨機地產生經估 十參數集接著對照②等規則來測試該等經估計參數 集。捨棄違反該等規則中之一或多者的集,且不使用該等 集以演算用於匹配或用於儲存於文件庫中之樣本圖案。或 者,可藉由經建置有限定之經修改隨機化程序來產生瘦估 計參數集。該等規則之表達為-選擇事情。可界定每一對 中之參數之間的簡單數學關係(線性或多項式方程式)。或 者’可將參數空間(亦即’曲線圖⑷、(b)等等之面積)劃分 成區塊陣列及旗標陣列’該旗標陣列經儲存以指示特定區 塊是否滿足規則。若陣列為三維,則可在同一陣列中實施 兩個規則R1及R2。 清楚地,界定適當規則集會需要對參數空間中之現實樣 本,特定分佈的某種認識。可藉由測量許多樣本而憑經驗 獲得此認識。或者或此外’可藉由模擬曝光或其他微影程 序步驟來導出此認識,在該曝光或其他微影程序步驟中表 示引起特定分佈之相互相關關係。因此,藉由大正方形 (其說明該分佈)表示之樣本可被認為實際經測量樣本,或 被s忍為藉由模型化微影程序模擬之樣本。 SWA、H1與MCD之間的相互相關關係決不為可經識別 且用以在樣本參數集之產生中強加限定的僅有相互相關關 係’例如’不同沈積層之厚度之間的關係。參數之間的相 156085.doc • 49- 201207356 關關係可存在或不取決於程序。此情形之一實例為在非隨 機FEM(聚焦-曝光矩陣)程序中之發生情況,其將為熟習此 項技術者所知且特別用於微影程序之校準及特性化,而 用於「實際」基板上之元件的產生。 圖16⑷展示當使用特定非隨機fem(聚焦_曝光矩陣)程 序來曝光光栅時亦在抗反射(BARC)層706之厚度H2(垂直 轴線)與臨界尺寸MCD(水平轴線)之間的相關關係。可應用 曲線R3所表示之規則以排除未處於藉由實際 佔‘ 的曲線圖之區域中的樣本x。類似地,參看圖10(b)= 用曲線R4所表示之規則以排除B ARC高度⑽)與側壁角产 SWA之不現實組合。展示相互相關關係之參數的另一實例 可能為模型化結構内之特定材料的不同折射率分量。在許 多情況下,形成層或特徵7〇2之材料的折射率將被看作橫 越整個目標而恆定。然而,一些材料可經受基板之不同部 分之間或不同基板之間的折射率及/或消光係數之變化, 且因此,折射率變為待在圖5或圖6之程序中估計之參財 的一者。在所纣論材料係雙折射之情況下,「尋常射線」 及「異常射線」之折射率及消光係數可為在該材料中之每 -點處的四個分離參數。通常用作微影程序中之「硬式光 罩」的材料為非晶碳’其原來是具有極複雜的光學屬性。 此等光學屬性(包括雙折射率)可橫越基板而變化,且因此 為不固定之浮動參數。在非晶碳之實例中·吾人需要針對 每一照明波長而獨立地模型化此四個參數,此係因為該等 參數之間的關係至此為止未被完全地界定。舉例而言,此 156085.doc
S -50· 201207356 情形與非晶矽形成對比。出於此原因,併有此等層之結構 的測量在使用已知技術的情況下極具挑戰性。對於每一浮 動參數,原則上,使文件庫或反覆搜尋問題之大小乘以另 一尺寸。 發明人已認識到’在此等參數之間且(對於給定參數)在 不同波長之間存在至少一些已知相關關係。根據本發明, 可利用此等相關關係以實質上減少計算負擔。—已知相關 關係係在散射計中所使用之不同波長的折射率η中。已知 的是,以下相關關係在非晶碳中成立: η@425奈米 <η@450奈米 <·..<η@7〇〇奈米 .(g) 在尋常分量及異常分量兩者中,相同情形適用於消光係 數k。因此,本發明之一實施例在樣本之產生中應用一或 多個限定(規則),使得不產生違反以上相關關係之樣本或 不以與其他樣本相同之權重來考慮該等樣本。 再者,在非晶碳中,在每波長之尋常折射率與異常折射 率之間存在關係,使得在給定波長下之尋常折射率通常為 異常折射率的特定因數倍(因數取決於波長)。此等關係係 程序相依的。再者,在n分量與k分量之間存在關係。此等 關係係藉由Kramers_Kr〇nig關係給出。雖然d k之值係程 序相依的,但該等值之Kramers_Kr〇nig關係不係程序相依 此係因為此等關係之起源在於··似兩者分別為相同 里值之實數部分及虛數部分(與電容率直接相關”然而, 不能在所有情況下準確地判定此等關係,此係因為k之判 定需要對在自0至無窮大之所有頻率下之n的認識。在任何 156085.doc -51 - 201207356 情況下’只要可使用參數之間的相互相關關係(無論其可 如何廣泛)將某限定或似然值應用於樣本,新穎技術之使 用便極大地減少重新建構經觀測結構所需要之計算及儲存 的規模。藉由以與圖9及圖10所說明之方式相同的方式應 用適當限定或規則,極大地減少待認為用於與經觀測繞射 圖案匹配之候選者之組合(參數集)的數目,及/或排除不現 實參數集》 總之,上文參看圖3及圖4之散射計所描述的處理單元 PU可經組態以使用諸如參看圖5至圖16所描述之方法的方 法來重新建構物件之近似結構。 使用諸如線性約束之通用約束的最佳化配方可減少模型 中之自由度的數目,而不犧牲準確度。如上文所描述,參 數空間之「現實取樣」亦可用於程序中之一或多個點處。 此等技術兩者均使此度量衡程序更準確,而無論其是用於 建置文件庫(圖6)或是作為反覆匹配程序之部分(圖5)。針 對改良微影程序之設置所獲得的通告將更可靠,且可近即 時地可用(即使對於複雜結構)。在具有現實取樣或無現實 取樣之情況下,減少自由度之數目將會被期望減少使文件 庫達成特疋測量標準所需要之輸入項的最小數目,因此使 文件庫較小且使計算較快。此外,調適現存硬體及軟體以 實施經修改程序係相對容易的。可在一分離硬體或軟體模 組中實施該等規則或濾光器。 在吾人之同在申請中之專利申請案(參考文獻 3663.000-US)中描述用於實施現實取樣選項之另外實施例 156085.doc
S -52- 201207356 及考慮事項,㈣請案之内容以引用的方式併入本文中。 同一處理單元PU可包括圖5及/或圖6及圖12之整個程 序’或可將不同程序及子程序指派至不同處理單元⑽中 未繪示)。可藉由含有機器可讀指令之—或多個序列的電 腦程式產品來操作處理單元PU,該等機器可讀指令用㈣ 定基板上之物件之近似結構,該等指令經調適以使1>口中之 -或多個處理器執行本文中所描述之方法。在此配置中, 在處理單元PU上執行之軟體模組可自散射計之其他組件接 收繞射信號及電磁散射屬性。 可供應含有以現實取樣來實施程序之指+的電腦程式產 品,以用於修改現存硬體系統之操作。另一產品可簡單地 包括適於在給定微影程序中測量特定類型之目標結構的最 佳化配方。另一產品可含有參數集及該等參數集之關聯模 型化繞射圖案、光譜或其類似者之經儲存文件庫,以供參 數諮詢程序使用。 儘管在本文中可特定地參考檢測方法及裝置在IC製造中 之使用,但應理解,本文中所描述之檢測方法及裝置可具 有其他應用,諸如製造整合光學系統、用於磁疇記憶體之 導引及偵測圖案、平板顯示器、光罩、液晶顯示器 (LCD)、薄膜磁頭,等等。熟習此項技術者應瞭解,在此 等替代應用之内容背景中,可認為本文中對術語「晶圓」 或「晶粒」之任何使用分別與更通用之術語「基板」或 「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯 影系統(通常將抗钱劑層施加至基板且顯影經曝光抗蝕劑 156085.doc -53- 201207356 之工具)、度量衡工具及/或檢測工具中處理本文中所提及 之基板。適用時,可將本文令之揭示應用於此等及其他基 板處理工具。另外,可將基板處理-次以上,(例如)以便 產生多層1C’使得本文中所使用之術語「基板」亦可指代 已經含有多個經處理層之基板。 儘管上文可特定地參考在料微影之内容f景中對本發 明之實施例的使用’但應瞭解,本發明可用於其他應用 (例如’壓印微影)中,且在内容背景允許時不限於光學微 2 °在㈣微影中’圖案化元件中之構形⑽。抑㈣界 疋產生於基板上之圖案。可將圖案化元件之構形壓入被供 應至基板之抗钱劑層中’在基板上’抗轴劑係藉由施加電 磁輻射1、壓力或其組合而固化。在抗㈣固化之後, 將圖案化元件移出抗蝕劑,從而在其中留下圖案。 本文中所使用之術語「輻射」及「光束」涵蓋所有類型 之電磁輻射,包括紫外線(uv)輻射(例如,具有為或為約 阳奈米' 355奈米' 248奈米、193奈米、157奈米或126奈 米之波長)及極紫外線(EUV)輻射(例如,具有在為5奈米至 不米之範圍内的波長)’以及粒子束(諸如離子束或電子 束)。 /,1 透鏡」在内谷背景允許時可指代各種類型之光學 組件中之任-者或其組合’包括折射、反射、磁性、電磁 及靜電光學組件。 術語「電磁」涵蓋電性及磁性。 術語「電磁散射屬性」涵蓋反射係數及透射係數以及散 156085.doc
S •54· 201207356 射測量參數’該等參數包括光譜(諸如作為波長之函數的 強度)、繞射圖案(作為位置/角度之函數的強度),以及橫 向磁偏光與橫向電偏光之相對強度及/或橫向磁偏光與橫 向電偏光之間的相位差。舉例而言,可使用反射係數來演 算繞射圖案自身。 因此,儘管關於反射散射而描述本發明之實施例,但本 發明亦適用於透射散射。 雖然上文已描述本發明之特定實施例,但應瞭解,可以 與所描述之方式不同的其他方式來實踐本發明。舉例而 言,本發明可採取如下形< :電㈣㈣,該電腦程式含有 描述如上文所揭示之方法的機器可讀指令之一或多個序 列,或資料儲存媒體(例如,半導體記憶體、磁碟或光 碟)’該資料儲存媒體具有儲存於其中之此電腦程式。 以上描述意欲為說明性而非限制性的。因此,對於熟習 此項技術者將顯而易見,可在不脫離下文所闡明之申請專 利範圍之精神及料的情況下對所描述之本發明進行修 改。 / 【圖式簡單說明】 圖1為微影裝置之示意圖; 圖2為微影單元或叢集之示意圖; 圖3說明第一散射計之操作原理; 圖4說明第二散射計之操作原理; 量重新建構一結構之 圖5描繪將本發明用於自散射計測 第一實例程序; 156085.doc •55- 201207356 圖6描繪將本發明用於自散射計測量重新建構一結構之 第二實例程序; 圖7為具有關聯模型參數的通過待藉由圖5或圖6之程序 測量之第一實例結構之示意性橫截面; 圖8為具有關聯模型參數的通過待藉由圖5或圖6之程序 測量之第二實例結構之示意性橫截面; 圖9(a)說明用於理解第一實例應用中之重新建構程序的 特定原理; 圖9(b)對比使用根據該第一實例應用中之目前先進技術 之浮動及固定參數的結果與使用根據本發明之一實施例之 一線性約束的結果; 圖10說明在第二實例應用中之線性約束之操作; 圖11說明用於在無線性約束及具有線性約束之情況下比 較重新建構程序之效能的複雜結構; 圖12A及圖12B說明根據本發明之一實施例的獲得包括 線性約束之最佳化模型配方之方法; 圖13展示目標結構中之特徵之簡單模型(a)及複雜模型 ⑻; 圖14比較圖7之結構之(a)、(b)現實變化及(c)不現實或不 可能變化;及 圖15及圖16(包括圖15(a)、圖15(b)、圖16(a)及圖16(b)) 說明關於實例結構之特定參數對的現實參數集及不現實參 數集之分佈,以及在圖5、圖6及/或圖12之程序中現實取 樣之應用。 •56· 156085.doc
S 201207356 【主要元件符號說明】 2 寬頻帶(白光)輻射投影儀 4 光譜儀偵測器 10 光譜 11 背部投影式光瞳平面 12 透鏡系統 13 干涉濾光器 14 參考鏡面 15 顯微鏡接物鏡 16 部分反射表面/光束***器 17 偏光器 18 偵測器 30 目標/目標結構 700 基板 702 特徵 704 層 706 抗反射(BARC)層 800 基板 802 第一層 804 第二層 900 矩形 902 橢圓形/機率密度函數(PDF) 904 點線橢圓形 906 虛點線橢圓形/機率密度函數(PDF) 156085.doc -57- 201207356 910 910, 912 914 916 960 980 984 985 986 988 990 994 1000 1002 1006 1008 1010 A Act AD B BD 156085.doc 線性約束/線 平行線 輪廓 點 點 _ 基板 - 模型 資料集 規則庫 參數諮詢模組 使用者輸入 「最佳」約束及固定集 最佳化模型配方 程序 候選模型配方/候選模型配方集 模擬重新建構程序 模擬測量集 程序 層 確切地處於標稱值上的樣本之參數空間中 之部位 調整器 輻射光束(圖1)/層(圖11) 光束傳送系統 -58 -
S 201207356 ΒΚ 烘烤板 C 目標部分(圖1)/層(圖11) CH 冷卻板 CO 聚光器 dl 南度 d2 尚度 dtot 總和1¾度 D 層 DE 顯影器 E 層 F 層 G 層 HI 特徵高度/抗蝕劑高度/參數 H2 高度/厚度 H3 高度/厚度 H_G 形狀參數 IF 位置感測器 IL 照明系統/照明器 IN 積光器 1/01 輸入/輸出埠 1/02 輸入/輸出埠 LA 微影裝置 LACU 微影控制單元 LB 裝載匣 156085.doc -59- 201207356 LC 微影單元 Ml 光罩對準標記 M2 光罩對準標記 ΜΑ 圖案化元件/光罩 MCD 參數 MCD_G 形狀參數 MT 支撐結構/光罩台 nl 折射率 n2 折射率 Nom 標稱值/標稱位置 pi 參數 p2 參數 PI 基板對準標記 P2 基板對準標記 PL 投影系統 PM 第一*** PU 處理單元 PW 第二*** R1 曲線/規則 R2 曲線/規則 R3 曲線 R4 曲線 RO 機器人 SC 旋塗器 ·60· 156085.doc 201207356 scs 監督控制系統 so 輕射源 SWA 側壁角度/參數 SWA_G 形狀參數 TCU 塗佈顯影系統控制單元 W 基板 WT 基板台 X 所排除樣本中之兩者 C: 156085.doc - 61 -

Claims (1)

  1. 201207356 七、申請專利範圍: 結構之至少一參數的方法,該方 明下輻射與該結構之相互作用的 1 · 一種測量一基板上之一 法包含以下步驟: (a)接收起因於在預定照 一經偵測信號; (b)藉由根據一模型配方來轡化兮士 个I化孩結構之一數學模型之參 數而產生複數個候選結構; (c)藉由模型化該預定輻射與該等候選結構中之每一者之 相互作用來凉异複數個候選模型信號; ⑷比較該則貞測信號與該複數個候選模型信號以識別一 最佳匹配模型信號;及 ⑷基於在步驟⑷中之比較結果,基於對應於該最佳匹配 模型信號的該候選結構之該等參數來報告該結構之一或 多個參數之一測量, 其中該模型配方包括界定該等參數之一子集之間的一 相關關係的至少一約束’且其中在步驟⑻中當產生該 等候選結構時,應用該約束’使得將該參數子集約束成 根據該約束而共同地變化,藉此減少該模型中之自由度 的數目’而不將該子集之該等參數視為固定參數。X 2·如請求们之方法’其中該子集包含該等可變參數中之 兩者以上。 3·如請求項磷2之方法’其中該約束界定該子集中之該等 可變參數之間的一線性相關關係。 4.如請求項!或2之方法’其中在步驟(b)中,根據該約束而 156085.doc 201207356 向該子集内之至少-參數指定一相依參數,且在每-相 ,參數與該子集中之料其他參數中之—❹者之間界 定-相依性關係,該相依性關係用以在該模型信號之演 算之前自該或該等其他參數演算該相依參數。 5.如請求項1或2之方法,其中步驟(b)包含以下步驟: (bl)提供模型結構與各別模型信號之一參考集合該參 考集合係以比該模型配方所需要之自由度更多的自由度 予以產生; & (b2)產生複數個候選模型配方,每一候選模型配方包含 或多個約束之一不同集,每一約束藉由界定該等可變 參數之一子集之間的相關關係而減少該模型中之自由度 的數目,以便減少該模型中之自由度的數目,而不將該 子集之該等參數視為固定參數; (b3)在代替該經偵測信號而代用來自該參考集合之複數 個模型信號的情況下,使用每一候選模型配方來執行該 方法之該等步驟(c)至(e); (b4)藉由比較使用每一候選模型配方所獲得之經測量參 數值與對應於在步驟(b3)中所使用之該等模型信號的該 等模型結構之已知參數值來選擇一最佳模型配方。 6 ·如請求項5之方法,其中將該等可變參數中之一或多者 之一子集指定為用於該測量方法之所關注參數,且其中 在步驟(b4)中,所比較之該等經測量參數值獨佔式地或 主要地為該等指定所關注參數之參數值。 7.如請求項1或2之方法,其中用比該數學模型所具有之自 156085.doc 201207356 由度更多的可變參數來界定該數學模型,該模型配方包 括在該等可變參數中之特定可變參數之間的給定相依 性,且其中關於步驟(b)所提及之該等約束係附加於該等 給定相依性。 8·如請求項1或2之方法,其中執行步驟(b)及⑷以在與該經 谓測繞射信號比較之前產生一經預儲存繞射信號文件 庫且奴後,作為一反覆程序之部分,執行步驟(b)及(c) 與步驟(d)以產生另外候選結構且模型化繞射信號。 9. 一種產生供一如請求項5或6之測量方法中使用之一模型 配方的方法,該方法包含以下步驟: (bl)提供模型結構與各別模型信號之一參考集合,該參 考集合係以比該模型配方所需要之自由度更多的自由度 予以產生; Λ (b2)產生複數個候選模型配方,每一候選模型配方包含 一或多個約束之一不同集,每一約束藉由界定該等可變 參數之子集之間的相關關係而減少該模型中之自由产 的數目’以便減少該模型中之自由度的數目,而不將: 子集之該等參數視為固定參數; (b3)在代替該經偵測信號而代用來自該參考集合之複數 個模型信號的情況下,使用每—候選模型配方來執行一 如請求項1、2或3之方法之該等步驟(〇)至(6); ㈣藉由比較使用每—候選模型配方所獲得之經測量參 數值與對應於在步驟㈤)中所使用之料模型信號的該 等模型結構之已知參數值來選擇一最佳模型配方。 156085.doc 201207356 10’如β求項9之方法’其中將該等可變參數中之—或多者 之子集指定為用於該測量方法之所關注參數,且其中 在步驟(b4)中’所比較之該等經測量參數值獨佔式地或 主要地為該等指定所關注參數之參數值。 11·如6月求項9或10之方法,其中在步驟(b2)中所產生之該等 候選模型配方中之至少—者具有被指定為固定參數之一 另外參數子集,且其中不同候選模型配方具有被指定為 固定參數之不同參數子集。 12·種用於測量一基板上之一結構之至少一參數的檢測裝 置,該裝置包含: …、明系統’该照明系統用於用一或多個輻射光束來 照明該結構; 一偵測系統,該偵測系統用於偵測起因於該輻射與該 結構之間的相互作用的一信號;及 -處理器’該處理^用於比較該經㈣信號與複數個 模型信號以識別一最佳匹配模型信號, 其中該處理器經配置以藉由參考形狀及材料屬性係藉 由數學模型中之複數個參數表示的一各別候選結構來 產生该等模型信號中之每一者’每一候選結構在該等參 數中之一或多者方面不同於該等其他候選結構,其令該 處理器經配置以藉由參考包含界定該等可變參數之一子 集之間的一相Μ關係的至少一約束的一模型配方來產生 該等候選結構令之每-者’且其中該處理器經配置以在 該等候選結狀該產生t制該約束,使得將該參數子 156085.doc S 201207356 集約束成根據S玄約束而共同地變化,藉此減少該模型中 之自由度的數目,而不將該子集之該等參數視為固定參 數。 13. 如請求項12之檢測裝置,其中該處理器經進一步配置以 藉由一如請求項9或10之方法來產生該模型配方。 14. 一種含有機器可讀指令之—或多個序列的電腦程式產 品,該等機器可讀指令用於測量一基板上之一結構之至 少一參數,該等指令經調適以使一或多個處理器: G)接收起因於在預定照明下輻射與該結構之相互作用的 一經偵測信號; (k)比較該經偵測信號與複數個候選模型信號以識別一最 佳匹配模型信號;及 ⑴為了執行步驟(k)以進行演算,藉由根據一模型配方來 變化該結構之一數學模型之參數而產生候選結構來演算 該等候選模型信號, 其中該模型配方包括界定該等參數之一子集之間的一 相關關係的至少一約束,且其中在步驟(1)中,當產生該 等候選結構時,應用該約束,使得將該參數子集約束成 根據該約束而共同地變化,藉此減少該模型中之自由度 的數目,而不將該子集之該等參數視為固定參數。 15. 種含有機器可讀指令之一或多個序列的電腦程式產 品,該等機器可讀指令用於使一處理器判定用於產生複 數個模型信號以與自-基板上之—結構所㈣之一信號 進订比較的一模型配方,該複數個模型信號係藉由各別 156085.doc 201207356 複數個候選結構之照明來模擬該照明而予以演算,在使 用中該等才曰令使該處理器執行一如請求項9或1〇之方 法之該等步驟。 16. 種測量-基板上之一結構之至少一參數的方法該方 法包含以下步驟: (m)界定4結構之—數學模型,在該數學模型中藉由隨 著複數個自由度而可變之複數個參數來表示形狀及材料 屬性; ⑷藉由指定該等參數中哪些參數將被視為固定參數及該 等參數中那些參數將被視為可變參數來界定一模型配 方; (〇)用一或多個輻射光束來照明該結構,且偵測起因於該 輻射與該結構之間的相互作用的一信號; (P)藉由參考該模型配方來產生複數個候選模型結構,每 一候選結構在該等可變參數中之一或多者方面不同於該 等其他候選結構; (r) 藉由模擬該輻射與該等候選結構中之每一者之間的相 互作用來演算複數個模型信號; (s) 比較該經偵測信號與該等模型信號中之至少一些模型 信號;及 ⑴基於在步驟(s)中之比較結果,識別一最佳匹配模型信 號’且基於對應於該最佳匹配模型信號的該候選結構之 5亥等參數來報告該結構之一或多個參數之一測量, 其中在步驟(n)中所產生之該模型配方進一步包含至少 156085.doc S -6- 201207356 一約束’該至少一約束界定該等可變參數之一子集之間 的一相關關係,以便減少該模槊中之自由度的數目,而 不將該子集之該等參數視為固定參數,且其中在步驟(P) 中’當產生該等候選結構時,應用該約束,使得將該參 數子集約束成根據該約束而.共同地變化。 156085.doc
TW100119651A 2010-06-04 2011-06-03 測量基板上結構之方法及裝置、產生模型配方之方法及裝置及實施此等方法及裝置之電腦程式產品 TWI445920B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US35152710P 2010-06-04 2010-06-04

Publications (2)

Publication Number Publication Date
TW201207356A true TW201207356A (en) 2012-02-16
TWI445920B TWI445920B (zh) 2014-07-21

Family

ID=45066198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100119651A TWI445920B (zh) 2010-06-04 2011-06-03 測量基板上結構之方法及裝置、產生模型配方之方法及裝置及實施此等方法及裝置之電腦程式產品

Country Status (8)

Country Link
US (1) US9977340B2 (zh)
JP (1) JP5739988B2 (zh)
KR (1) KR101488802B1 (zh)
CN (1) CN102918464B (zh)
IL (1) IL223204A (zh)
NL (1) NL2006700A (zh)
TW (1) TWI445920B (zh)
WO (1) WO2011151121A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI579778B (zh) * 2013-01-17 2017-04-21 應用材料股份有限公司 半導體處理裝置中使用徑向基底函數網路及超立方體作偏離分類
TWI600898B (zh) * 2015-07-24 2017-10-01 思可林集團股份有限公司 資料修正裝置、描繪裝置、檢查裝置、資料修正方法、描繪方法、檢查方法及記錄有程式之記錄媒體
TWI612296B (zh) * 2015-07-27 2018-01-21 思可林集團股份有限公司 資料修正裝置、描繪裝置、檢查裝置、資料修正方法、描繪方法、檢查方法及記錄有程式之記錄媒體
TWI647447B (zh) * 2013-10-29 2019-01-11 美商克萊譚克公司 用於偵測積體電路可靠性缺陷之方法、系統及非暫時性電腦可讀媒體
US10191391B2 (en) 2015-10-02 2019-01-29 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
TWI732209B (zh) * 2018-05-07 2021-07-01 荷蘭商Asml荷蘭公司 用於判定與運算微影光罩模型相關聯之電磁場的方法
TWI842250B (zh) * 2021-12-15 2024-05-11 荷蘭商Asml荷蘭公司 產生樣本圖的方法、電腦程式產品、帶電粒子檢測系統、用於處理樣本的方法、評估方法

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8386976B2 (en) * 2007-02-15 2013-02-26 United Microelectronics Corp. Method for producing layout of semiconductor integrated circuit with radio frequency devices
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US9011202B2 (en) * 2012-04-25 2015-04-21 Applied Materials, Inc. Fitting of optical model with diffraction effects to measured spectrum
US8869081B2 (en) * 2013-01-15 2014-10-21 International Business Machines Corporation Automating integrated circuit device library generation in model based metrology
US9910953B2 (en) 2013-03-04 2018-03-06 Kla-Tencor Corporation Metrology target identification, design and verification
US8856698B1 (en) * 2013-03-15 2014-10-07 Globalfoundries Inc. Method and apparatus for providing metric relating two or more process parameters to yield
US10386729B2 (en) * 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
JP2015025759A (ja) * 2013-07-26 2015-02-05 Hoya株式会社 基板検査方法、基板製造方法および基板検査装置
NL2013303A (en) 2013-08-13 2015-02-16 Asml Netherlands Bv Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure.
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9766552B2 (en) 2013-09-09 2017-09-19 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
CN104570616B (zh) * 2013-10-29 2017-06-27 上海微电子装备有限公司 一种自参考散射测量装置及方法
FR3012894B1 (fr) * 2013-11-07 2017-02-17 Commissariat Energie Atomique Systeme informatique pour l'exploitation de mesures heterogenes issues de differents appareils de metrologie en vue de l'estimation de valeurs de caracteristiques de dispositifs microelectroniques, procede et programme d'ordinateur correspondants
US10895810B2 (en) * 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
KR101882892B1 (ko) * 2013-12-05 2018-07-27 에이에스엠엘 네델란즈 비.브이. 기판 상의 구조체를 측정하는 방법 및 장치, 오차 보정을 위한 모델, 이러한 방법 및 장치를 구현하기 위한 컴퓨터 프로그램 제품
KR101888028B1 (ko) * 2013-12-13 2018-08-13 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 리소그래피 시스템 및 디바이스 제조 방법
CN105934716A (zh) 2013-12-19 2016-09-07 Asml荷兰有限公司 检查方法和设备以及光刻设备
CN105874389B (zh) * 2013-12-30 2018-06-26 Asml荷兰有限公司 用于设计量测目标的方法和设备
NL2014071A (en) 2014-02-03 2015-08-06 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9076688B1 (en) * 2014-03-10 2015-07-07 Globalfoundries Inc. Scatterometry for nested and isolated structures
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10302414B2 (en) * 2014-09-14 2019-05-28 Nova Measuring Instruments Ltd. Scatterometry method and system
WO2016054581A1 (en) * 2014-10-03 2016-04-07 Kla-Tencor Corporation Verification metrology targets and their design
WO2016067296A1 (en) * 2014-11-02 2016-05-06 Nova Measuring Instruments Ltd. Method and system for optical metrology in patterned structures
JP6770958B2 (ja) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
US9671218B2 (en) * 2014-12-22 2017-06-06 National Chung Shan Institute Of Science And Technology Device and method of quick subpixel absolute positioning
WO2016139057A1 (en) * 2015-03-05 2016-09-09 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR102010941B1 (ko) * 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
CN107533299B (zh) * 2015-04-10 2021-04-02 Asml荷兰有限公司 用于检测及量测的方法与装置
US11170072B2 (en) * 2015-04-10 2021-11-09 ASML Netherands B.V. Method and apparatus for inspection and metrology
KR102098034B1 (ko) * 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
CN108027571B (zh) * 2015-08-27 2020-06-23 Asml荷兰有限公司 光刻设备和器件制造方法
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR102107504B1 (ko) 2015-09-28 2020-05-08 에이에스엠엘 네델란즈 비.브이. 2-차원 또는 3-차원 형상의 계층적 표현
WO2017063839A1 (en) * 2015-10-12 2017-04-20 Asml Netherlands B.V. Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
NL2017882A (en) * 2015-12-17 2017-06-26 Asml Netherlands Bv Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
JP6394630B2 (ja) * 2016-03-17 2018-09-26 株式会社豊田中央研究所 情報処理装置、方法、及びプログラム
CN109073568B (zh) 2016-04-29 2022-01-11 Asml荷兰有限公司 用于确定结构的特性的方法和装置、器件制造方法
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US20190302010A1 (en) * 2016-05-19 2019-10-03 The Regents Of The University Of Colorado, A Body Corporate Complex Spatially-Resolved Reflectometry/Refractometry
US10983440B2 (en) * 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
CN109313393A (zh) 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备
EP3279735A1 (en) * 2016-08-01 2018-02-07 ASML Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
KR102194154B1 (ko) * 2016-09-01 2020-12-22 주식회사 히타치하이테크 패턴 계측 장치
CN109863458B (zh) 2016-10-14 2021-06-22 Asml荷兰有限公司 选择与衬底上的测量或特征相关联的部位的集合
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
KR101885619B1 (ko) * 2016-12-29 2018-08-06 한국과학기술원 출구 재귀 모델을 이용한 웨이퍼 제조 공정 레벨의 포토리소그래피 클러스터 장치 시뮬레이션을 제공하는 시뮬레이션 장치 및 이를 이용한 시뮬레이션 방법
US20180239851A1 (en) * 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
JP2020518845A (ja) * 2017-05-04 2020-06-25 エーエスエムエル ホールディング エヌ.ブイ. 光学メトロロジの性能を測定するための方法、基板、及び装置
WO2018215177A1 (en) * 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
KR102597444B1 (ko) * 2017-07-12 2023-11-03 에이에스엠엘 네델란즈 비.브이. 결함 예측
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3457211A1 (en) * 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3492985A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
EP3531205A1 (en) * 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
EP3553602A1 (en) * 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
TWI723396B (zh) * 2018-05-24 2021-04-01 荷蘭商Asml荷蘭公司 判定基板之堆疊組態之方法
WO2020043525A1 (en) 2018-08-28 2020-03-05 Asml Netherlands B.V. Systems and methods of optimal metrology guidance
CN113168115A (zh) * 2018-12-03 2021-07-23 Asml荷兰有限公司 制造器件的方法
EP3709082A1 (en) * 2019-03-14 2020-09-16 ASML Netherlands B.V. Metrology method
WO2020182468A1 (en) * 2019-03-14 2020-09-17 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US11222160B2 (en) 2019-06-07 2022-01-11 Synopsys, Inc. Mask rule checking for curvilinear masks for electronic circuits
KR102147170B1 (ko) * 2019-07-17 2020-08-25 한국과학기술연구원 극소각 중성자 산란 장치를 이용한 선형 패턴 측정 방법
US20220390356A1 (en) * 2019-11-28 2022-12-08 Ev Group E. Thallner Gmbh Device and method for measuring a substrate
US11151710B1 (en) * 2020-05-04 2021-10-19 Applied Materials Israel Ltd. Automatic selection of algorithmic modules for examination of a specimen
CN111595251A (zh) * 2020-07-01 2020-08-28 上海艾康特医疗科技有限公司 测量接触镜的参数的方法
CN113029024B (zh) * 2021-03-01 2021-11-16 长鑫存储技术有限公司 半导体结构的测量方法及设备

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7330279B2 (en) 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7092110B2 (en) * 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7523076B2 (en) * 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7522293B2 (en) 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4448181B2 (ja) 2008-03-18 2010-04-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 パターン検査方法、パターン検査装置及びプログラム
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI579778B (zh) * 2013-01-17 2017-04-21 應用材料股份有限公司 半導體處理裝置中使用徑向基底函數網路及超立方體作偏離分類
TWI628603B (zh) * 2013-01-17 2018-07-01 應用材料股份有限公司 半導體處理裝置中使用徑向基底函數網路及超立方體作偏離分類
TWI647447B (zh) * 2013-10-29 2019-01-11 美商克萊譚克公司 用於偵測積體電路可靠性缺陷之方法、系統及非暫時性電腦可讀媒體
TWI600898B (zh) * 2015-07-24 2017-10-01 思可林集團股份有限公司 資料修正裝置、描繪裝置、檢查裝置、資料修正方法、描繪方法、檢查方法及記錄有程式之記錄媒體
TWI612296B (zh) * 2015-07-27 2018-01-21 思可林集團股份有限公司 資料修正裝置、描繪裝置、檢查裝置、資料修正方法、描繪方法、檢查方法及記錄有程式之記錄媒體
US10191391B2 (en) 2015-10-02 2019-01-29 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
TWI651514B (zh) * 2015-10-02 2019-02-21 荷蘭商Asml荷蘭公司 用於量測微影程序之參數的度量衡方法及裝置、非暫態電腦可讀媒體及微影系統
US10423077B2 (en) 2015-10-02 2019-09-24 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
TWI732209B (zh) * 2018-05-07 2021-07-01 荷蘭商Asml荷蘭公司 用於判定與運算微影光罩模型相關聯之電磁場的方法
TWI787849B (zh) * 2018-05-07 2022-12-21 荷蘭商Asml荷蘭公司 用於判定與運算微影光罩模型相關聯之電磁場的方法
US11899374B2 (en) 2018-05-07 2024-02-13 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
TWI842250B (zh) * 2021-12-15 2024-05-11 荷蘭商Asml荷蘭公司 產生樣本圖的方法、電腦程式產品、帶電粒子檢測系統、用於處理樣本的方法、評估方法

Also Published As

Publication number Publication date
TWI445920B (zh) 2014-07-21
JP5739988B2 (ja) 2015-06-24
NL2006700A (en) 2011-12-06
US9977340B2 (en) 2018-05-22
JP2013534044A (ja) 2013-08-29
IL223204A (en) 2016-12-29
KR20130025941A (ko) 2013-03-12
CN102918464A (zh) 2013-02-06
IL223204A0 (en) 2013-02-03
US20120123748A1 (en) 2012-05-17
CN102918464B (zh) 2015-05-13
KR101488802B1 (ko) 2015-02-04
WO2011151121A1 (en) 2011-12-08

Similar Documents

Publication Publication Date Title
TWI445920B (zh) 測量基板上結構之方法及裝置、產生模型配方之方法及裝置及實施此等方法及裝置之電腦程式產品
TWI516742B (zh) 用於量測基板上結構的方法及裝置、誤差修正的模型、用於實施上述方法及裝置的電腦程式產品
TWI536010B (zh) 評估結構的注意參數值重建品質之方法,檢測裝置及電腦程式產品
TWI464366B (zh) 判斷微結構的結構參數之裝置及方法
TWI430333B (zh) 決定疊對誤差之方法及裝置
TW202043911A (zh) 用於對影像圖案進行分組以判定在圖案化製程中晶圓行為的裝置及方法
JP6931119B2 (ja) パターニングプロセスパラメータを決定する方法
TWI609245B (zh) 檢測方法及裝置、微影系統及元件製造方法
TWI574001B (zh) 用於計算結構之電磁散射屬性及估計其幾何與材料參數之方法與裝置
TW202010995A (zh) 針對模擬系統之用於判定晶圓之層的蝕刻輪廓的方法
TW202117454A (zh) 用於在半導體製造程序中應用沉積模型之方法
TWI637243B (zh) 度量衡方法及設備、電腦程式及微影系統
WO2018007126A1 (en) Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus
US9081302B2 (en) Inspection apparatus and method, lithographic apparatus and lithographic processing cell
TWI712790B (zh) 用於計算一結構的電磁散射特性的方法和裝置
KR20210048547A (ko) 트레이닝된 뉴럴 네트워크 제공 및 물리적 시스템의 특성 결정
TW201527899A (zh) 檢測方法與裝置及微影裝置
CN111989619A (zh) 半导体结构的基于模型的重构