KR102010941B1 - 계측 방법, 계측 장치 및 디바이스 제조 방법 - Google Patents

계측 방법, 계측 장치 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR102010941B1
KR102010941B1 KR1020177026545A KR20177026545A KR102010941B1 KR 102010941 B1 KR102010941 B1 KR 102010941B1 KR 1020177026545 A KR1020177026545 A KR 1020177026545A KR 20177026545 A KR20177026545 A KR 20177026545A KR 102010941 B1 KR102010941 B1 KR 102010941B1
Authority
KR
South Korea
Prior art keywords
substrate
radiation
periodic structure
spectrum
target
Prior art date
Application number
KR1020177026545A
Other languages
English (en)
Other versions
KR20170117593A (ko
Inventor
리챠드 퀸타닐라
세르히 대닐류크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20170117593A publication Critical patent/KR20170117593A/ko
Application granted granted Critical
Publication of KR102010941B1 publication Critical patent/KR102010941B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • G06K9/00
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

리소그래피 제조 시스템은 10 nm 미만의 피쳐 크기와 주기 방향(D)을 가지는 주기적 구조체를 생산한다. EUV 스펙트럼에 있는 파장 범위(1-100 nm 또는 1-150 nm)를 가지는 방사선의 빔(1904)이 약 5 μm 직경의 스폿(S) 내로 포커싱된다. 반사된 방사선(1908)은 스펙트럼 내로 분할되고(1910), 이것은 캡쳐되어(1913) 타겟 스펙트럼 신호(ST)를 얻는다. 레퍼런스 스펙트럼이 검출되어(1914) 획득 레퍼런스 스펙트럼 신호(SR)를 얻는다. 선택적으로, 타겟의 격자 구조체에 의해 1차에서 회절된 방사선을 사용하여 추가 스펙트럼 신호(SF)를 얻도록 검출기(1950)가 제공된다. 입사각(α) 및 방위각(φ)은 조절가능하다. 하나 이상의 각도에서 얻어진 신호(ST, SR, SF)가 타겟의 측정된 특성, 예를 들어 CD 및 오버레이를 계산하기 위하여 사용된다.

Description

계측 방법, 계측 장치 및 디바이스 제조 방법
관련 출원에 대한 상호 참조
본 출원은 2016 년 3 월 25 일에 출원된 EP 출원 번호 제 15160786.8 의 우선권을 주장하며, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은, 예를 들어 리소그래피 기법에 의한 디바이스의 제조에서 사용가능한 계측용 방법, 및 장치 및 리소그래피 기법을 사용하는 디바이스의 제조 방법에 관한 것이다. 임계 치수(선폭)를 측정하는 방법이 이러한 계측의 특정 응용예로서 설명된다. 오버레이와 같은 비대칭성-관련 파라미터를 측정하는 방법도 설명된다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경(SEM)을 포함하여, 이러한 측정을 수행하기 위한 다양한 툴들이 공지되어 있다. 비대칭에 관련된 파라미터를 측정하기 위하여 다른 전문화된 툴들이 사용된다. 이러한 파라미터 중 하나는, 디바이스 내의 두 층들의 정렬 정확도인 오버레이이다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고 산란된 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 -을 측정하여 스펙트럼을 획득하고, 타겟의 관심 속성은 이러한 스펙트럼으로부터 결정될 수 있다. 관심 속성은 다양한 기법: 예를 들어, 엄밀한 커플링된 파 분석(rigorous coupled wave analysis) 또는 유한 요소 방법(finite element method)과 같은 반복적 접근법에 의한 타겟 구조체의 재구성; 라이브러리 검색; 및 주된 컴포넌트 분석에 의하여 결정될 수 있다. SEM 기법과 비교할 때, 광학 산란계는 더 넓은 면적, 심지어 제품 유닛의 전부에서 훨씬 더 높은 쓰루풋으로 사용될 수 있다.
종래의 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm x 40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 더 작은 스폿을 생성한다(즉, 격자는 언더필된다). 예를 들어 10μm 바이 10μm 이하로 타겟의 크기를 감소시켜서, 예를 들어 이들이 스크라이브 레인(scribe lane)에 있는 것이 아니라 제품 피쳐들 사이에 포지셔닝될 수 있게 하기 위하여, 격자가 측정 스폿보다 더 작아지는(즉, 격자가 오버필되는) 소위 "소타겟" 계측이 제안되었다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 오버레이 및 격자 구조체 내의 비대칭의 측정으로부터 유도될 수 있는 다른 성능 파라미터를 측정하기 위하여 통상적으로는 소타겟들이 사용된다. 타겟을 제품 피쳐들("인-다이 타겟") 사이에 배치함으로써, 측정 정확도가 증가될 것이 기대된다. 예를 들어, 인-다이 타겟이 제품 피쳐와 더 유사하게 공정 변이에 의한 영향을 받을 것이고, 실제 피쳐 사이트에서의 공정 변이의 효과를 결정하기 위해서 보간이 덜 필요할 수 있을 것이기 때문에, 정확도가 증가될 것이 기대된다. 오버레이 타겟의 이러한 광학적 측정은 대량 생산되는 오버레이 성능을 개선하는 데에 있어서 매우 성공적이었다.
그러나, 기술이 발전하면서 성능 사양은 더 엄격해지고 있다. 더욱이, 소타겟 기법은 라인 폭 또는 임계 치수(CD)와 같은 다른 파라미터의 측정에 대해서는 개발되지 않았다. 현재의 방법의 다른 제한사항은, 이들이 실제 제품 피쳐의 통상적 치수보다 훨씬 더 큰 광파장으로 이루어진다는 것이다. 특정 관심 파라미터는 선폭(CD)이고, CD 측정을 위한 적합한 소타겟 방법은 아직 고안된 바 없다.
광학적 계측 방법에 대한 대안으로서, 반도체 디바이스 내의 오버레이를 측정하기 위하여 X-선을 사용하는 것도 역시 고려되었다. 이러한 기법 중 하나는 투과성 소각도 X-선 산란 또는 T-SAXS라고 불린다. 오버레이의 측정에 적용된 T-SAXS 장치는 US 2007224518A(Yokhin 등, Jordan Valley)에 개시되고, 해당 출원의 내용은 본 명세서에서 참조되어 원용된다. T-SAXS를 사용한 프로파일(CD) 측정은 Lemaillet 등의 "Intercomparison between optical and X-ray scatterometry measurements of FinFET structures", Proc. of SPIE, 2013, 8681 에서 논의된다. T-SAXS는 1 nm보다 작은 파장의 X-선을 사용하고, 따라서 T-SAXS에 대한 타겟은 제품-유사 피쳐로 이루어질 수 있다. 불행하게도, T-SAXS 신호는 보통 매우 약하고, 타겟 크기가 작은 경우에는 더욱 약하다. 그러므로, 대량 제조에 사용되기에는 이러한 측정은 너무 시간이 오래 걸린다. T-SAXS 장치는 제품 피쳐들 사이에 배치되도록 고려될만큼 충분히 소타겟을 측정하기 위하여 사용될 수 있다. 불행하게도, 타겟 크기가 작아지면 스폿 크기도 작아져야 하고, 결과적으로 측정 시간이 더 길어지게 된다.
그레이징 입사(grazing incidence)에서의 X-레이(GI-XRS) 및 극자외(EUV) 방사선을 사용한 반사측정 기법이 기판 상의 필름 및 층들의 스택의 특성을 측정하는 데에 관하여 공지되어 있다. 각도측정(goniometric) 및/또는 분광식 기법이 반사측정의 일반적인 분야에 적용될 수 있다. 각도측정 기법에서, 상이한 입사각에 따른 반사된 빔의 변동이 측정된다. 반면에, 분광식 반사측정 기법은 주어진 각도에서 반사된 파장의 스펙트럼을 측정한다(브로드밴드 방사선을 사용함). 예를 들어, EUV 리소그래피에 사용하기 위해 레티클(패터닝 디바이스)을 제조하기 전에, 마스크 블랭크를 검사하기 위해서 EUV 반사측정 기법이 사용되어 왔다. 이러한 기법에 대한 연구는 예를 들어 S Danylyuk 등의 ""Multi-angle spectroscopic EUV reflectometry for analysis of thin films and interfaces", Phys. Status Solidi C 12, 3, pp.318-322 (2015) 에 기술되었다. 그러나, 이러한 측정은 주기적 구조체에서의 CD의 측정과는 상이하다. 더욱이, 특히 매우 얕은 그레이징 입사각이 수반된다는 것을 고려하면, 이러한 공지된 기법 중 어느 것도 인-다이(in-die) 격자와 같은 소타겟에 대한 계측을 위해서 적합하지 않다.
본 발명은 전술된 광학 및 X-선 방법의 단점들 중 하나 이상을 극복하는, 소타겟 계측의 대안적인 방법을 제공하는 것을 목적으로 한다. 특히, 예를 들어, 반도체 기판상의 제품 영역 내의 위치에서 파라미터들을 측정하되, 이러한 측정이 수행되는 속도를 개선하면서 현재와 장래의 리소그래피 기술에 의해 제작된 피쳐들의 더 작은 치수에 적응할 필요가 있다.
본 발명의 제 1 양태는 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하는 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법을 제공한다.
발명자들은, 극자외(EUV) 파대역 내에 있는 방사선이 CD 및 주기적 구조체의 형태를 가진 작은 계측 타겟의 다른 특성의 측정에 대해 특정한 장점을 제공한다는 것을 인식했다. 일반적으로 실시되는 광학적 산란측정과 비교할 때, EUV 광선은 언더라잉 피쳐에 의해 크게 영향받지 않을 것이고, 그 결과 주기적 구조체 자체의 모델링이 더 정확해 질 수 있다. X-레이와 비교하면, 전력의 부적절한 손실이 없이 EUV 방사선을 더 미세한 스폿으로 포커싱할 가능성이 생긴다. X-레이와 비교하면, 훨씬 더 큰 입사각을 사용할 가능성이 생긴다. 또한, 타겟의 조명 및 검출을 위해 적합한 EUV 광학 시스템을 제공함으로써, 스폿이 그레이징 입사에 의해 연장되는 경우에도, EUV 방사선은 인-다이 계측을 위해 충분히 작은 스폿 내로 형성될 수 있다. CD 계측을 위해 충분한 정보를 얻기 위해서, EUV 파장의 범위에 걸친 스펙트럼 특성이 측정될 수 있다.
1 nm 내지 100 nm, 또는 1 nm 내지 150 nm의 파장 범위를 언급하는 것은, 이러한 장치 또는 방법이 해당 전체 파 범위에 걸친 파장을 사용해야 한다거나, 사용할 수 있다는 것을 의미하려는 것이 아니다. 개개의 구현형태는 이러한 범위의 서브세트에만 걸쳐 있는 파장으로 작동하도록 선택할 수 있다. 적합한 범위는 적합한 소스의 이용가능성, 및 측정될 구조체의 치수에 따라 달라질 것이다.
또는, 이러한 방법은, 기판으로부터 바라볼 때 제 1 방향에 대해 비-제로 방위각을 규정하기 위해서, 조사 방향이 제 1 방향과 기판에 수직인 방향에 의해 규정되는 평면 외부에 놓이도록 수행된다. 본 명세서에서 개시된 방법은 측정 정확도를 최적화하도록 결정된 방위각을 사용하여 수행될 수 있다. 방위각은 예를 들어 15, 30, 45 도보다 클 수 있고, 심지어 원하는 경우에는 80 도에 달할 수 있다. 비-제로 방위각은 다른 측정 기법에서 원추형 마운트(conical mount)라고 불리는 기법을 사용하여 이루어질 수 있다.
발명자들은, CD 계측을 위해 EUV 반사측정에서 원추형 마운트를 사용함으로써, 하나 이상의 비-제로 회절 차수 내의 주기적 구조체의 회절 효율이 제로 방위각의 조사 방향에 대한 경우보다 더 크도록 방위각이 선택된다는 것을 인식했다. 타겟 구조체 및 재료에 따라, 자신의 구조체의 측정을 위해 중요한 제로 및/또는 더 높은 회절 차수 내의 스펙트럼 신호는 하나의 방위각에서는 매우 약하지만 다른 방위각에서는 더 클 수 있다.
일 실시예에서, 예를 들어 방위각은, 회절의 일차 내의 주기적 구조체의 회절 효율이 제로 방위각에 대한 회절 효율보다 두 배가 넘게, 선택적으로는 다섯 배가 넘거나 열 배가 넘게 되도록 선택될 수 있다. 대안적으로, 또는 추가적으로, 방위각은, 복수 개의 비-제로 회절 차수 내의 주기적 구조체의 회절 효율이 제로 방위각에 대한 조사 방향에 대한 경우보다 더 크도록 선택될 수 있다.
일 실시예에서, 단계 (a) 및 (b)는 상이한 극각도를 사용하여 반복되고, 단계 (c)에서 복수 개의 상이한 극각도를 사용하여 검출된 산란된 방사선을 나타내는 신호는 주기적 구조체의 특성을 결정하기 위하여 사용된다.
또한, 본 발명은 리소그래피 프로세스의 성능을 측정하는 데에 사용되기 위한 계측 장치로서,
1-100 nm의 범위에 복수 개의 파장을 포함하는 방사선 빔을 생성하기 위한 조사 시스템;
기판 상에 형성된 주기적 구조체를 조사 방향을 따라 방사선으로 조사하도록, 상기 조사 시스템과 함께 동작가능한 기판 지지체로서, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 기판 지지체; 및
상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하기 위한 검출 시스템을 포함하는, 계측 장치를 제공한다.
특정한 구현형태에서, 기판 지지체는 자동화된 웨이퍼 핸들러로부터 반도체 웨이퍼(예를 들어 300 mm 웨이퍼)를 수용하도록 적응된다.
본 발명의 제 2 양태에서, 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 회절된 방사선의 스펙트럼을 검출하는 단계로서, 비-제로 회절 차수는 상기 주기적 구조체에 의해 상기 스펙트럼으로 확산되는, 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법이 제공된다.
또 다른 양태에서, 본 발명은 디바이스 제조 방법으로서,
리소그래피 프로세스를 사용하여 패터닝 디바이스로부터의 패턴을 기판 상에 전사하는 단계로서, 상기 패턴은 적어도 하나의 주기적 구조체를 형성하는, 단계;
상기 리소그래피 프로세스의 하나 이상의 파라미터에 대한 값을 결정하도록, 상기 주기적 구조체의 하나 이상의 특성을 측정하는 단계; 및
측정된 특성에 따라, 상기 리소그래피 프로세스의 후속 동작에 정정을 적용하는 단계를 포함하고,
상기 주기적 구조체의 특성을 측정하는 단계는, 본 발명의 제 1 양태 또는 제 2 양태에 따른 방법에 의해 특성을 측정하는 단계를 포함하는, 디바이스 제조 방법을 제공한다.
본 발명의 다른 피쳐 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시예들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1 은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2 는 본 발명의 제 1 실시예에 따른 계측 방법에서 격자 타겟에 관련된 입사 광선과 반사 광선의 기하학적 구조를 예시한다;
도 3 은 도 2 의 방법을 수행하는 계측 장치의 컴포넌트를 개략적으로 도시한다;
도 4 의 (a)는 그레이징 입사 시의 방사선의 스폿의 신장을 개략적인 측면도로 예시하며, 빔 단면(B)의 개략적인 표현에서 상이한 입사각에 대한 스폿(S)이 (b) 및 (c)에서 개략적으로 도시된다;
도 5 예시 도 3 의 장치의 일 실시예에 있는 조명 시스템의 컴포넌트를 개략적으로 도시한다;
도 6a 및 도 6b 는 EUV 스펙트럼의 일부 내의 파장 범위에 걸친, 상이한 재료 및 그레이징 입사의 상이한 각도에 대한 반사도의 변동을 예시한다;
도 7 은 그레이징 입사에서의 파장 범위에 대한, 상이한 재료내의 EUV 방사선에 대한 투과깊이의 변동((a)) 및 주어진 파장 범위에 대한, 실리콘 재료 내의 입사각에 대한 투과깊이의 변동((b))을 예시한다;
도 8a 의 (a) 내지 (c)는, (a) 평판 실리콘 기판 및 (b) 실리콘 격자 구조체의 경우에, 상이한 입사각에 대해 계산된 EUV 스펙트럼에 걸친 반사도의 그래프를 포함하는데, 이러한 반사도 (a)와 (b) 사이의 차이는 (c)에 도시된다;
도 8b 의 (d) 내지 (f)는, (d) 제 1 측벽 각도를 가진 격자 구조체 (e) 제 2 측벽 각도를 가진 격자 구조체의 경우의 상이한 입사각에 대한, EUV 스펙트럼에 걸친 계산된 반사도의 그래프를 포함하는데, 이러한 반사도 (d)와 (e) 사이의 차이는 (f)에 도시된다;
도 9 는 상이한 진공 또는 근사-진공 및/또는 저압 환경에 있는, 도 3 의 장치의 하우징 컴포넌트의 원리를 예시한다;
도 10 은 입사각이 변동하는 동안 정지된 검출 시스템을 유지하기 위한 추가적 광학 요소를 포함하는, 하우징의 수정된 원리를 예시한다;
도 11 은 (a) 대기압 및 (b) 1 밀리바에서 상이한 가스상 분위기를 통과하는, EUV 스펙트럼에 걸친 EUV 방사선의 투과를 예시한다;
도 12 는 200 nm 두께의 상이한 재료의 윈도우를 통과하는 EUV 방사선의 투과를 예시한다;
도 13 은 비제로 방위각이 사용되는 본 발명의 제 2 실시예에 따른 계측 방법에서 격자 타겟에 관련된 입사 광선과 반사 광선의 기하학적 구조를 예시한다;
도 14 는 도 13 의 방법을 수행하는 계측 장치의 컴포넌트를 개략적으로 도시한다;
도 15 는 도 13 및 도 14 의 방법에서 예시적인 타겟에 대한 방위각의 함수인, 상이한 그레이징 입사각 및 파장에 대한 일차 회절 효율의 변동을 예시한다;
도 16 은 격자 타겟으로부터의 제 1차 회절 방사선도 측정되는 본 발명의 제 3 실시예에 따른 계측 방법에서 격자 타겟에 관련된 입사 광선과 반사 광선의 기하학적 구조를 예시한다;
도 17 은 도 16 의 방법을 수행하는 계측 장치의 컴포넌트를 개략적으로 도시한다;
도 18 은 제 2 실시예와 제 3 실시예의 특징을 결합하는, 본 발명의 제 4 실시예에 따른 계측 방법에서 격자 타겟에 관련된 입사 광선과 반사 광선의 기하학적 구조를 예시한다;
도 19 는 도 18 의 방법을 수행하는 계측 장치의 컴포넌트를 개략적으로 도시한다;
도 20 은 본 발명의 실시예에 따른 계측 방법을 예시하는 흐름도이다; 그리고
도 21 은 도 20 의 방법으로 수행된 측정을 사용하는 계측 방법 및/또는 리소그래피 제조 프로세스의 성능을 제어하는 방법을 예시하는 흐름도이다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 고-볼륨의 리소그래피 제조 프로세스를 구현하는 산업 설비의 일부로서, 리소그래피 장치(LA)를 200 에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 당업자는, 이러한 프로세스의 변형에서 상이한 타입의 기판을 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202 에 측정 스테이션(MEA)이 도시되고, 204 에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206 에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학적 리소그래피 장치에서, 컨디셔닝된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사선-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학계, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 포지셔닝 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그래밍가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 듀얼 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 포지셔닝 시스템이 있다. 노광 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블(WTa 및 WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입일 수 있다.
생산 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226 에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계(226)를 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 액침 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
도 1 에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 공통적인 예는, 예를 들어 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220 에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 역시 잘 알려진 바와 같이, 장치(240)로부터의 계측 결과(242)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다.
리소그래피 제조 기술의 각각의 세대(일반적으로 기술 "노드"라고 불림)는 CD와 같은 성능 파라미터에 대해 더 엄격한 사양을 가진다. 계측 시의 주된 어려움 중 하나는, 계측 타겟 크기가 계측 장치(240) 내에서 통상적으로 사용되는 타겟보다 더 작을 것이 소망된다는 것이다. 예를 들어, 본 발명의 목적은 5μm x 5μm 이하의 크기를 가지는 타겟을 사용하는 것이다. 이렇게 크기가 작으면, 타겟들이 제품 피쳐들 사이에 위치되는(제품 영역들 사이의 스크라이브 레인 영역 내로 한정되는 대신에) 소위 "인-다이" 또는 "온 제품" 계측에서 더 널리 사용될 수 있다. 인-다이 CD 계측을 위해 현재 사용되는 유일한 계측 기법은 전자 현미경법(CD-SEM)이다. 이러한 공지된 기법은 장래 노드에 대해서는 제한되며, 구조체에 대해 매우 제한된 기하학적 정보만을 제공한다.
본 명세서에서, 장래의 기술 노드에 대한 CD-계측 방법 솔루션으로서, EUV 반사측정, 특히 분광식 EUV 반사측정을 사용하는 것이 제안된다. EUV 반사측정이 높은 민감도, 프로세스 변동에 대한 견실성 및 관심 파라미터에 대한 선택성이라는 이점을 제공한다는 것이 증명될 것이다. 이러한 목적을 위하여, 도 1 에 도시된 제조 시스템은 광학 산란계(240)에 추가하여 하나 이상의 EUV 계측 장치(244)를 포함한다. 이러한 EUV 계측 장치는 품질을 더욱 제어하고 리소그래피 제조 시스템 전체로서의 성능을 개선하도록 감독 제어 시스템(SCS)에 의해 사용될 수 있는 추가적 계측 결과(246)를 제공한다. 광학 산란계(240)와 유사하게, EUV는 리소 셀 내에서 처리되는 레지스트 재료 내의 구조체를 측정하고(전사후검사(After Develop Inspection; ADI)) 및/또는 구조체가 더 견고한 재료 내에 형성된 이후에 구조체를 측정하기 위해(에칭후 검사(After Etch Inspection; AEI)) 사용될 수 있다. 예를 들어, 기판은 전사 장치(212), 에칭 장치(222), 어닐링 장치(224) 및/또는 다른 장치(226)에 의해 처리된 이후에 EUV 계측 장치(244)를 사용하여 검사될 수 있다. 이와 반대로, X-선 기법은 일반적으로 AEI로 한정될 것이고, 레지스트 내에만 형성된 구조체를 측정하기 위하여 사용될 수 없다. 이것은 기판이 검사를 통과하지 못할 경우 이들을 재작업하는 가능성을 제한한다. 콤팩트 x-선 소스의 파워가 제한된다는 것은, 공지된 T-SAXS 기법이, 특히 작은 크기 계측 타겟에 대해서 매우 낮은 쓰루풋을 겪게 된다는 것을 의미한다.
EUV 분광식 반사측정
도 2 는 EUV 계측 방법을 예시하고 도 3 은 EUV 계측 장치(300)를 예시한다. 이러한 장치는 도 1 의 제조 시스템에서 처리된 기판(W)의 파라미터를 측정하기 위한 EUV 계측 장치(244)의 일 예로서 사용될 수 있다.
도 2 에서, 타겟(T)은 구형 기준 프레임의 원점에 1-차원의 격자 구조체를 포함하는 것으로 개략적으로 표현된다. 축들(X, Y 및 Z)이 타겟에 대해 규정된다. (물론, 이론 상 임의의 좌표계가 규정될 수 있고, 각각의 컴포넌트는 도시된 것에 대해 규정될 수 있는 자기 자신의 로컬 기준 프레임을 가질 수 있다.) 타겟 구조체의 주기 방향(D)은 X 축과 정렬된다. 도면은 완전한 투시도가 아니고, 개략적인 예시일 뿐이다. X-Y 평면은 타겟 및 기판의 평면이고, 명확화를 위하여 관찰자에 대해 기울어진 것으로 원(302)의 비스듬한 도면에 의해 표현되어 도시된다. Z 방향은 기판에 수직인 방향(N)을 규정한다. 도 2 에서, 입사 광선 중 하나는 304 로 명명되고 그레이징 입사의 각도 α를 가진다. 이러한 예에서, 입사 광선(304)(및 방사선 스폿(S))을 형성하는 모든 입사 광선)은 X-Z 평면에 평행인 평면, 즉 방향(D) 및 N을 규정하고 원(306)으로 표현되는 평면에 실질적으로 놓여 있다. 타겟(T)의 주기적 구조체에 의해 산란되지 않는 반사된 광선(308)은 다이어그램에서 타겟의 우측을 향해 고도 각도 α로 나오게 된다.
분광식 반사측정을 수행하기 위하여, 광선(308) 및 다른 반사된 광선은 상이한 파장의 광선들을 포함하는 스펙트럼(310)으로 분할된다. 스펙트럼은 예를 들어 그레이징 입사 회절 격자(312)를 사용하여 생성될 수 있다. 스펙트럼은 검출기(313)에 의해 검출된다. 예를 들어 픽셀들의 어레이를 가진 CCD 이미지 검출기일 수 있는 이러한 검출기는, 스펙트럼을 전기 신호로 그리고 최종적으로는 분석을 위한 디지털 데이터로 변환시키기 위해 사용된다.
실제 시스템에서, 방사선(304)의 스펙트럼은 시간 변동에 노출될 수 있고, 이것은 분석을 방해할 것이다. 검출된 스펙트럼을 이러한 변동에 반해서 정규화하기 위하여, 레퍼런스 스펙트럼이 제 2 검출기(314)에 의해 캡쳐된다. 레퍼런스 스펙트럼을 생성하기 위해서, 소스 방사선(316)이 다른 회절 격자(318)에 의해 회절된다. 격자(318)의 제로 차수 반사된 광선은 입사 광선(304)을 형성하는 반면에, 격자(318)의 일차 회절된 광선(320)은 레퍼런스 스펙트럼 검출기(314)에 의해 검출되는 레퍼런스 스펙트럼을 형성한다. 분석할 때 사용되도록 레퍼런스 스펙트럼을 나타내는 전기 신호 및 데이터가 획득된다.
입사각 α의 하나 이상의 값에 대해 획득된 측정된 스펙트럼으로부터, 타겟 구조체(T)의 특성의 측정이 상세히 후술되는 방식으로 계산될 수 있다.
도 3 으로 돌아가면, 기판(W) 상에 형성된 계측 타겟(T)의 특성을 도 2 의 방법을 사용하여 측정하기 위해서 EUV 계측 장치(300)가 제공된다. 다양한 하드웨어 컴포넌트들은 개략적으로 표현된다. 이러한 컴포넌트의 실용적 구현형태는 현존 컴포넌트 및 특수 설계된 컴포넌트의 혼합물을 잘 알려진 디자인 원리에 따라 적용시키는 당업자에 의해 수행될 수 있다. 설명될 다른 컴포넌트에 대한 원하는 위치 및 배향에서 기판을 홀딩하기 위해 지지체(자세하게 도시되지 않음)가 제공된다. 방사원(330)은 방사선을 조명 시스템(332)으로 제공한다. 조명 시스템(332)은 타겟(T) 상에 포커싱된 조사 스폿을 형성하는 광선(304)에 의해 표현되는 EUV 방사선의 빔을 제공한다. 조명 시스템(332)도 레퍼런스 스펙트럼(320)을 검출기(314)로 제공한다. 컴포넌트(312, 313) 등은 편리하게 검출 시스템(333)이라고 간주될 수 있다.
이러한 예에서 기판(W)은 포지셔닝 시스템(334)을 가지는 가동 지지체 상에 탑재되어, 광선(304)의 입사각 α가 조절될 수 있게 한다. 이러한 예에서, 기판(W)을 틸팅하여 입사각을 변경하는 동안 소스(330) 및 조명 시스템(332)은 정지된 상태를 유지하는 것은 오직 편의에 따라 선택된 것이다. 반사된 광선(308)을 잡아내기 위해서, 검출 시스템(333)에는 추가적 가동 지지체(336)가 제공되어, 이것이 정지된 조명 시스템에 대해 각도 2α를 통해, 또는 기판에 대해 각도 α를 통해 이동하게 한다. 반사측정의 그레이징 입사 레짐(regime)에서, 입사각 α를 도시된 바와 같이 기판 평면에 대해 정의하는 것이 편리하다. 물론, 이것은 입사 광선(I)의 입사 방향과 기판에 수직인 방향(N) 사이의 각도라고도 마찬가지로 정의될 수 있다.
각각의 타겟(T)을 방사선의 스폿(S)이 위치되는 위치로 이동시키기 위해서 도시되지 않은 추가적 액츄에이터가 제공된다. (다르게 보면, 스폿을 타겟이 위치된 위치로 이동시키는 것과 같음) 실제 응용예에서, 단일 기판 상에서 측정될 개개의 타겟 또는 타겟 위치의 연속이 존재할 수 있고, 기판들의 연속도 존재할 수 있다. 이론상, 조명 시스템 및 검출기가 정지된 상태를 유지하는 동안 기판 및 타겟이 이동되고 재배향되는지, 조명 시스템 및 검출기가 이동되는 동안 기판이 정지된 상태를 유지하는지, 또는 상대 운동을 하는 상이한 컴포넌트들이 이러한 기법을 조합해서 얻어지는지는 중요하지 않다. 본 발명은 이러한 변형예 모두를 망라한다.
도 2 를 참조하여 전술된 바와 같이, 타겟(T) 및 기판(W)에 의해 반사된 방사선은, 검출기(313)에 충돌하기 전에 상이한 파장의 광선들의 스펙트럼(310) 내로 분할된다. 검출기(306)는, 통상적으로 검출기 요소들의 어레이인 위치-감응 EUV 검출기를 포함한다. 어레이는 선형 어레이일 수 있고, 하지만 실용에서 실무상 엘리먼트(픽셀)의 2-차원의 어레이가 제공될 수도 있다. 검출기(313)는 예를 들어 CCD(전하 결합 디바이스) 이미지 센서일 수 있다.
프로세서(340)는 검출기(313 및 314)로부터 신호를 수신한다. 특히, 검출기(313)로부터의 신호(ST)는 타겟 스펙트럼을 나타내고 검출기(314)로부터의 신호(SR)는 레퍼런스 스펙트럼을 나타낸다. 프로세서(340)는 타겟 스펙트럼으로부터 레퍼런스 스펙트럼을 감산하여, 소스 스펙트럼에 있는 변동에 반해서 정규화된 타겟의 반사 스펙트럼을 포함할 수 있다. 하나 이상의 입사각에 대한 결과적으로 얻어지는 반사 스펙트럼들은 타겟의 특성, 예를 들어 CD 또는 오버레이의 측정을 계산하기 위해서 프로세서 내에서 사용된다.
실무상, 소스(330)로부터의 방사선은 일련의 짧은 펄스로 제공될 수 있고, 신호(SR 및 ST)는 각각의 펄스에 대해 함께 캡쳐될 수 있다. 각각의 개개의 펄스가 이러한 입사각에서의 이러한 타겟에 대한 전체 반사 스펙트럼으로 통합되기 전에 그들에 대한 차분 신호가 계산된다. 이러한 방식으로, 펄스들 사이의 소스 스펙트럼의 불안정성이 정정된다. 펄스 레이트는 초당 수 천 또는 심지어는 수만 헤르쯔일 수 있다. 하나의 반사 스펙트럼으로 측정되도록 통합되는 펄스들의 개수는 예를 들어 수 십 또는 수 백 개일 수 있다. 이렇게 많은 펄스가 있어도, 물리적 측정을 하는 데에는 일 초의 일부만 걸린다.
이러한 EUV-SR을 반도체 제조 시의 계측에 적용하면, 작은 격자 타겟이 사용될 수 있다. 다수의 회절 스펙트럼들은, 그레이징 입사각 α를 다양한 상이한 값으로 설정하면서 검출기(313 및 314)를 사용하여 캡쳐된다. 타겟 구조체의 검출된 스펙트럼들 및 수학적 모델을 사용하면, CD 및/또는 다른 관심 파라미터의 측정을 얻기 위한 재구성 계산이 수행될 수 있다. 예시적인 하나의 재구성 방법이 상세히 후술될 것이다.
간단히 타겟 자체를 고려하면, 라인과 공간의 치수는 타겟 디자인에 따라 달라질 것이지만, 구조체의 주기는 예를 들어 100 nm 미만, 50 nm 미만, 20 nm 미만, 심지어 10 nm 미만 그리고 5 nm까지 내려갈 수 있다. 격자 구조체의 라인은 기판의 제품 영역 내에 있는 제품 피쳐와 동일한 치수 및 피치일 수 있다. 격자 구조체의 라인은 사실상, 오직 계측 목적을 위해서 전용 타겟 영역 내에 형성된 타겟 구조체가 아니라 제품 구조체의 라인일 수 있다. 이러한 작은 피쳐는 예를 들어 임프린트 리소그래피에 의해 또는 다이렉트-라이트(write) 방법에 의해여 EUV 리소그래피 프로세스에서 형성될 수 있다. 이러한 작은 피쳐는 또한 소위 이중-패터닝 프로세스(일반적으로 다중-패터닝)에 의하여 현재의 DUV 리소그래피를 사용해서 형성될 수 있다. 이러한 범주의 기법은, 예를 들어 리소-에칭-리소-에칭(LELE)에 의한 피치-이중화(doubling) 및 BEOL(back end-of line) 층들에서의 자기-정렬된 듀얼-다마신(dual-damascene)을 포함한다. 설명을 위하여, 다음 예들에서 CD가 관심 파라미터라고 간주할 것이다. 그러나, 포개져서 형성되는 두 개의 격자가 있는 경우, 다른 관심 파라미터는 오버레이일 수 있다. 이것은 별개로 후술되는 EUV-SR 회절 차수에 있는 비대칭에 기초해서 측정될 수 있다. 하부 구조체로의 적당한 침투를 얻기 위해 필요하면 입사각이 상승될 수 있다.
다중-패터닝 프로세스에서, 구조들은 제품의 하나의 층에서 형성되지만, 하나의 패터닝 동작으로 형성되는 것이 아니라 두 개 이상의 패터닝 단계에서 형성된다. 따라서, 예를 들어, 구조의 제 1 모집단은 구조의 제 2 모집단과 인터리빙될 수 있고, 하나의 단계만으로 얻을 수 있는 것보다 더 높은 분해능을 얻기 위하여 이러한 모집단들은 다른 단계에서 형성된다. 비록 모집단들의 배치는 기판 상의 다른 피쳐에 대하여 동일하고 완벽해야 하지만, 실제 패턴은 당연히 어느 정도의 위치 오프셋을 나타낸다. 모집단들 사이의 임의의 의도하지 않은 위치 오프셋은 오버레이의 형태인 것으로 간주될 수 있고, 층들 사이의 오버레이를 측정하기 위하여 사용되는 것과 유사한 기법에 의하여 측정될 수 있다. 또한, 피쳐들의 다수의 모집단이 단일 층에 형성된다면, 아래 또는 위의 층에 있는 피쳐들에 대한 오버레이는 각각의 모집단별로 다를 수 있고, 이러한 모집단들 각각에 대한 오버레이는 원할 경우 개별적으로 측정될 수 있다.
도 4 는 방사선 스폿의 신장 문제를 예시하는데, 이것은 그레이징 입사 반사측정을 사용한 인-다이 계측의 구현형태에서 문제가 된다. 도 4 의 (a)에서, 기판(W) 및 타겟(T)은 단면으로 도시된다. 대표 입사 광선(304) 및 반사된 광선(308)이 예시되고, 기판(W)에 대해 입사각 α를 가진다. 이들이 대표 광선들이기 때문에, 입사 방사선은 전체로서 개략적으로 404 로 표현되는 빔을 형성하는 많은 광선들을 포함한다고 간주해야 한다. 이와 유사하게, 반사된 방사선은 개략적으로 408 로 표현되는 빔을 형성하는 많은 광선(308)을 포함한다. 가능한 최소 타겟을 사용하기 위하여, 빔(404)의 광선을 포커싱하여, 이들이 기판(W)의 표면을 만나는 곳에서 정밀하게 최소 빔 직경을 규정하도록 수렴하도록 방사선 스폿이 형성된다. 도면에서, 입사 빔(404)은 최소 직경(dB)을 가진 초점으로 수렴한다. 반사된 빔(408)(산란 효과를 무시함)은 도시된 바와 같은 발산 광선을 포함한다. 그레이징 입사각 α가 상대적으로 작기 때문에(다르게 말하면, 90°가 아니라 제로에 가깝기 때문에) 타겟(T) 상에 투영된 방사선 빔(404)의 직경(dS)은 빔 직경(dB)보다 수 배 더 크다. 직경 dS와 dB 사이의 비율은 도 4 의 (a)에 도시된 바와 같이 각도 α의 사인 값에 따라 달라진다.
도 4 의 (b)에 도시된 바와 같이, 타겟(T)의 영역 내에 맞춤되는 원형 스폿(S)을 얻기 위해서는, 빔(404)이 B로 표시된 크게 타원인 단면을 가져야 한다. 각도 α가 5°이면, 예를 들어 빔의 최소 직경(dB)은 스폿의 허용될 수 있는 직경(dS)보다 10 배 작은 것보다 커야 한다(sin 5° = 0.087). 더 낮은 입사각의 경우, 빔의 최소 직경은 수 십, 수 백, 또는 심지어는 수 천 배 더 작아야 할 것이다. 5 μm 정방형인 것과 같은 소타겟 영역 내에 맞춤되는 스폿을 얻는 것은 실무상 불가능할 것이다. 심지어 α = 5°인 경우, 5 μm 미만의 스폿 크기를 얻으려면 최소 빔 직경(dB)은 약 436 nm여야 한다. 반대로, 도 4 의 (c)에서 볼 수 있는 바와 같이, 그레이징 입사각 α가 증가하면 빔(404)의 최소 직경 요구 사항이 크게 완화된다. 타겟(T)의 영역 내에 맞춤되는 스폿(S')을 얻으려면, 타원(B')은 타원(B)보다 훨씬 더 넓어야 한다. 예를 들어 α = 20°의 경우, 빔 직경은 오직 세 배의 인자만큼만 증가될 것이다. 최소 직경(dB)은 5 μm 스폿 크기를 초과하지 않으면서 1.7 μm만큼 커질 수 있다. 공지된 기법, 특히 X-선 반사측정(GI-XRS)과 비교하여, 발명자는 이러한 더 높은 입사각을 사용하면 더 작은 스폿 크기를 EUV 광학 디자인의 성능 내로 구현할 수 있다는 것을 인식했다.
도 5 는 도 3 의 장치 내에 있는 조명 시스템(332)의 하나의 가능한 구성을 예시한다. 플라즈마와 같은 방사원이 330 으로 표현된다. EUV 리소그래피를 위해서 여러 타입의 소스가 테스트 되었으며, 실험적 또는 상업적으로 구현되어 왔다. 이들 모두는 원하는 파장 범위에 따라서 본 발명의 장치에 적용될 수 있다. 플라즈마 소스는 주석(Sn)을 포함하지만, Xe 또는 Ar 또는 Kr 또는 Ne 또는 N, 또는 이들의 임의의 조합도 포함한다. 레이저 구동 광원 및 고조파 생성기 소스가 적용될 수 있다. 플라즈마 소스가 현재 콤팩트 형태로 가장 이용가능한 타입이긴 하지만, 이들은 적용될 수 있는 유일한 타입의 소스가 아니다. 싱크로트론 소스가 더 많은 유용한 파워 레벨을 제공할 수 있고, 파장 및 파워에 있어서 더 잘 제어될 것이지만, 이들은 아직은 콤팩트 형태로는 상업적으로 입수가능하지 않다.
원하는 스펙트럼 특성을 가지는 EUV 방사선의 빔(500)이 일정 범위의 방향으로 방출된다. 소스(330)의 출구(조명 시스템(332)으로의 입구)에서, 조명 시스템에 대한 입구 퓨필로서의 역할을 하도록 제 1 애퍼쳐(502)가 제공된다. 뚜렷하게 발산하는 인입하는 빔(504)이 포커싱 광학 요소 또는 시스템에 충돌한다. 이러한 포커싱 시스템은 현재의 예에서 2-차원 만곡 미러(506), 예를 들어 타원형 미러에 의해 구현된다. 미러(506)는 수렴 빔(508)을 생성하는데, 이것은 기판(W) 상의 타겟 위치에 있는 스폿을 형성하도록 포커싱된다. 또는, 타겟에서의 빔(404)의 직경을 제한하기 위해 제 2 애퍼쳐(510)가 제공된다. 특히, 애퍼쳐(510)는 높이 및/또는 폭에 있어서 조절가능하여, 상이한 형상의 빔(B')이 상이한 필요성/크기, 및 상이한 입사각 α에 따라서 생성될 수 있게 할 수 있다.
반사된 빔(408)은 타겟의 구조체에 대한 정보를 운반하면서 검출 시스템(333)(이 도면에서는 미도시)에 진입한다. 또는, 빔이 검출 시스템(333)에 진입할 때에 빔의 발산을 감소시키기 위해서 제 2 포커싱 미러(520)가 제공된다.
도 2 및 도 3 에서 볼 수 있는 바와 같이, 레퍼런스 스펙트럼(320)이 레퍼런스 스펙트럼 검출기(314)에 의해 형성되고 검출된다. 도 5 에 도시된 예시적 조명 시스템에서, 레퍼런스 스펙트럼(320)을 생성하기 위한 격자(318)가 만곡 미러(506) 내에 통합된다. 대안적인 실시예들에서, 레퍼런스 스펙트럼 격자는 미러(506)와 직렬인 별개의 엘리먼트로서 제공될 수 있다. 더 나아가, 빔(504)으로부터의 방사선을 빔(508) 내로 포커싱하기 위해서, 하나의 이차원 만곡 미러(506)가 두 개 이상의 일련의 일차원 만곡형(원통) 미러로 대체될 수 있다. 격자는 어디에 제공되는지와 무관하게 "플랫 필드(flat field)" 타입이어서, 양호하게 분해된 스펙트럼이 검출기(314) 내의 선형 또는 평면형 픽셀 어레이에 걸쳐서 형성되게 할 수 있다. 이와 유사하게, 이차원 만곡형 포커싱 미러(520)가 검출측에 제공되는 경우, 일차원 이상의 만곡 미러가 제공될 수 있다. 만곡 미러는 타겟에 의해 반사된 방사선의 스펙트럼(310)을 형성하는 격자(312)와 통합될 수 있다. 원하는 스펙트럼 해상도를 얻기 위해서 빔(408)을 이차원으로 포커싱하는 것이 필요하지 않을 수 있다는 것에 주의한다.
도 6a 및 도 6b 는 방사선 파장 λ 및 입사각 α의 함수인 반사율의 컨투어 플롯(isoreflectance)을 도시한다. 예를 들어 X-레이와 비교하면, 이러한 시뮬레이션은, 반도체 제조시에 만날 가능성이 있는 다양한 재료에 대해서, 다수의 방사선 및 다수의 파장이 수 도보다 높은 그레이징 입사각의 상대적으로 큰 값에서도 반사될 것이라는 것을 보여준다. 컨투어는 로그 스케일로 배열된다. 모든 재료에서, 최대 반사율 R(1에 가까움)은 최저 각도에 대해서 얻어진다. R=10-1로 명명된 컨투어는, 예를 들어 주어진 파장에 대해서 입사 방사선 세기의 십분의 일이 반사되는 입사각을 나타낸다.
특히 15 내지 40 nm의 범위에서(그리고 도시되지 않은 40 nm가 넘는 범위에서), 수 개의 관심 재료의 반사율이 10 도, 20 도 및 30 도의 각도까지 실질적으로 일정하게 유지된다는 것을 알 수 있게 될 것이다. 다시 도 4 및 도 5 를 참조하면, 이러한 범위의 입사각은, 이용가능한 EUV 광학 기술을 사용하는 그레이징 입사에서도 소망하는 작은 방사선 스폿을 얻어내는 광학 디자인이 구현될 수 있게 한다.
도 7 의 (a)는 EUV 방사선 파장 λ의 범위에 대한, 원자 번호 Z에 대한 투과깊이 δ의 그래프를 로그 스케일로 제공한다. 도시된 투과깊이는 그레이징 입사(α = 5°)에 대한 것이다. 더 높은 에너지(더 짧은 λ)의 X-레이와 비교하면, 그레이징 입사는 EUV 파장 1-100 nm 또는 1-150 nm 내에서 더 높은 각도 α에서 얻어질 수 있다. "전반사" 현상은, 유리와 같은 재료가 1 보다 큰 굴절률을 가지는 가시 파장에서의 광학기에서는 친숙한 것이다. EUV 파장에서, 재료는 일반적으로 1 보다 적은 굴절률을 가지고, "외부 전반사(total external reflection)" 현상이 생긴다. 큰 반사가 얻어질 수 있는 최대 각도는 임계 각도라고 불릴 수 있다. EUV 파장에서 상대적으로 얕은 투과깊이를 가지는 장점은, 반도체 제품 내에 일반적으로 존재하는 매장된(buried) 피쳐에 의한 간섭이 크지 않은 상태로, 기판의 표면 구조체를 나타내는 측정이 얻어질 수 있다는 점이다. 더 상세히 후술되는 바와 같이, 이러한 얕은 투과깊이는 재구성 또는 다른 기법에 의한 정확한 측정을 크게 용이화한다.
도 7 의 (b)는 단지 하나의 예시적인 재료인 실리콘에 대한 입사각에서의 침투 깊이의 변동을 보여준다. 그러나, 특히 15 내지 100 nm 범위에 있거나 15 내지 150 nm 범위에 있는 파장에 대해서는, 소망될 경우 십 나노미터 이상의 투과가 얻어질 수 있다. 주어진 구조체의 경우, 입사 각도 α를 더 높은 값으로 상승시킴으로써, 더 높은 투과깊이가 기판에 수직인 방향으로 얻어질 수 있다. 다시 도 6a 및 도 6b 를 참조하면, 반사도를 떨어뜨리지 않으면서 이러한 효과를 얻어내기 위해서 이용가능한 입사각의 범위는, EUV 범위, 특히 15 내지 100 nm의 범위 또는 15 내지 150 nm의 범위에서 예를 들어 X-선 측정 기법에서보다 더 높다.
도 8a 및 도 8b 를 참조하면, 그래프 (a) 내지 (f)는 EUV 파장 범위에서 분광식 반사측정이 어떻게 실리콘 기판 상에 형성된 격자 구조체(주기적 구조체)의 형상에 대한 정보를 제공할 수 있는지를 예시한다. (a) 내지 (c)에서 실리콘 격자 구조체를 평면 실리콘 기판과 비교한다. 도 8b 의 (d) 내지 (f)에서는 격자의 두 개의 상이한 형상을 비교한다.
도 8a 의 (a)는 파장 범위 10 내지 40 nm에 걸친 평면 실리콘 웨이퍼에 대한 계산된 반사도 RP를 보여준다. 각각의 곡선은 2° 내지 12°의 범위에서 단계적으로 증가하는 상이한 입사각 α에서 측정된다. 전술된 바와 같이, 각각의 곡선은 반사 스펙트럼이다. 도 2 내지 도 5 의 방법 및 장치에서는, 적합한 캘리브레이션 및 정규화를 거치면, 적어도 파장 범위의 특정 부분에서 유사한 반사 스펙트럼들이 측정될 것이다. 이러한 예의 격자 구조체의 주기는 200 nm이고, 높이는 50 nm이다. 큰 휘어진 화살표는, 반사 스펙트럼의 형상에서 최소 각도 α로부터 최고 각도까지 발견될 수 있는 경향을 보여준다. 도 8a 의 (b)에서, 주기 200nm 및 높이 50nm를 가지고, 다시 말하건대 실리콘으로 제조되는 직사각형 격자를 포함하는 타겟의 반사도 RG가 도시된다. 다시 말하건대, 반사도는 2° 내지 12°의 범위를 가지는 입사각 α 의 시퀀스에 대하여, 파장 범위 10 내지 40 nm에 걸쳐서 도시된다.
도 8a 의 (c)는 도 8a 의 (a)의 평면 웨이퍼 반사도와 도 8a 의 (b)의 격자 반사도 사이의 차이를 보여준다. 특히 약 15 내지 50 nm의 파장 범위에서, 그리고 특히 입사각이 12°를 향해 증가함에 따라, 격자 구조체의 속성일 수 있는 특정한 특성을 분명하게 볼 수 있다. 다르게 말하면, 도 8a 의 (c)의 그래프는 격자 타겟의 존재 및 구조에 대한 양호한 측정 정보가 도 2 내지 도 5 의 방법 및 장치를 사용한 EUV 분광식 반사측정에 의해서 얻어지게 된다는 것을 확정한다.
도 8b 의 (d) 내지 (f)를 참조하면, 도 8a 의 (a) 내지 (c)와 유사한 그래프를 얻게 된다. 그러나, 여기서, 이들의 차이점은 이미 설명된 직사각형 격자(그래프 (b) 및 (d)는 동일함)와 유사한 주기 및 높이를 가지지만 측벽이 60°로 기울어진 격자(그래프 (e))에 있다. (d)와 (e)의 그래프들의 차이점은 (a)와 (b)의 그래프들 사이의 차이점보다 더 감지하기 힘들다. 그럼에도 불구하고, 이러한 그래프들을 공제하면(그래프 (f)), 특성 피쳐가 차분에서 보여질 수 있고, 이것이 격자의 측벽 각도에 대한 정보를 나타낸다. 다시 말하건대, 신호의 세기는 입사각 α가 2°로부터 12° 까지 증가함에 따라 커진다. 따라서, 측정될 재료 및 구조체에 따라서, 본 명세서에서는 5° 이상의 각도, 예를 들어 10 도 내지 40 도의 범위에 있는 입사 각도 α를 사용하는 것이 제안된다. 각도의 최적 선택은 소스 방사선 내의 스펙트럼 컴포넌트의 이용가능성, 및 상이한 재료의 반사도 대 입사각에 따라 달라질 것이다. 다시 말해서, 입사각은 도 8 의 시뮬레이션에 예시된 신호들의 세기와 타겟의 특정 재료에 의한 반사의 세기(도 6) 사이의 절충으로서 선택되어야 한다. 이와 유사하게, 차분 신호(측벽 각도 정보)의 세기는 파장 범위에 걸쳐서 변한다. 측정이 이뤄질 입사각(들) 및 파장 범위(들)는 특정 타입의 타겟 및 특정한 관심 특성에 대한 최적 계측 파라미터 세트를 규정하도록 선택될 수 있다.
도 9 는 EUV 계측 장치(900)의 상이한 부분들의 하우징을 개략적으로 도시한다. 이것은, 특히 고-볼륨 제조 환경에서 장치내의 진공 및 저압 분위기를 관리가 쉬워지는 특징을 가진다. 도 10 은 변형된 계측 장치를 도시한다.
소개하는 격으로, 도 11 의 그래프 (a) 및 (b)를 참조한다. 각각의 그래프는 상이한 가스상 매체를 통해 EUV 스펙트럼을 관통하여 투과된 EUV 방사선의 비율 TR을 보여준다. 제 1 그래프 (a)는 대기압에 있는 다양한 가스의 50 cm를 통과하는 EUV 방사선의 투과(또는 오히려 투과 부족)를 보여준다. 질소(N), 에어(A), 아르곤(Ar) 및 수소(H) 기체들이 표현된다. 10-5의 스텝으로 표시된 로그 스케일에 주의하면, EUV 광학적 장치가 왜 보통 대기 환경에서는 동작할 수 없는지가 명백해진다. 그러나, 그래프 (b)에서는, EUV 방사선의 경로에 있는 대기압이 1 밀리바로 감소되는 경우 타당한 투과가 유지된다는 것을 알게 된다. 5 내지 30 nm의 범위에 걸쳐, 수소 및 아르곤 양자 모두는 상대적으로 양호한 투과를 제공한다. 심지어 공기 및 질소에서도, 투과 손실은 수락가능할 수 있다. 수소는 관심 대상인 전체 스펙트럼에 걸친 EUV 투과에 대해서 가장 용이하다. 반면에, 수소 분위기를 심지어 저압에서 사용하려고 해도, 고비용의 안전성 조치가 필요하다. 이러한 인자들 모두가 EUV 계측 장치의 상이한 부분 앞의 동작 환경을 선택할 때 고려돼야 한다.
도 9 도 돌아가면, 예시적인 EUV 계측 장치가 도 2 및 도 3 에서 볼 수 있는 것과 동일한 컴포넌트와 번호를 사용하여 도시된다. 도 11 을 참조하여 전술된 바와 같이, 빔 경로가 진공 또는 저압 환경 내에 포함되지 않는다면 EUV 방사선 신호는 크게 약화될 것이다. 동시에, 장치가 고볼륨 제조 환경에서 사용되어야 한다면, 개략적으로 902 로 표현된 동작들이 현재 장치 내에 있는 기판(W)을 새 기판(W')으로 교체하기 위하여 빈번하게 수행될 것이다. 전체 장치가 진공 환경에서 하우징되는 경우, 웨이퍼 또는 웨이퍼들의 배스(bath)를 로딩 및 언로딩한 이후에 진공 환경을 재구축하기 위하여 필요한 비용 및 시간 지연은 쓰루풋을 크게 저하시킬 것이다. 동시에, 가능한 한 많은 빔 경로를 고진공 환경에서 가지고 있는 것이 바람직할 수 있다.
이러한 이유로, 예시적인 계측 장치(900)에서, EUV 광학 시스템의 상이한 부분들은 상이한 챔버(904, 906, 908) 내에 보유된다. 적합한 벽들이 이러한 챔버를 규정하고, 윈도우(910 및 912)는 EUV 방사선이 챔버들 사이를 통과하게 한다. 제 1 챔버(904)는 소스(330) 및 조명 시스템(332)을 보유한다. 제 1 기압 조건, 예를 들어 고진공은 도시되지 않은 적합한 펌핑 및 제어 시스템에 의해 챔버(904) 내에 유지된다. 제 1 윈도우(910)는 입사 빔(304)이 제 2 챔버(906)에 진입하게 하고, 여기에서 타겟이 기판 지지체(W) 상에 지지된다. 제 2 챔버(906) 내에서는 제 2 기압 조건이 타겟 주위에 유지된다. 제 2 기압 조건은, 예를 들어 저압 가스상 분위기이고, 예를 들어 도 11 의 (b)에 표시된 분위기들 중 하나를 사용할 수 있다. 이러한 방식으로, 기판(W 및 W')이 일부 형태의 에어 록 메커니즘에 의해 교환되면, 요구된 기압 조건이 상대적으로 신속하게, 그리고 부적절한 비용이 없이 구축되고 재구축될 수 있다. 제 2 기압 조건에서의 투과 손실이 고진공에서보다 열 배 정도 더 클 수 있지만, 제한된 이동 거리와 동작 생산성에 대해서는, 이러한 손실은 참을만 한 것일 수 있다.
이러한 예에서, 격자(312) 및 검출기(313)와 같은 검출 시스템(333)의 컴포넌트는 제 3 챔버(908) 내에 위치되고, 이것은 제 3 기압 조건에 유지된다. 제 3 기압 조건은 예를 들어 고진공일 수 있다. 제 2 윈도우(912)는 반사된 광선(308)이 기판 상의 타겟에 대한 분광 정보를 운반하면서 챔버(908)내의 검출 시스템에 진입하게 한다.
윈도우(910 및 912)가 제한된 크기라면, 이러한 예에서 장치(900)의 기하학적 구조는 채용될 수 있는 입사각 α의 범위를 크게 한정한다는 것에 주목할 수 있다. 도 10 은 도 9 의 장치의 변형을 개시하는데, 여기에서 이러한 문제는 추가적 미러 컴포넌트에 의해 해결된다. 예를 들어 상이한 입사각에 적합한 여러 이산 윈도우를 제공하거나, 및/또는 검출 시스템의 적어도 일부 컴포넌트를 샘플과 동일한 챔버(906) 내에 하우징함으로써, 이들이 윈도우를 통과하는 그들의 시선(line of sight)을 잃지 않으면서 이동할 수 있게 하는 다른 접근법도 역시 고려될 수 있다.
도 10 은 도 9 의 장치(900)의 수정된 버전인 EUV 계측 장치(1000)를 도시한다. 계측 장치의 코어 컴포넌트는 단지 일 예로서 역시 300 내지 332 의 번호를 가진다. 장치의 하우징과 탑재와 관련된 다른 피쳐들은 "9" 대신에 접두사 "10"이 사용되는 것 외에는 도 9 와 동일하게 번호가 매겨진다. 따라서, 예를 들어 윈도우(1010 및 1012)를 통해 광학적으로 통신하는 챔버(1004, 1006 및 1008)가 제공된다.
도 3 에서도 볼 수 있는 바와 같이, 이러한 예에서 기판 지지체(1020)는 액츄에이터(334)에 의해 이동되어 광선(304)이 격자 타겟 상에 충돌하는 입사각 α을 바꿀 수 있다. 이러한 조치를 통해, 소스 및 조명 시스템, 및 빔(304)이 고정된 경로를 따르게 된다. 이것은 소스 및 광학 시스템의 안정성을 위해서 바람직하고, 윈도우(1010)가 고정된 위치에 유지되도록 한다. 반면에, 이러한 예에서 추가적 엘리먼트도, 제 2 윈도우(1012) 및 검출 컴포넌트(312) 등이 역시 고정된 위치에서 유지되는 동안에 광범위한 입사각이 수용되도록 한다. 이를 위하여, 기판 평면(W)과 평행한 자신의 반사면을 가지는 평면 미러인 추가적 미러 엘리먼트(1030)가 제공된다. 기하학적 구조가 간단하고 1030 과 기판(W) 사이에 이러한 평행 관련성을 유지함으로써, 반사된 광선의 방향에서의 원래의 2α의 변동이 상쇄되게 된다. 미러(1030)는, 미러의 상이한 부분이 상이한 입사각에서 반사광선을 잡아내도록, 기판과 근접하고 기판에 평행하도록 유지되는 단일 대형 미러일 수 있다. 또는, 입사각이 변함에 따라 미러의 병진 운동에 영향을 주는 액츄에이터(1032)와 함께 더 작은 미러(1030)가 제공될 수도 있다.
도 12 를 참조하면, 그래프는 상이한 윈도우 재료들이 EUV 방사선의 스펙트럼에 걸쳐 상이한 투과 특성을 가진다는 것을 보여준다. 그래프는 각각의 재료의 200 nm 멤브레인에 의해 형성된 윈도우를 통해서 투과되는 방사선의 비율 TR을 로그스케일에서 나타낸다. 알 수 있는 바와 같이, EUV 파장의 큰 범위에 걸쳐서 투명한 윈도우를 제공하는 것은 아주 어렵다. 윈도우가 사용되는지 여부, 및 어떠한 타입의 윈도우가 사용되는지는, 장치의 각각의 부분 내의 분위기를 관리하도록 제작될 수 있는 대안적인 장치에 따라 달라질 것이다. 상이한 구획(904/1004, 906/1006, 908/1008)을 격리시키는 물리적 멤브레인에 대한 대안으로서, 상이한 구획들 사이에서 소통하는 개방된 포트들이 구상될 수 있으며, 이들은 그들 사이에 유용한 압력차가 유지될 수 있도록 충분히 작게 제작된다. 따라서, 윈도우 멤브레인이 개략적으로 910/1010 및 912/1012 에 표시되면, 이러한 멤브레인 중 어느 하나 또는 양쪽 모두는, 하나의 구획으로부터 다음 구획으로의 가스의 흐름을 가능한 한 제한하도록 충분히 작으면서 원하는 방사선 모두가 통과하게 하도록 적절한 크기를 가질 수 있는 개방된 애퍼쳐에 의해 대체될 수 있다. 물리적 멤브레인이 하나 또는 양자 모두의 윈도우에 대해 설계되는 경우, 도 12 에 표시된 것들보다 더 높은 투과율을 가지는 멤브레인들이 개발될 수 있다. 특정한 예는 논문 "Performance and Properties of Ultra-Thin Silicon Nitride X-ray Windows", IEEE Transactions on Nuclear Science, VOL. 61, NO. 1, February 2014 에서 Pekka
Figure 112017091817828-pct00001
등에 의해 설명된다. 이러한 논문은 추가적 지지 구조체가 있으며 두께가 20 nm까지 낮아지는 실리콘 질화물 재료로 형성된 초박형 윈도우 멤브레인에 대해 기술한다. 이러한 논문이 일반적으로 더 짧은 파장 방사선에 관심을 보이는 반면에, X-선 스펙트럼의 저-에너지 범위와 EUV 스펙트럼의 더 짧은 파장 사이에는 중첩되는 부분이 있다.
도 13 은 변경된 계측 방법을 도시하고 도 14 는 대응하는 계측 장치(1400)를 도시한다. 이러한 예들에서 '14xx'라고 명명된 컴포넌트들은 그렇지 않다고 언급되지 않는 한 도 3 의 장치에서 '3xx'로 명명된 것들과 동일하다고 간주돼야 한다. 따라서, 변경된 장치는, 예를 들어 조명 시스템(1430), 조명 시스템(1432) 및 검출 시스템(1433)을 포함한다. 그러나, 도 2 의 장치와 비교할 때, 포지셔닝 시스템(1434)은 입사 광선(1404)의 입사각이 그레이징 입사각 α에서만이 아니라, φ로 명명되는 방위각에 있어서도 변할 수 있게 하도록 작동가능하다.
다시 말하건대, X, Y, Z 좌표계가 기판에 대해서 규정된다. 다시 말하건대, 타겟(T)은 기판의 X 축과 평행한 주기 방향(D)을 가지는 1-차원의 격자를 포함한다고 추정된다. 다시 말하건대, 기판 및 타겟은 입사각을 변경하도록 틸팅될 수 있다. 그러나, 입사 φ의 비-제로 방위각이 허용된다. 방위각 φ는 격자 타겟(T)의 주기 방향(D)에 대해서 규정된다(이차원 주기적 타겟의 경우, D는 주된 주기 방향일 수 있음). 다시 말해서, 입사 방향이 기판 평면 상에 투영되는 경우, 입사 광선과 주기 방향(D) 사이의 방위각 φ 은 비-제로가 되고, 매우 클 수 있다. 다시 말해서, 조사 방향은 주기 방향(D)과 기판에 수직인 방향(N)에 의해 규정되는 평면 밖에 있다. 오히려, 입사 광선은 주기 방향(D)에 비스듬한 평면에서 이동한다. 비스듬한 평면은 기판 평면과 직교하지만 주기 방향 및 X-Z 평면에 비스듬한 원(1407)에 의해 표현된다. 평면 및 축들의 라벨을 선택하는 것이 임의적이지만, 그레이징 입사각 및 방위각은 타겟의 주기적 구조체의 물리적 특성에 대해서 규정된다는 것이 이해될 것이다. 발명자들은 비-제로 방위각이 사용되면 상이한 회절 차수의 회절 효율이 증가될 수 있다는 것을 인식했다. 이것이 이제 반사된(제로 차수) 광선(1408)의 스펙트럼에 영향을 준다.
장치(1400)를 구현할 때, 비-제로 방위각을 얻기 위해서 포지셔닝 시스템의 상이한 구성이 사용될 수 있다. 레퍼런스(1434)는 기판의 X 및 Y 축 중심으로 회전하기 위한 액츄에이터가 있는 포지셔닝 서브시스템을 나타낸다. 그레이징 입사각 α 및 방위각 φ의 원하는 조합을 위하여, 원하는 각도를 얻기 위해 2차원에서 기판을 틸팅시키기 위한 적합한 명령 값 Rx 및 Ry가 계산된다. 다른 구현형태에서, 액츄에이터는 각도 α 및 φ를 직접적으로 구동하며, 회전 및 틸팅을 위해 제공될 수 있다. 도 14 로부터, 회전 Rz는 직접적으로 원하는 방위각 φ에 대응하며, 이러한 경우에 명령 값은 원하는 측정 각도로부터 더 직접적으로 생성될 수 있다는 것이 이해될 수 있다.
계측의 다른 영역에서, 그레이징 입사(극성) 각도 및 방위각 양자 모두를 바꾸기 위해 필요한 마운팅(mounting)의 타입은 "원추형(conical) 마운트"라고 알려져 있으며, 이러한 용어는 이러한 EUV 반사측정 장치에서도 채택될 수 있다. 일반적으로, 입사의 공지된 비-제로 방위각을 얻기에 적합하기만 하다면, 임의의 형태의 명령 및 임의의 형태의 작동 메커니즘이 이러한 예에서 사용될 수 있다는 것을 당업자는 이해할 것이다. 입사와 타겟의 방향의 상대 배향(및 물론 방사선 스폿(S)에 대한 타겟의 정확한 X-Y 포지셔닝)이 중요한 것이라는 것도 역시 이해될 것이다.
위에서 언급된 바와 같이, 비-제로 방위각을 사용하면 도 2 및 도 3 의 구성과 비교하여 도 13 및 도 14 의 원추형 마운트를 사용하여 회절 효율이 향상되게 할 수 있다. 그러면 이제 측정 시간을 감소시키고 및/또는 측정 정확도를 증가시키면서, 특정 특성의 측정을 위한 더 강한 신호를 제공할 수 있다. 비-제로 방위각을 사용하는 다른 이점은 이미 도 2 와 비교하여 도 13 에서 볼 수 있다. 비스듬한 입사각 때문에 스폿(S)이 신장된다면, 이것은 방위각에 의해 규정되는 방향에서 신장된다는 것에 주의한다. 그러므로, 스폿의 가장 긴 차원은 타겟의 대각 방향과 정렬된다. 다수의 타겟이 형상에 있어서 직사각형일 것이라면, 스폿의 이러한 대각 신장은 사실상 더 큰 스폿 전체가 타겟 영역 내에 맞춤되게 한다. 결과적으로, 주어진 조명 세기에 대하여, 측정 방사선의 더 큰 전체 파워가 타겟으로 디렉팅될 수 있고 따라서 검출기에서의 신호는 비례하여 증가될 것이다. 이러한 효과는 그 자체로 측정 시간이 다소 단축되게 할 수 있다. 대안적으로, 또는 추가적으로, 포커싱 공차가 완화될 수 있고, 이것도 역시 측정 시간을 단축시킨다.
도 15 는 도 13 및 도 14 의 방법에서 예시적인 타겟에 대한 방위각의 함수인, 상이한 그레이징 입사각 및 파장에 대한 일차 회절 효율(DE+1)의 변동을 예시한다. 그 구조와 재료가 상이한 타겟의 각각의 디자인은, 그 자신의 특정 세트의 회절 효율을 나타낼 것이고, 이러한 방식에서 그 자신의 세트의 변동에 따라 반사 스펙트럼들이 방위각 φ(및 그레이징 각도 α)에 따라 달라질 것이다. 이러한 예에서 타겟은 18 nm 피치인 격자이다. 좌측 열의 5 개의 그래프에서, 수평 축은 로그스케일로 회절 효율을 나타내고, 수직 축은 제로 내지 90°의 범위를 가지는 방위각 φ을 나타낸다. 각각의 그래프는 표시된 바와 같은 상이한 그레이징 입사각 α에 대응한다. 각각의 그래프 내에서, 회절 효율은 여러 파장 2, 5, 10, 20 및 30 nm에 대해 도시된다. 우측 열의 5 개의 그래프는 동일한 데이터를 다른 형태로 제공하고, 각각의 파장에서의 회절 효율은 제로 방위각에서의 그 값에 대해서 정규화된다. 특히 더 짧은 파장 및/또는 더 높은 입사각에서, 일차 회절 효율(및 검출기(1413)에 의해 캡쳐된 제로 차수 신호도 암시됨)은 방위각에 크게 의존한다는 것을 알 수 있다. 측정을 위해 하나 이상, 특히 두 개 이상의 방위각을 선택함으로써, 타겟 구조체에 대해 더 많은 특정 정보가 얻어질 수 있다.
도 16 은 추가적인 변경된 계측 방법을 도시하고 도 17 은 대응하는 계측 장치(1700)를 도시한다. 이러한 예들에서 '17xx'라고 명명된 컴포넌트들은 그렇지 않다고 언급되지 않는 한 도 3 의 장치에서 '3xx'로 명명된 것들과 동일하다고 간주돼야 한다. 따라서, 변경된 장치는, 예를 들어 조명 시스템(1730), 조명 시스템(1732) 및 검출 시스템(1733)을 포함한다.
다시 말하건대, X, Y, Z 좌표계가 기판에 대해서 규정된다. 다시 말하건대, 타겟(T)은 기판의 X 축과 평행한 주기성 방향 D를 가지는 1-차원의 격자를 포함한다고 추정된다. 다시 말하건대, 기판 및 타겟은 입사각을 변경하도록 틸팅될 수 있다. 또한, 검출 시스템(1733)은 반사된 광선(1708)을 상이한 파장의 스펙트럼(1710) 내로 분할시키기 위한 회절 격자(1712)를 포함한다. 반사 스펙트럼(1710)은 검출기(1713)에 의해 캡쳐되고 신호 ST가 프로세서(1740)로 제공된다.
또한, 이러한 변경된 방법 및 장치에서, 다른 스펙트럼(1752)을 수광하기 위해서 제 3 검출기(1750)가 제공된다. 스펙트럼(1752)은 타겟(T)의 주기적 구조체에 의해 일차에서 회절되는 방사선을 포함한다. 일차 회절된 방사선이 디렉팅되는 각도 β는 타겟 격자의 피치와 회절된 방사선의 파장에 따라 달라진다. 입사 방사선이 파장 범위를 포함하는 분광식 EUV 반사측정의 경우에, 도시되는 바와 같이 타겟에 의해 회절된 방사선은 각도 β의 범위에서 스펙트럼으로 확산된다. 반사 스펙트럼(1710)과 같이, 이러한 일차 스펙트럼은 타겟 구조체에 대한 정보를 포함한다. 검출기(1750)에 의해 캡쳐되는 신호 SF는 타겟의 관심 특성의 개선된 측정을 계산하는 데에 사용되기 위하여(신호 SR 및 신호 ST와 함께) 프로세서(1740)로 공급된다.
일차 회절 스펙트럼들을 캡쳐하는 것은 비대칭과 연관된 타겟의 특성을 분해하는 데에 있어서 특별한 장점을 가질 수 있다.
편의를 위해서 각도 β 의 범위가 도 16 에서 매우 좁은 범위로서 도시되지만, "역방향(backwards)" 회절을 포함하는 매우 광범위한 각도를 만날 수도 있다는 것이 이해될 것이다. 이것은 특히, (i) 관심 파장 범위가 두 개 이상의 옥타브에 걸쳐 있을 수 있다는 점(예를 들어 범위 10 내지 40 nm 또는 심지어 5 내지 50 nm이 위의 예에서 언급됨) 및 (ii) 가장 미세한 타겟 격자의 피치(제품 피쳐일 수 있음)가 여러 잠재적인 응용예에서 방사선의 파장과 크기에 있어서 유사할 것이라는 점 때문에 그러하다. 도 17 은 각도의 이러한 범위를 예시한다. 정상 방향(N)에 대해 규정되는 각도 β'을 참조하면, 예를 들어 -90° 내지 +20°의 각도 범위가 생기게 될 것으로 기대될 수 있다.
회절 각도 β(또는 β')의 광범위한 변동을 수용하기 위하여, 그레이징 입사각 α가 액츄에이터(1734)에 의해 변함에 따라 그리고 일차 회절 각도 β가 격자 피치 및 파장에 따라 변함에 따라, 검출기(1750)를 적합한 위치로 이동시키기 위하여 추가적인 액츄에이터(1754)가 제공될 수 있다. 대안적으로 또는 추가적으로, 검출기(1750)는 규모가 크게 제조되거나, 및/또는 타겟 근처에 있는 기판에 가깝게 배치될 수 있다. 이것이 개략적으로 도 17 에 도시된다. 각도가 편리한 크기와 편리한 위치에 있는 검출기(1750) 상에서 캡쳐될 수 있도록, 각도의 확산을 감소시키기 위하여 원하는 경우에 시준 광학기가 선택적으로 제공될 수 있다.
도 18 은 다른 변경된 계측 방법을 도시하고, 도 19 는 대응하는 계측 장치(1900)를 도시한다. 이러한 방법 및 장치는 도 13 및 도 14(비-제로 방위각) 및 도 16 및 도 17(타겟 격자로부터의 일차 회절)에 대하여 이미 전술된 변형들을 결합시킨다. 이러한 예에서 '19xx'라고 명명된 컴포넌트는 도 13/도 14 및 도 16/ 도 17 의 방법 및 장치에서 '14xx' 및/또는 '17xx'로 명명된 것들과 동일한 것으로 간주돼야 한다. 따라서 변경된 장치는, 예를 들어 조명 시스템(1930), 조명 시스템(1932) 및 검출 시스템(1933)을 포함한다.
다시 말하건대, X, Y, Z 좌표계가 기판에 대해서 규정된다. 다시 말하건대, 타겟(T)은 기판의 X 축과 평행한 주기성 방향 D를 가지는 1-차원의 격자를 포함한다고 추정된다. 다시 말하건대, 기판 및 타겟은 입사각을 변경하도록 틸팅될 수 있다. 또한, 검출 시스템(1933)은 반사된 광선(1908)을 상이한 파장의 스펙트럼(1910) 내로 분할시키기 위한 회절 격자(1912)를 포함한다. 반사 스펙트럼(1910)은 검출기(1913)에 의해 캡쳐되고 신호 ST가 프로세서(1940)로 제공된다.
도 13 및 도 14 의 예에서와 같이, 입사의 비-제로 방위각 φ가 허용된다. 레퍼런스(1934)는 기판의 X 및 Y 축들 중심의 회전을 위한 액츄에이터가 있는 포지셔닝 서브시스템을 나타낸다. 도 13 내지 도 15 에 관련된 전술된 내용은 도 18 및 도 19 의 방법 및 장치에도 동일하게 적용된다.
또한, 이러한 변경된 방법 및 장치에서, 다른 스펙트럼(1952)을 수광하기 위하여 제 3 검출기(1950)가 제공된다. 스펙트럼(1952)은 타겟(T)의 주기적 구조체에 의해 일차에서 회절되는 방사선을 포함한다. 타겟(T)의 일차 회절 스펙트럼을 나타내는 신호 SF가 프로세서(1940)로 제공된다. 도 16 및 도 17 에 관련된 전술된 내용은 도 18 및 도 19 의 방법 및 장치에도 동일하게 적용된다. 도 15 의 회절 효율은 각각의 파장에서의 검출된 신호 SF의 세기를 직접적으로 결정한다.
적용예
장치(300, 900, 1000, 1400, 1700, 1900) 중 임의의 것이 도 1 에 개략적으로 도시된 것과 같은 리소그래피 제조 시스템 내의 EUV 계측 장치(244)로서 사용될 수 있다.
도 20 은 본 명세서에 개시된 EUV 계측 기법을 사용하여 타겟 구조체의 파라미터를 측정하는 방법의 흐름도이다. 전술된 바와 같이, 타겟은 반도체 웨이퍼와 같은 기판 상에 있다. 이러한 타겟은 흔히 격자 내의 라인들의 주기적인 시리즈 또는 2-D 어레이에 있는 구조체의 형상을 가질 것이다. 계측 기법의 목적은 형상의 하나 이상의 파라미터의 측정을 계산하는 것이다. 재구성 기법에서, 이러한 파라미터의 어떠한 값이 특정한 관찰된 반사 스펙트럼(하나 이상의 더 높은 회절 차수를 선택적으로 포함함)을 야기할 것인지를 계산하기 위해서 엄밀한 광학적 이론이 효과적으로 사용된다. 다르게 말하면, 타겟 형상 정보가 CD(임계 치수) 및 오버레이와 같은 파라미터에 대해 얻어진다. CD 또는 임계 치수는 기판에 "기록된(written)" 대상물의 폭이고, 리소그래피 장치가 기판에 물리적으로 기록할 수 있는 한계이다. 일부 경우, 관심 파라미터는 CD 자체의 절대 측정이 아니라 CD 균일성일 수 있다. 격자 높이 및 측벽 각도와 같은 다른 파라미터도 소망되는 경우에는 측정될 수 있다. 오버레이 계측은, 기판 상의 두 개의 층들이 정렬되는지 아닌지 여부를 결정하기 위하여 두 개의 타겟의 오버레이가 측정되는 측정 시스템이다.
EUV 계측 장치(244)로부터의 결과를 타겟(30)과 같은 타겟 구조체 및 그 반사 및/또는 회절 특성의 모델링과 조합하여 사용하면, 구조체의 형상 및 다른 파라미터들의 측정이 여러 방법으로 수행될 수 있다. 도 9 에 의해 표현되는 제 1 타입의 프로세스에서, 타겟 형상(제 1 후보 구조체)의 제 1 추정에 기초한 회절 패턴이 계산되고 관찰된 반사 스펙트럼들과 비교된다. 그러면 모델의 파라미터는 체계적으로 변경되고 반사 스펙트럼들이 일련의 반복 과정에서 재계산되어, 새로운 후보 구조체를 생성하고, 따라서 최선의 맞춤(fit)에 도달하게 된다. 제 2 타입의 프로세스에서, 많은 그 외의 후보 구조체들에 대한 반사 스펙트럼들이 사전에 계산되어 반사 스펙트럼들의 '라이브러리'를 생성한다. 그러면, 측정 타겟으로부터 관찰된 반사 스펙트럼이 계산된 스펙트럼들의 라이브러리와 비교되어 최선의 맞춤을 찾아낸다. 양자 모두의 방법이 함께 사용될 수 있다: 라이브러리로부터 개략적 근사(coarse fit)가 사용된 후에 최선의 맞춤을 찾아내기 위하여 반복 프로세스가 사용된다. EUV 분광식 반사측정에서, 제 1 타입의 프로세스에 대한 계산이 부담스럽지 않을 것이 기대된다. 그러한 경우, 라이브러리 프로세스에 의지할 필요가 없을 것이다.
도 20 을 좀 더 상세하게 참조하면, 타겟 형상 및/또는 재료 특성의 측정이 수행되는 방식이 요약되어 설명될 것이다. 다음 단계들이 수행된다. 단계들이 우선 나열되고, 상세히 설명될 것이다.
S11: 타겟(들)이 있는 기판을 수용함
S12: 측정 레시피(α,φ)를 규정함
S13: EUV 반사 스펙트럼 또는 스펙트럼들을 측정함
S14: 모델 레시피를 규정함
S15: 형상 파라미터를 추정함
S16: 모델 반사 스펙트럼 또는 스펙트럼들을 계산함
S17: 측정된 스펙트럼들을 계산된 스펙트럼들과 비교함
S18: 메리트 함수(merit function)를 계산함
S19: 개정된 형상 파라미터를 생성함
S20: 최종 형상 파라미터를 보고함
이러한 단계들은 반드시 위의 순서로 수행되어야 하는 것은 아니고, 당업자들은 결과에 영향을 미치지 않고 특정 단계가 상이한 순서로 수행될 수 있다는 것을 이해할 것이다.
S11에서, 그 위에 하나 이상의 계측 타겟(T)이 있는 기판(W)이 수용된다. 이러한 설명에서 타겟은 오직 한 방향에서만 주기적인 것으로(1-D) 가정될 것이다. 실제로 이것은 두 방향에서 주기적일 수 있고(2-차원 구조체), 처리는 이에 상응하여 적응될 것이다. S12에서, 향상된 방법에서 스펙트럼들이 취해지는 하나 이상의 입사각 α의 범위를 규정하고 선택적으로 비-제로 방위각 φ를 규정하는 측정 레시피가 규정된다. 각각의 타입의 타겟 구조체 및 제조 프로세스에 대한 최적 방위각은 종래의 실험 및/또는 계산 시뮬레이션에 의해 결정될 수 있다. 소망되는 경우에는 두 개 이상의 방위각을 사용하여 타겟을 측정하는 레시피가 규정될 수 있다. 도 15 에 도시되는 것들과 유사한 그래프가, 가장 큰 관심 대상인 회절 차수에 걸쳐서 회절 효율의 최선의 조합을 제공하는 방위각의 값 또는 값들을 선택하기 위하여 사용된다. 또는, 각각의 타겟의 측정은 방위각 φ의 단일(제로 또는 비-제로) 값, 및 상이한 입사각 α의 범위를 가지고 이루어질 수 있다.
스폿(S)에 위치된 타겟 구조체가 있는 S13에서, 기판 상의 실제 타겟의 반사 스펙트럼들은 도 3, 도 5, 도 9, 도 14, 도 17 및 도 19 중 임의의 것에 도시된 것과 같은 장치 내의 EUV 방사선을 사용하여 측정된다. 측정된 반사 스펙트럼들(310)(일차 회절 스펙트럼들(1752, 1952)을 선택적으로 포함함)은 프로세서(340)와 같은 계산 시스템으로 포워딩되는 검출기(313)에 의해 캡쳐된다. 추가 계산에서 사용되기 전에, 스펙트럼들은 사전-저장된 캘리브레이션 값에 따라서 그리고 검출기(314, 1414, 1714, 1914)에 의해 기록된 레퍼런스 스펙트럼에 따라서 정규화된다. 실제로, 여러 레퍼런스 스펙트럼들 및 타겟 스펙트럼들이 방사선의 여러 펄스에 걸쳐서 기록될 것이고, 각각의 타겟 스펙트럼은 측정된 타겟 스펙트럼에 가산되기 전에 동일한 펄스로부터의 레퍼런스 스펙트럼에 대해 정규화된다. 각각의 측정된 타겟 스펙트럼은 입사각 α(및 선택적으로 비-제로 방위각 φ)에 대응한다. 재구성을 통해 견실한 측정을 얻기 위해서, 동일한 타겟의 여러 스펙트럼들이 상이한 입사각 α 또는 상이한 방위각 φ에서 캡쳐되어 정보의 다양성을 증가시킬 수 있다.
반사 스펙트럼들이 세부적인 스펙트럼들로서 처리될 수 있다는 것, 또는 계산에서 사용되기 전에 파라미터들의 세트로 단순화될 수 있다는 것에 주의한다. 특정한 예로서, 반사 스펙트럼은 식별될 수 있는 스펙트럼 피쳐의 세기(피크 또는 라인)를 나타내는 값들의 세트로 단순하게 감소될 수 있다. 예를 들어, 세기는 입사 방사선 내의 각각의 피크에 대응하는 반사 스펙트럼 내의 피크를 식별함으로써, 그리고 해당 피크에 관찰된 피크의 높이에 대응하는 값을 할당함으로써 얻어질 수 있다.
S14에서, 타겟 구조체의 파라미터화된 모델을 다수 개의 파라미터 pi(p1, p2, p3 등)에 관하여 정의하는 '모델 레시피'가 구축된다. 이러한 파라미터는 예를 들어 1-D 주기적 구조체에서, 측벽의 각도, 피쳐의 높이 또는 깊이, 피쳐의 폭을 나타낼 수 있다. 타겟 재료 및 하지층(underlying layers)의 특성들도 굴절률(EUV 방사선 빔에 존재하는 각각의 특정 파장에서의 굴절률)과 같은 파라미터에 의하여 표현된다. 타겟 구조체가 자신의 형상 및 재료 특성을 기술하는 수 십 개의 파라미터에 의하여 규정될 수도 있지만, 모델 레시피는 후속하는 프로세스 단계의 목적을 위하여 이들 중 많은 것들이 고정된 값을 가지는 반면에 다른 것들은 변수 또는 '유동(floating)' 파라미터라고 규정할 것이라는 점이 중요하다. 도 20 을 설명하기 위하여, 가변 파라미터만이 파라미터 pi라고 간주된다.
EUV 방사선의 얕은 투과깊이 때문에, 하지층 특성을 나타내는 부동(floating) 파라미터를 제공해야 할 필요성은 더 긴 파장을 사용한 광계측의 경우보다 더 낮을 수 있다. 결과적으로, 본 명세서에 개시된 EUV 분광식 계측은, 주어진 레벨의 계산 복잡성에 대하여 프로세스 변동에 대해서 광학적 CD 계측 툴보다 더 견실한 측정을 제공할 수 있다.
S15에서, 모델 타겟 형상은 부동 파라미터에 대한 초기 값 pi(0)(즉 p1(0), p2(0), p3(0) 등)을 설정함으로써 추정된다. 각각의 부동 파라미터는 레시피에서 규정되는 바와 같이 특정한 미리 결정된 범위 안에서 생성될 것이다.
S16에서, 추정된 형상을 나타내는 파라미터와 함께 모델 내의 다른 재료의 특성이, 예를 들어 RCWA와 같은 엄밀한 계산 방법 또는 맥스웰 방정식의 임의의 다른 솔버(solver)를 사용하여 산란 특성을 계산하기 위하여 사용된다. 그러면 각각의 각도 α(또는 각도 α 및 φ의 조합)에 대한, 추정된 타겟 형상의 추정된 스펙트럼 또는 모델 반사 스펙트럼이 제공된다.
S17 및 S18 에서, 측정된 반사 스펙트럼들 및 모델 반사 스펙트럼들은 이제 비교되고, 그들의 유사성 및 차분이 해당 모델 타겟 형상에 대한 "메리트 함수"를 계산하기 위하여 사용된다.
해당 모델이 실제 타겟 형상을 정확하게 나타내기 전에 개선될 필요가 있다고 메리트 함수가 나타낸다고 가정하면, 제어는 새로운 파라미터 p1(1), p2(1), p3(1) 등이 추정되고 반복적으로 단계 S16 으로 피드백되는 단계 S19 로 진행된다. 단계 S16 내지 S18 이 반복된다. 검색을 지원하기 위하여, 단계 S16 에서의 계산은 메리트 함수의 편도함수를 더 생성하는데, 이것은 증가하거나 감소하는 파라미터가 파라미터 공간 내의 이러한 특정 영역에서 메리트 함수를 얼마나 민감하게 증가 또는 감소시킬 것인지를 표시한다. 메리트 함수의 계산과 도함수를 사용하는 것은 당업계에 공지되며, 여기에서 자세하게 설명되지 않을 것이다.
이러한 반복 프로세스가 원하는 정확도로 어떤 솔루션으로 수렴된다면, 제어는 단계 S20 으로 전달되고, 현재의 추정된 파라미터(예를 들어 CD 값)가 실제 타겟 구조체의 측정으로서 보고된다.
이러한 반복 프로세스의 계산 시간은 사용되는 모델에 의하여, 즉 추정된 타겟 구조체로부터 엄밀한 회절 이론을 사용하여 추정 모델 회절 패턴을 계산하는 것에 의하여 주로 결정된다. 만일 더 많은 파라미터들이 필요하다면, 더 많은 자유도가 존재하게 된다. 이론적으로 계산 시간은 자유도의 수의 멱(power)에 따라 증가한다. S16에서 계산된 추정된 스펙트럼 또는 모델 스펙트럼은 다양한 형태로 표현될 수 있다. 비교하는 동작은 계산된 패턴이 단계 S13에서 생성된 측정된 패턴과 동일한 형태로 표시된다면 단순화된다. 하나의 타겟에 대한 값이 계산되면, 동일한 기판 또는 유사한 기판 상의 새 타겟은 측정 레시피를 바꾸지 않고 동일한 단계 S13 등을 사용하여 측정될 수 있다. 상이한 타입의 기판 또는 타겟이 측정되거나, 또는 측정 레시피를 바꿀 필요가 있는 임의의 경우에, 제어는 대신에 단계 S11 또는 S12로 전달된다.
도 21 은 리소그래피 제조 시스템의 관리에 측정 방법(예를 들어 도 20 의 방법)을 적용하는 것을 예시한다. 단계들이 우선 나열되고, 상세히 설명될 것이다.
S21: 웨이퍼를 처리하여 기판 상에 구조체를 생성함
S22: 기판에 걸쳐 CD 및/또는 다른 파라미터를 측정함
S23: 계측 레시피를 업데이트함
S24: 리소그래피 및/또는 프로세스 레시피를 업데이트함
단계 S21 에서, 리소그래피 제조 시스템을 사용하여 기판에 걸쳐서 구조체가 생성된다. S22에서, 기판에 걸쳐 구조체의 특성을 측정하기 위하여 EUV 계측 장치(244) 및 선택적으로 다른 계측 장치 및 정보 소스가 사용된다. 단계 S23 에서, 선택적으로, EUV 계측 장치 및/또는 다른 계측 장치(240)의 계측 레시피 및 캘리브레이션이 얻어진 측정 결과를 참조하여 업데이트된다. 예를 들어, EUV 계측 장치(244)가 광계측 장치(240)보다 낮은 쓰루풋을 가지는 경우, EUV 방사선을 사용한 몇 가지 정확한 측정이, 특정 기판 디자인 및 프로세스에 대해서 광계측 장치를 사용해서 이루어진 측정의 계산을 개선시키기 위하여 사용될 수 있다.
단계 S24 에서, CD 또는 다른 파라미터의 측정은 원하는 값과 비교되고, 리소그래피 제조 시스템 내의 리소그래피 장치 및/또는 다른 장치의 셋팅을 업데이트하기 위하여 사용된다. 개선된 쓰루풋을 가지는 EUV 계측 장치를 제공함으로써, 전체 시스템의 성능이 개선될 수 있다. 제품 피쳐 및/또는 제품-유사 피쳐는 최소 기술 노드에서도 직접적으로 측정될 수 있고, 인-다이 타겟은 많은 면적을 잃지 않고서 제공되고 측정될 수 있다.
위의 단계들에서, 프로세스의 통계적으로 신뢰가능한 모델이 유도되기에 충분한 타겟이 하나의 기판에 걸쳐서 그리고 다수의 기판에 걸쳐서 측정된다고 가정된다. CD 및 다른 파라미터들의 프로파일은 기판 전체의 변동으로서 전체적으로 표현될 필요가 없다. 예를 들어, 이것은 모든 필드의 필드내 프로파일(기판(W) 상의 다른 위치에서의 패터닝 디바이스(M)를 사용한 패터닝의 각각의 인스턴스) 및 필드내 변동이 반복적으로 중첩되는 저차원의 필드간 변동으로서 표현될 수 있다. 단계 S24 에서 조절된 리소그래피 프로세스의 셋팅은 인트라-필드 셋팅 및 인터-필드 셋팅을 포함할 수 있다. 이들은 장치의 모든 동작에 적용가능하거나 또는 특정 제품 층에 고유할 수 있다.
EUV 반사측정에 의한 오버레이 계측
특히 도 16 내지 도 19 의 예들을 참조하면, 본 명세서에서 설명되는 방법 및 장치는 비대칭-관련 피쳐, 예컨대 오버레이의 측정을 위해서도 적용될 수 있다. 반도체 제품 내의 층들 사이의 오버레이는 방사선의 침투 깊이가 얕기 때문에 일부 입사각 및/또는 파장에서는 EUV 분광식 반사측정을 사용해서 측정하기가 어려울 수 있다. 그럼에도 불구하고, 광파장 범위(예를 들어 1-100 nm 또는 1-150 nm)와 상승된 입사각을 가지는 예시된 장치를 제공함으로써, 오버레이의 실용적인 측정이 기대될 수 있다. 다중-패터닝 프로세스에서, 구조들은 제품의 하나의 층에서 형성되지만, 하나의 패터닝 동작으로 형성되는 것이 아니라 두 개 이상의 패터닝 단계에서 형성된다. 따라서, 예를 들어, 구조의 제 1 모집단은 구조의 제 2 모집단과 인터리빙될 수 있고, 하나의 단계만으로 얻을 수 있는 것보다 더 높은 분해능을 얻기 위하여 이러한 모집단들은 다른 단계에서 형성된다. 비록 모집단들의 배치는 기판 상의 다른 피쳐에 대하여 동일하고 완벽해야 하지만, 실제 패턴은 당연히 어느 정도의 위치 오프셋을 나타낸다. 모집단들 사이의 임의의 의도하지 않은 위치 오프셋은 오버레이의 형태인 것으로 간주될 수 있고, 다중 패터닝 프로세스에 의해 형성된 타겟 격자 또는 제품 피쳐의 비대칭에 의해 측정될 수 있다. 다른 타입의 비대칭, 예를 들어 측벽 비대칭 및 트렌치 하단 비대칭도 간단한 격자 구조체에 대해 측정될 수 있다.
비대칭이(제로 차수) 반사 스펙트럼들(310, 1410, 1710, 1910)로부터 측정될 수 있는 반면에, 비대칭 정보는 도 16 내지 도 19 의 예에서 검출된 일차 회절된 스펙트럼들(1752 및 1952)에서 더 강할 것이다. 따라서, EUV 계측의 방법은 구조체 내의 비대칭을 측정하기 위해서 주기적 구조체로부터의 일차 회절 스펙트럼들을 나타내는 신호 SF를 사용하는 것을 포함할 수 있다. 구조체는 오직 하나의 배향에서만 측정될 수 있고, 또는 180°만큼 회전된 배향(Rz)에서 측정될 수도 있다. 가시 파장에서의 회절 기초 오버레이로부터 알려진 바와 같이, 비대칭은 +1 차 및 -1 차 회절된 방사선의 세기를 비교함으로써 계산될 수 있다. 타겟을 180°만큼 회전시킴으로써, 신호 SF(+1) 및 SF(-1)가 얻어지고 비교될 수 있다. 비대칭은 도 20 에서 설명된 타입의 재구성 방법에 의하여 다른 타겟의 임의의 특성과 유사하게 계산될 수 있다. 또는, 이전의 캘리브레이션과 결합된 더 간단한 계산은 +1 차 및 -1 차 스펙트럼들을 비교하는 것에 직접적으로 기초할 수 있다. 그러나, 분광식 접근법과 조합된 온전한 재구성을 사용하면, 이용가능한 정보는 단일 파장에서 +1 차 및 -1 차 세기를 단지 비교하는 것보다 더 정확한 측정을 보조할 수 있다. EUV 반사측정에서는 타겟이 제품 피쳐 또는 제품-유사 피쳐로 이루어질 수 있다는 것이 장점인데, 이것은 더 긴 파장을 사용하는 현재의 광학 기법에서는 가능하지 않은 것이다. 오버레이에 대한 민감도는 현재의 툴들보다 더 클 것으로 기대된다. .
하이브리드 계측 시스템
수행을 위한 EUV 계측 장치(244) 및 더 통상적인 산란측정 측정을 수행하기 위한 광계측 장치(240) 양자 모두를 포함하는 하이브리드 계측 장치가 생성될 수 있다. 양자 모두의 장치는 동일한 기판(W)의 동일한 부분 또는 상이한 부분에서 동시에 작업할 수 있다. 두 개의 장치는 실무상, 기판 핸들링 및 포지셔닝 시스템과 같은 공통 컴포넌트를 공유하면서 다른 시간에 작동할 수 있다. 계측 장치는 리소그래피 장치(LA) 자체와 통합되거나 리소그래피 셀(LC) 내에 통합될 수 있다.
예를 들어 광계측 장치(240)가 타겟(T1)을 측정하기 위해 사용되는 반면에, EUV 계측 장치(244)는 타겟(T2)을 측정하기 위해 사용되도록, 상이한 장치들은 상이한 타겟 구조체를 측정할 수 있다. 이러한 하이브리드 계측 기법의 응용예와 장점이 현재의 우선일에 공개되지 않은, 2014 년 5 월 13 일 출원된 유럽 특허 출원 14168067.8 [출원인의 참조 번호 2014P00038]에 개시된다.
결론
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 기판 및 패터닝 디바이스에서 실현되는 바와 같은 신규한 타겟과 연관하여, 일 실시예는 기판에 타겟을 생성하고, 기판 상의 타겟을 측정하며 및/또는 측정을 처리하여 리소그래피 프로세스에 대한 정보를 획득하는 방법을 기술하는 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수도 있다. 이러한 컴퓨터 프로그램은 예를 들어 도 3 의 장치에 있는 유닛(PU) 및/또는 도 2 의 제어 유닛(LACU) 내에서 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 스토리지 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.
다른 실시예들은 아래의 번호가 매겨진 절에서 제공된다:
1. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하는 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
2. 제 1 절에 있어서,
주기적 구조체에 투영되면 방사선 빔은 10 μm 미만, 선택적으로 5 μm 미만의 크기(extent)를 가지는, 구조체 특성 측정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 방사선 빔은 1 μm 미만, 선택적으로 500 nm 미만의 최소 직경을 가지는, 구조체 특성 측정 방법.
4. 제 2 절 또는 제 3 절에 있어서,
상기 기판에 평행한 방향에 대한 상기 조사 방향은 상기 스펙트럼을 검출하기 전에 조절되고, 상기 방사선 빔의 직경은 상기 주기적 구조체 상에 투영될 때의 빔의 치수를 조절하도록 대응하여 조절되는, 구조체 특성 측정 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
조사 방향 및 기판에 평행한 방향 사이의 각도로 규정되는 입사각은 5° 내지 45°, 선택적으로 10° 내지 30°인, 구조체 특성 측정 방법.
6. 제 1 절 내지 제 5 절 중 어느 한 절에 있어서,
단계 (a)에서의 방사선 빔은 소스 빔을 제 1 및 제 2 차원 양자 모두에서 포커싱함으로써 생성되는 방사선 빔인, 구조체 특성 측정 방법.
7. 제 6 절에 있어서,
상기 제 1 및 제 2 차원 양자 모두에서의 포커싱은 이차원 만곡형 반사기를 사용하여 수행되는, 구조체 특성 측정 방법.
8. 제 1 절 내지 제 7 절 중 어느 한 절에 있어서,
상기 방사선 빔을 생성하기 위해 사용되는 조명 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 조명 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 구조체 특성 측정 방법.
9. 제 1 절 내지 제 8 절 중 어느 한 절에 있어서,
반사된 방사선의 스펙트럼을 검출하기 위해 사용되는 검출 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 검출 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 구조체 특성 측정 방법.
10. 제 1 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 조사 방향은 상기 기판의 평면 상에 투영될 때 상기 제 1 방향에 대해 비-제로 방위각(azimuthal angle)을 규정하는, 구조체 특성 측정 방법.
11. 제 10 절에 있어서,
상기 방위각은, 하나 이상의 비-제로 회절 차수 내의 주기적 구조체의 회절 효율이 제로 방위각에 대한 조사 방향에 대한 경우보다 더 크도록 선택되는, 구조체 특성 측정 방법.
12. 제 11 절에 있어서,
상기 방위각은, 회절의 제 1 차수 내의 주기적 구조체의 회절 효율이 제로 방위각에 대한 회절 효율보다 두 배가 넘게, 선택적으로는 다섯 배가 넘거나 열 배가 넘게 되도록 선택되는, 구조체 특성 측정 방법.
13. 제 1 절 내지 제 12 절 중 어느 한 절에 있어서,
상기 단계 (b)는 상기 주기적 구조체에 의해 회절된 방사선의 비-제로 회절 차수를 검출하는 단계를 더 포함하고, 상기 비-제로 회절 차수는 상기 주기적 구조체에 의해 스펙트럼으로 확산되는, 구조체 특성 측정 방법.
14. 제 1 절 내지 제 13 절 중 어느 한 절에 있어서,
상기 특성은 비대칭인, 구조체 특성 측정 방법.
15. 제 1 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 특성은 비대칭이고 상기 주기적 구조체는 둘 이상의 패터닝 단계에 의해 하나 이상의 층 내에 형성된 격자인, 구조체 특성 측정 방법.
16. 제 13 절 내지 제 15 절 중 어느 한 절에 있어서,
상기 단계 (a) 및 (b)는 주기적 구조체가 수직 축 중심으로 0° 및 180° 회전되며 적어도 두 번 수행되고, 상기 단계 (c)에서 0° 및 180° 회전시의 비-제로 회절 차수의 스펙트럼을 나타내는 신호들이 주기적 구조체의 비대칭을 결정하기 위하여 함께 사용되는, 구조체 특성 측정 방법.
17. 제 1 절 내지 제 16 절 중 어느 한 절에 있어서,
상기 단계 (a) 및 (b)는 상이한 조사 방향을 사용하여 반복되고, 상기 단계 (c)에서 복수 개의 상이한 조사 각도를 사용하여 검출된 반사된 방사선의 스펙트럼을 나타내는 신호들이 주기적 구조체의 특성을 결정하기 위하여 함께 사용되는, 구조체 특성 측정 방법.
18. 제 1 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 단계 (c)는 주기적 구조체의 파라미터화된 모델을 규정하는 단계 및 상기 모델을 사용하여, 검출된 반사된 방사선에 기초하여 상기 구조체의 수학적 재구성을 수행하는 것을 수행하는 단계를 포함하는, 구조체 특성 측정 방법.
19. 제 1 절 내지 제 18 절 중 어느 한 절에 있어서,
상기 특성은 선폭인, 구조체 특성 측정 방법.
20. 리소그래피 프로세스의 성능을 측정하는 데에 사용되기 위한 계측 장치로서,
1-100 nm의 범위에 복수 개의 파장을 포함하는 방사선 빔을 생성하기 위한 조사 시스템;
기판 상에 형성된 주기적 구조체를 조사 방향을 따라 방사선으로 조사하도록, 상기 조사 시스템과 함께 동작가능한 기판 지지체로서, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 기판 지지체; 및
상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하기 위한 검출 시스템을 포함하는, 계측 장치.
21. 제 20 절에 있어서,
주기적 구조체에 투영되면 방사선 빔은 10 μm 미만, 선택적으로 5 μm 미만의 크기(extent)를 가지는, 계측 장치.
22. 제 20 절 또는 제 21 절에 있어서,
상기 방사선 빔은 1 μm 미만, 선택적으로 500 nm 미만의 최소 직경으로 형성될 수 있는, 계측 장치.
23. 제 21 절 또는 제 22 절에 있어서,
상기 기판에 평행한 방향에 대한 상기 조사 방향은 조절가능하고, 상기 방사선 빔의 직경은 상기 주기적 구조체 상에 투영될 때의 빔의 치수를 조절하도록 대응하여 조절가능한, 계측 장치.
24. 제 20 절 내지 제 23 절 중 어느 한 절에 있어서,
조사 방향 및 기판에 평행한 방향 사이의 각도로 규정되는 입사각은 적어도 5° 내지 45°의 값으로 설정될 수 있는, 계측 장치.
25. 제 20 절 내지 제 24 절 중 어느 한 절에 있어서,
상기 방사선 빔은 소스 빔을 제 1 및 제 2 차원 양자 모두에서 포커싱함으로써 생성되는, 계측 장치.
26. 제 25 절에 있어서,
상기 빔을 제 1 및 제 2 차원 양자 모두에서 포커싱하기 위하여 이차원 만곡형 반사기가 제공되는, 계측 장치.
27. 제 20 절 내지 제 26 절 중 어느 한 절에 있어서,
상기 방사선 빔을 생성하기 위해 사용되는 조명 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 조명 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 계측 장치.
28. 제 20 절 내지 제 27 절 중 어느 한 절에 있어서,
반사된 방사선의 스펙트럼을 검출하기 위해 사용되는 검출 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 검출 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 계측 장치.
29. 제 20 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 조사 방향은 상기 기판의 평면 상에 투영될 때 상기 제 1 방향에 대해 비-제로 방위각을 규정하고, 상기 방위각은 상기 기판을 장착해제(demounting)하지 않고 조절가능한, 계측 장치.
30. 제 20 절 내지 제 29 절 중 어느 한 절에 있어서,
상기 계측 장치는, 상기 주기적 구조체에 의해 회절된 방사선의 비-제로 회절 차수를 검출하기 위한 검출기를 더 포함하고, 상기 비-제로 회절 차수는 상기 주기적 구조체에 의해 스펙트럼으로 확산되는, 계측 장치.
31. 제 20 절 내지 제 30 절 중 어느 한 절에 있어서,
상기 기판 지지체는 자동화된 웨이퍼 핸들러로부터 반도체 웨이퍼를 수용하도록 구성되는, 계측 장치.
32. 제 20 절 내지 제 31 절 중 어느 한 절에 있어서,
상기 계측 장치는, 검출된 반사 방사선을 나타내는 신호를 처리하여 상기 주기적 구조체의 특성을 결정하기 위한 처리 시스템을 더 포함하는, 계측 장치,
33. 디바이스 제조 방법으로서,
리소그래피 프로세스를 사용하여 패터닝 디바이스로부터의 패턴을 기판 상에 전사하는 단계로서, 상기 패턴은 적어도 하나의 주기적 구조체를 형성하는, 단계;
상기 리소그래피 프로세스의 하나 이상의 파라미터에 대한 값을 결정하도록, 상기 주기적 구조체의 하나 이상의 특성을 측정하는 단계; 및
측정된 특성에 따라, 상기 리소그래피 프로세스의 후속 동작에 정정을 적용하는 단계를 포함하고,
상기 주기적 구조체의 특성을 측정하는 단계는, 제 1 절 내지 제 19 절 중 어느 한 절의 방법에 의해 특성을 측정하는 단계를 포함하는, 디바이스 제조 방법.
34. 제 33 절에 있어서,
상기 기능성 디바이스 패턴은 50 nm 미만, 선택적으로 20 nm 미만의 임계 치수를 가진 제품 피쳐를 규정하는, 계측 장치.
35. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 회절된 방사선의 스펙트럼을 검출하는 단계로서, 비-제로 회절 차수는 상기 주기적 구조체에 의해 상기 스펙트럼으로 확산되는, 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
36. 제 35 절에 있어서,
상기 특성은 비대칭인, 구조체 특성 측정 방법.
37. 제 35 절 또는 제 36 절에 있어서,
상기 단계 (c)는 상이한 패터닝 단계들에서 형성된 주기적 구조체의 부분들 사이의 오버레이의 척도를 계산하는 단계를 더 포함하는, 구조체 특성 측정 방법.
38. 제 36 절 또는 제 37 절에 있어서,
상기 특성은 비대칭이고 상기 주기적 구조체는 둘 이상의 패터닝 단계에 의해 하나 이상의 층 내에 형성된 격자인, 구조체 특성 측정 방법.
39. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-150 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하는 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
40. 리소그래피 프로세스의 성능을 측정하는 데에 사용되기 위한 계측 장치로서,
1-150 nm의 범위에 복수 개의 파장을 포함하는 방사선 빔을 생성하기 위한 조사 시스템;
기판 상에 형성된 주기적 구조체를 조사 방향을 따라 방사선으로 조사하도록, 상기 조사 시스템과 함께 동작가능한 기판 지지체로서, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 기판 지지체; 및
상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하기 위한 검출 시스템을 포함하는, 계측 장치.
41. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
(a) 조사 방향을 따라 방사선 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-150 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향인, 조사하는 단계;
(b) 상기 주기적 구조체에 의해 회절된 방사선의 스펙트럼을 검출하는 단계로서, 비-제로 회절 차수는 상기 주기적 구조체에 의해 상기 스펙트럼으로 확산되는, 단계, 및
(c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
비록 물리적 레티클의 형태인 패터닝 디바이스가 설명되었지만, 본 명세서에서 "패터닝 디바이스"라는 용어는, 예를 들어 프로그래밍가능한 패터닝 디바이스와 함께 사용되도록 디지털 형태로 패턴을 포함하는 데이터 제품을 포함한다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용에 대하여 이루어졌지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
리소그래피 장치와 관련하여 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
    (a) 조사(irradiation) 방향을 따라 방사선의 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향이며, 상기 방사선의 빔은 상기 기판 상에서 10 μm 미만의 스폿 크기를 가지는, 조사하는 단계;
    (b) 상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하는 단계, 및
    (c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
  2. 제 1 항에 있어서,
    상기 기판에 평행한 방향에 대한 상기 조사 방향은 상기 스펙트럼을 검출하기 전에 조절되고, 상기 방사선의 빔의 직경은 상기 주기적 구조체 상에 투영될 때의 빔의 치수(extent)를 조절하도록 대응하여 조절되는, 구조체 특성 측정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선의 빔을 생성하기 위해 사용되는 조명 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경(gaseous environment)에 유지되며, 상기 저압 가스상 환경은 상기 조명 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 구조체 특성 측정 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    반사된 방사선의 스펙트럼을 검출하기 위해 사용되는 검출 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 검출 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 구조체 특성 측정 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 조사 방향은 상기 기판의 평면 상에 투영될 때 상기 제 1 방향에 대해 비-제로 방위각(azimuthal angle)을 규정하는, 구조체 특성 측정 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    단계 (b)는 상기 주기적 구조체에 의해 회절된 방사선의 비-제로 회절 차수를 검출하는 단계를 더 포함하고, 상기 비-제로 회절 차수는 상기 주기적 구조체에 의해 스펙트럼으로 확산되는, 구조체 특성 측정 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 특성은 비대칭(asymmetry)인, 구조체 특성 측정 방법.
  8. 리소그래피 프로세스의 성능을 측정하는 데에 사용되기 위한 계측 장치로서,
    1-100 nm의 범위에 복수 개의 파장을 포함하는 방사선의 빔을 생성하기 위한 조사 시스템;
    기판 상에 형성된 주기적 구조체를 조사 방향을 따라 방사선으로 조사하도록, 상기 조사 시스템과 함께 동작가능한 기판 지지체로서, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향이고, 상기 방사선의 빔은 상기 기판 상에서 10 μm 미만의 스폿 크기를 가지는, 기판 지지체; 및
    상기 주기적 구조체에 의해 반사된 방사선의 스펙트럼을 검출하기 위한 검출 시스템을 포함하는, 계측 장치.
  9. 제 8 항에 있어서,
    상기 기판에 평행한 방향에 대한 상기 조사 방향은 조절가능하고, 상기 방사선의 빔의 직경은 상기 주기적 구조체 상에 투영될 때의 빔의 치수를 조절하도록 대응하여 조절가능한, 계측 장치.
  10. 제 8 항 또는 제 9 항에 있어서,
    상기 방사선의 빔을 생성하기 위해 사용되는 조명 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 조명 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 계측 장치.
  11. 제 8 항 또는 제 9 항에 있어서,
    반사된 방사선의 스펙트럼을 검출하기 위해 사용되는 검출 시스템이 진공 환경에 하우징되고, 상기 기판은 저압 가스상 환경에 유지되며, 상기 저압 가스상 환경은 상기 검출 시스템의 진공 환경을 교란하지 않고 새 기판을 로딩 및 언로딩하도록 개방가능한 하우징에 의해 형성되는, 계측 장치.
  12. 제 8 항 또는 제 9 항에 있어서,
    상기 조사 방향은 상기 기판의 평면 상에 투영될 때 상기 주기적 구조체가 주기성을 가지는 제 1 방향에 대해 비-제로 방위각을 규정하고, 상기 방위각은 상기 기판을 장착해제(demounting)하지 않고 조절가능한, 계측 장치.
  13. 제 8 항 또는 제 9 항에 있어서,
    상기 계측 장치는, 상기 주기적 구조체에 의해 회절된 방사선의 비-제로 회절 차수를 검출하기 위한 검출기를 더 포함하고, 상기 비-제로 회절 차수는 상기 주기적 구조체에 의해 스펙트럼으로 확산되는, 계측 장치.
  14. 디바이스 제조 방법으로서,
    리소그래피 프로세스를 사용하여 패터닝 디바이스로부터의 패턴을 기판 상에 전사하는 단계로서, 상기 패턴은 적어도 하나의 주기적 구조체를 형성하는, 단계;
    상기 리소그래피 프로세스의 하나 이상의 파라미터에 대한 값을 결정하도록, 상기 주기적 구조체의 하나 이상의 특성을 측정하는 단계; 및
    측정된 특성에 따라, 상기 리소그래피 프로세스의 후속 동작에 정정을 적용하는 단계를 포함하고,
    상기 주기적 구조체의 특성을 측정하는 단계는, 제 1 항 또는 제 2 항의 방법에 의해 특성을 측정하는 단계를 포함하는, 디바이스 제조 방법.
  15. 리소그래피 프로세스로 제조된 구조체의 특성을 측정하는 방법으로서,
    (a) 조사 방향을 따라 방사선의 빔으로 주기적 구조체를 조사하는 단계로서, 상기 주기적 구조체는 상기 리소그래피 프로세스에 의해 기판 상에 형성된 것이고 적어도 제 1 방향에서 주기성을 가지며, 상기 방사선은 1-100 nm의 범위에서 복수 개의 파장을 가지고, 상기 조사 방향은 상기 기판에 평행한 방향으로부터 2°가 넘는 방향이며, 상기 방사선의 빔은 상기 기판 상에서 10 μm 미만의 스폿 크기를 가지는, 조사하는 단계;
    (b) 상기 주기적 구조체에 의해 회절된 방사선의 스펙트럼을 검출하는 단계로서, 비-제로 회절 차수는 상기 주기적 구조체에 의해 반사된, 상기 주기적 구조체에 의한 상기 스펙트럼으로 확산되는, 단계, 및
    (c) 상기 주기적 구조체의 특성을 결정하도록, 검출된 스펙트럼을 나타내는 신호를 처리하는 단계를 포함하는, 구조체 특성 측정 방법.
KR1020177026545A 2015-03-25 2016-03-22 계측 방법, 계측 장치 및 디바이스 제조 방법 KR102010941B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15160786.8 2015-03-25
EP15160786 2015-03-25
PCT/EP2016/056254 WO2016150957A1 (en) 2015-03-25 2016-03-22 Metrology methods, metrology apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20170117593A KR20170117593A (ko) 2017-10-23
KR102010941B1 true KR102010941B1 (ko) 2019-08-14

Family

ID=52727023

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177026545A KR102010941B1 (ko) 2015-03-25 2016-03-22 계측 방법, 계측 장치 및 디바이스 제조 방법

Country Status (8)

Country Link
US (1) US10067074B2 (ko)
JP (2) JP6602388B6 (ko)
KR (1) KR102010941B1 (ko)
CN (1) CN107430352B (ko)
IL (1) IL253833B (ko)
NL (1) NL2016472A (ko)
TW (1) TWI609250B (ko)
WO (1) WO2016150957A1 (ko)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
DE112016001982T5 (de) 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
NL2017510A (en) * 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
WO2017186491A1 (en) 2016-04-28 2017-11-02 Asml Netherlands B.V. Hhg source, inspection apparatus and method for performing a measurement
CN109074000B (zh) 2016-05-04 2020-12-25 Asml荷兰有限公司 用于生成照射辐射的方法和设备
KR20190015553A (ko) 2016-06-09 2019-02-13 에이에스엠엘 네델란즈 비.브이. 계측 장치
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
NL2019954A (en) * 2016-12-13 2018-06-18 Asml Netherlands Bv Radiation source apparatus and method, lithographic apparatus and inspection apparatus
CN110249268B (zh) 2017-02-02 2021-08-24 Asml荷兰有限公司 量测方法和设备以及关联的计算机产品
EP3361315A1 (en) * 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
EP3367165A1 (en) 2017-02-23 2018-08-29 ASML Netherlands B.V. Methods of aligning a diffractive optical system and diffractive optical element
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
KR102336379B1 (ko) * 2017-04-14 2021-12-08 에이에스엠엘 네델란즈 비.브이. 측정 방법
IL270977B2 (en) 2017-05-31 2024-01-01 Asml Netherlands Bv Methods and device for predicting performance of measurement method, measurement method and device
EP3410211A1 (en) 2017-05-31 2018-12-05 Stichting VU Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
WO2018233946A1 (en) 2017-06-19 2018-12-27 Asml Netherlands B.V. METHODS AND APPARATUS FOR OPTICAL METROLOGY
EP3418806A1 (en) 2017-06-19 2018-12-26 ASML Netherlands B.V. Methods and apparatus for optical metrology
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
EP3435161A1 (en) 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
EP3444675A1 (en) * 2017-08-14 2019-02-20 ASML Netherlands B.V. Optical detector
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
CN113168115A (zh) 2018-12-03 2021-07-23 Asml荷兰有限公司 制造器件的方法
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
CN113196176A (zh) 2018-12-21 2021-07-30 Asml荷兰有限公司 用于计量的方法和装置
EP3686673A1 (en) 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
KR102287914B1 (ko) * 2019-08-23 2021-08-09 주식회사 스킨어세이 분광기 및 이미징 장치
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
WO2021043516A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Assembly for collimating broadband radiation
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
KR20220057590A (ko) 2019-10-17 2022-05-09 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 관련 계측 장치
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
CN114651214A (zh) 2019-11-05 2022-06-21 Asml荷兰有限公司 测量方法和测量设备
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
CN114902139A (zh) 2019-12-18 2022-08-12 Asml荷兰有限公司 用于校正集成电路和关联设备的制造中的测量值的方法
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
US20230288818A1 (en) 2020-07-21 2023-09-14 ASML Netherlands B,V. An illumination source and associated metrology apparatus
CN116057472A (zh) * 2020-08-05 2023-05-02 Asml荷兰有限公司 制造过程的偏差确定方法、校准方法、检查工具、制造***和样品
EP3951500A1 (en) * 2020-08-05 2022-02-09 ASML Netherlands B.V. A fabrication process deviation determination method, calibration method, inspection tool, fabrication system and a sample
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
KR20230110738A (ko) 2020-11-30 2023-07-25 에이에스엠엘 네델란즈 비.브이. 고차 고조파 생성에 기반한 계측 장치 및 관련 방법
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
WO2022174991A1 (en) 2021-02-17 2022-08-25 Asml Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230171945A (ko) 2021-04-26 2023-12-21 에이에스엠엘 네델란즈 비.브이. 세정 방법 및 연관된 조명 소스 메트롤로지 장치
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
WO2022233546A1 (en) 2021-05-06 2022-11-10 Asml Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
WO2022253526A1 (en) 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology measurement method and apparatus
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
EP4356194A1 (en) 2021-06-14 2024-04-24 ASML Netherlands B.V. An illumination source and associated method apparatus
DE102021206514A1 (de) * 2021-06-24 2022-12-29 Carl Zeiss Smt Gmbh Messanordnung zur optischen Vermessung eines Testobjekts
EP4194908A1 (en) 2021-12-10 2023-06-14 ASML Netherlands B.V. Aperture and method
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003058212A1 (en) * 2001-12-28 2003-07-17 Nikon Corporation Spectral measuring device
JP2006060214A (ja) * 2004-08-16 2006-03-02 Asml Netherlands Bv 角度分解した分光リソグラフィの特徴付けの方法および装置
JP2013522610A (ja) 2010-03-18 2013-06-13 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用の検査
JP2014186035A (ja) * 2014-06-13 2014-10-02 Hitachi High-Technologies Corp 欠陥検査方法および欠陥検査装置

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61162738A (ja) * 1985-01-11 1986-07-23 Hitachi Ltd 異物検査方法
DE69836734D1 (de) * 1997-02-20 2007-02-08 Univ California Plasmon-schwingteilchen, methode und vorrichtung
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP2002005823A (ja) * 2000-06-19 2002-01-09 Dainippon Screen Mfg Co Ltd 薄膜測定装置
JP4039599B2 (ja) * 2000-07-28 2008-01-30 株式会社リガク X線装置
US6556652B1 (en) * 2000-08-09 2003-04-29 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-rays
US6809809B2 (en) * 2000-11-15 2004-10-26 Real Time Metrology, Inc. Optical method and apparatus for inspecting large area planar objects
JP3958134B2 (ja) 2002-07-12 2007-08-15 キヤノン株式会社 測定装置
AU2003300005A1 (en) 2003-12-19 2005-08-03 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
JP2005291859A (ja) * 2004-03-31 2005-10-20 Nec Compound Semiconductor Devices Ltd 微細構造測定方法、微細構造測定装置、および、微細構造解析システム
JPWO2006030627A1 (ja) * 2004-09-17 2008-05-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
TWI269870B (en) * 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
DE102005027697A1 (de) * 2005-06-15 2006-12-28 Infineon Technologies Ag EUV-Reflexionsmaske und Verfahren zu deren Herstellung
US20070146708A1 (en) 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7480050B2 (en) 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7570358B2 (en) 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
WO2010115686A1 (en) * 2009-04-07 2010-10-14 Asml Netherlands B.V. Method and apparatus for inspection in lithography
CN102498441B (zh) * 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
DE102009041405B4 (de) 2009-09-14 2020-08-20 Carl Zeiss Smt Gmbh Maskeninspektionsmikroskop mit variabler Beleuchtungseinstellung
NL2005733A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Methods and apparatus for determining electromagnetic scattering properties and structural parameters of periodic structures.
NL2005821A (en) * 2009-12-23 2011-06-27 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and method of applying a pattern to a substrate.
JP5785419B2 (ja) * 2010-04-07 2015-09-30 エーエスエムエル ネザーランズ ビー.ブイ. 光学要素を冷却する方法、リソグラフィ装置、およびデバイスを製造する方法
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
JP5830089B2 (ja) * 2010-06-15 2015-12-09 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvリソグラフィ用のマスク、euvリソグラフィシステム、及びマスクの結像を最適化する方法
US20120236994A1 (en) 2010-06-25 2012-09-20 Andreas Hieke Method of characterizing integrated memory structures
WO2012022584A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
DE102010047050B4 (de) 2010-09-29 2021-09-16 Carl Zeiss Smt Gmbh Verfahren zur Charakterisierung einer Struktur auf einer Maske und Vorrichtung zur Durchführung des Verfahrens
DE102011121532A1 (de) 2010-12-23 2012-06-28 Carl Zeiss Sms Gmbh Verfahren zur Charakterisierung einer Struktur auf einer Maske und Vorrichtung zur Durchführung des Verfahrens
NL2008110A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Measuring method, measuring apparatus, lithographic apparatus and device manufacturing method.
US9116834B2 (en) * 2011-03-23 2015-08-25 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
WO2012171687A1 (en) * 2011-06-14 2012-12-20 Asml Netherlands B.V. Inspection for lithography
WO2012175253A1 (en) * 2011-06-21 2012-12-27 Asml Netherlands B.V. Inspection method and apparatus
NL2008928A (en) * 2011-07-06 2013-01-08 Asml Netherlands Bv Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures.
NL2009004A (en) * 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2009066A (en) * 2011-08-03 2013-02-05 Asml Netherlands Bv Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus.
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
JP5857714B2 (ja) * 2011-12-16 2016-02-10 富士通セミコンダクター株式会社 パターン測定方法及び半導体装置の製造方法
KR101704591B1 (ko) * 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
JP5873212B2 (ja) * 2012-04-12 2016-03-01 エーエスエムエル ネザーランズ ビー.ブイ. 位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法並びに光学要素
NL2010458A (en) * 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
WO2013158593A1 (en) * 2012-04-18 2013-10-24 Kla-Tencor Corporation Critical dimension uniformity monitoring for extreme ultra-violet reticles
DE102012011315B4 (de) 2012-06-04 2018-12-27 Carl Zeiss Ag Mikroskop und Verfahren zur Charakterisierung von Strukturen auf einem Objekt
WO2014026819A2 (en) * 2012-08-16 2014-02-20 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
NL2011706A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method and apparatus for determining lithographic quality of a structure.
JP6170694B2 (ja) * 2013-03-06 2017-07-26 株式会社荏原製作所 測長計の設置構造
US9733572B2 (en) * 2013-03-20 2017-08-15 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
WO2015032586A1 (en) * 2013-09-09 2015-03-12 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
JP6412163B2 (ja) 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
KR101918251B1 (ko) 2014-06-02 2018-11-13 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003058212A1 (en) * 2001-12-28 2003-07-17 Nikon Corporation Spectral measuring device
JP2006060214A (ja) * 2004-08-16 2006-03-02 Asml Netherlands Bv 角度分解した分光リソグラフィの特徴付けの方法および装置
JP2013522610A (ja) 2010-03-18 2013-06-13 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用の検査
JP2014186035A (ja) * 2014-06-13 2014-10-02 Hitachi High-Technologies Corp 欠陥検査方法および欠陥検査装置

Also Published As

Publication number Publication date
KR20170117593A (ko) 2017-10-23
CN107430352A (zh) 2017-12-01
JP2019191605A (ja) 2019-10-31
JP6602388B6 (ja) 2020-01-15
IL253833B (en) 2019-08-29
TW201702750A (zh) 2017-01-16
US20160282282A1 (en) 2016-09-29
JP2018509609A (ja) 2018-04-05
NL2016472A (en) 2016-09-30
CN107430352B (zh) 2020-01-21
US10067074B2 (en) 2018-09-04
JP6602388B2 (ja) 2019-11-06
WO2016150957A1 (en) 2016-09-29
IL253833A0 (en) 2017-09-28
TWI609250B (zh) 2017-12-21

Similar Documents

Publication Publication Date Title
KR102010941B1 (ko) 계측 방법, 계측 장치 및 디바이스 제조 방법
US10101671B2 (en) Metrology methods, metrology apparatus and device manufacturing method
US10254644B2 (en) Metrology methods, metrology apparatus and device manufacturing method
US10555407B2 (en) Metrology methods, radiation source, metrology apparatus and device manufacturing method
US10222709B2 (en) Metrology method, metrology apparatus and device manufacturing method
US10634490B2 (en) Determining edge roughness parameters
US10725387B2 (en) Determining an edge roughness parameter of a periodic structure
TW202024801A (zh) 光學系統、度量衡裝置及相關方法
EP3467589A1 (en) Determining edge roughness parameters
KR20240016285A (ko) 계측 측정 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant