TW201135804A - Methods and apparatus for treating exhaust gas in a processing system - Google Patents

Methods and apparatus for treating exhaust gas in a processing system Download PDF

Info

Publication number
TW201135804A
TW201135804A TW099141967A TW99141967A TW201135804A TW 201135804 A TW201135804 A TW 201135804A TW 099141967 A TW099141967 A TW 099141967A TW 99141967 A TW99141967 A TW 99141967A TW 201135804 A TW201135804 A TW 201135804A
Authority
TW
Taiwan
Prior art keywords
gas
pressure
end line
flow
line
Prior art date
Application number
TW099141967A
Other languages
English (en)
Other versions
TWI533353B (zh
Inventor
Colin John Dickinson
Mehran Moalem
Daniel O Clark
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201135804A publication Critical patent/TW201135804A/zh
Application granted granted Critical
Publication of TWI533353B publication Critical patent/TWI533353B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/92Chemical or biological purification of waste gases of engine exhaust gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/12Methods and means for introducing reactants
    • B01D2259/122Gaseous reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Combustion & Propulsion (AREA)
  • Biomedical Technology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Treating Waste Gases (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

201135804 •、發明說明: 【發明所屬之技術領域】 本發明實施例係關於電子裝置的製造,且特定言之, 係關於減弱來自電子裝置製造系統之排放物的系統與方 法0 【先前技術】 遠端電漿源(RPS)或線上電漿源已用於全氟化碳 (PFC)及全球暖化氣體(GWG)的減弱。例如,可在基材製 程系統之真空系統之前端管路中的高真空泵(例如渦輪 泵)與預抽泵(例如乾真空泵)之間安裝Rps或ips。 然而’目前沒有用來控制前端管路(以及因而Rps或ips ) 之減弱的方法及
之操作壓力以最佳化PFC及(或)gWG 設備。因此’本案發明人提供用於處理製程系統中之排 放氣體的改良方法與設備。 【發明内容】
積在輕合至該前端管路之一 糸統之前端管路中之排放 施例中’可提供方法及設 與改良減弱破壞效率。在 設備以供應間歇式或連續 物’該等有害的沉積物可 電漿減弱裝置的表面上。 201135804 些實施例中,清潔氣流可與製程同步,以使清潔及 基材產能最大化。 在-些實施例中’提供選擇性隨著工具製程配方啟動 壓力控制或清潔的方法,以增強系統的效率、產量、及 工作時間。在—些實施例中,亦提供符合成本效益以提 供精確且可重複之試劑輸送速率控制的方法。可提供試 劑注入以及前端管路氣體控制的結合,以管理電漿即時 位於-最:操作範圍(PFC或全球暖化氣體減弱)。 在一些實施例中,-種用於清潔基材製程系統的設備 可包括:-電漿源,其耦合至一製程腔室的—前端管路; 一試劑源,其耦合至該電i 电水Z愿之上游的该前端管路;以 刖端&路氣體左入套組,其耦合至該製程前端管路 :可控制地輸送一氣體至該製程前端管路,其中該前端 &路注入套組包括:_ ® aa 壓力5周即益,以設定一前端管路 氣體輸送壓力設^點;—壓力計,以監視該前端管路氣 體輸送壓力;以及一囿々 文丨^ 疋之孔,以在該壓力調節器之 該壓力5又疋點下提供P Art .'jfc ΑΛ >^· hji. 「從供已知流里的軋體。在一些實施例 中’前端管路氣體注入套組可進一步包括—開閉(ο·) ㈣閥’以選擇性打開或關閉至該製程前端管路之該前 端管路氣體的流動。在一些實施例中,前端管路氣體注 :套組可進—步包括一壓力轉換器,以提供對應至前端 管路氣體之壓力的訊號;以及一控制器’其耦合至來自 該壓力轉換器的訊號,以提供一回饋迴路來控制前端管 路氣體的壓力。 201135804 二=施例中,一種用於處理—基材 之!:放氣體的設備,包含:-電漿源,其麵 電將源之ί至的一前端管路;—試劑源,其耦合至該 電水源之上游的該前端管 _ „ ^ έ0 ., Α 以及一前端管路氣體注入 套、、且,其耦&至該前端管路以 前端管路,其中琴前端^ 制地輸这-氣體至該 *中“管路注入套組包括:一壓力調節 =以設定-前端管路氣體輸送Μ力設定點;以及一第 堅力汁,其經耦合以監視該前端管路之上游之該氣體 的一輸送壓力。 在一些實施例中,-種用於處理—基材製程系統之一 前端管路中之排放氣體的方法,包含以下步驟:流動一 排放氣體及一試劑氣體至一基材製程系統的一前端管路 中二從該排放氣體與該試劑氣體形成—電漿,以將該排 放氣體轉化為可減弱之副產物氣體;以及注入一非反應 性氣體至該前端管路中’以在該前端管路中維持用於該 排放氣體的轉化的一期望壓力。 本發明之其他及進一步實施例描述於下文。 【實施方式】 本文提供用於處理基材製程系統之前端管路中之排放 軋體的方法與設備。本發明實施例可有利地提供改良之 全氟化碳(PFC)及全球暖化氣體(GWG)的減弱效率。本發 明實施例藉由(例如)控制前端管路的壓力使及(或) 201135804 分解 改良減弱效率。舉例而:多個試劑氣體反應)最佳化而 持在期望的操作範圍::=控力维 路的成劑氣體來調整壓力。 知吕 舉例而言,尤__ 電漿可幵m二貫施例中’包括試劑(例如水)之 同時/ Μ前端管路中或輸送至製程前端管路, 維持電聚。在…: 最佳化地形成及(或) (. 二只施例中,提供使用工具製程配方 ㈣州而選擇性依序啟動厂堅力控制的方法,以增進*** :效率°在—些實施例中’亦提供符合成本效益以提供 :月確且可重複之試劑輸送速率控制的方法。可提供試劑 注入控制以及前端管路壓力控制的結合,以即時管理電 聚在最佳的操作範圍内(用於PFC或gwg減弱)。 本發明實施例使線上(inline)電漿減弱系統(例如,如 第1圖所繪示)可維持最佳的減弱效率,同時使前端管 路壓力控制氣體(例如,N2或下文討論的其他氣體)、電 水。式劑(例如,水或其他下文討論的試劑)、及電力的使 用減到最小。此最佳化可藉由即時感應回饋(範例包含 壓力、流量、及溫度)、對應至配方中各個步驟的預定操 作條件、或來自製程工具及氣體控制訊號的輸入來選擇 H ^理。受控、後電漿(pOSt-plasma)前端管路氣體注入 使局部管路之壓力控制為可能,以最佳化減弱效能。或 者,前端管路氣體可被添加為預電漿(pre_plasma)(例 如’ RPS或IPS的上游)。然而,預電漿前端管路氣體之 7 201135804 添加將需要相當多的電漿能量以***前端管路氣體及正 被減弱的氣體(例如PFC及GWG ),且可能導致壓力回 頭干擾(crosstalk)製程腔室。 後電4刖端官路氣體注入的其他優點包括後減弱排放 物之溫度的下降。由於排放管路中的壓力及氣流可隨著 配方中的各個步驟改變,本發明可調整而因應配方中的 各個步驟或針對各個操作條件的變化以維持最佳的減弱 效率同時使步驟之公用設施及能量的使用減到最少。 此控制了藉由對製程配方設定時序同步的參數、藉由即 時感應器回饋、或藉由監視工具或氣體面板控制訊號來 達成。右減弱工具係處於停機、空轉、預防性維修、或 旁通模式,可使用製程腔室與減弱裝置之間的智慧介面 使能量及公用設施使用減到最少。舉例而言,智慧介面 T調正電及功率之供應輸出至一適當的功率位準,以維 持目標效能。電漿功率供應及反應管(或其他部件)的壽 命取決於操作能量位準。在高於反應器内減弱或清潔氣 體所需之電漿能量位準下操作而浪費功率不僅浪費能 堇,也縮短必須維修間的間隔時間。再者,減弱KM或 線上減弱裝置之智慧介面可.計算及回報:工作時間、1 統警告或錯誤、操作效率、操作時數、及使用的公用設 施’並可即時或累積性回報局部的碳足跡效能,或心 至中央監視及回報系統。本發明的進一步實施例包括試 劑輸送系統,其經設計並與前端管路氣體注入控制整 合,以將注入排放預電漿之試劑維持一期望量,以維持
S 8 201135804 PFC或GWG的最佳減弱效率並使試劑消耗減到最少。 除了製程排放物之外,用於前端管路減弱的電漿源可 利用含氫或含氧的試劑(例如水蒸氣),以在前端管路中 使啦及GWG減弱。第i圖為根據本發明一些實施例 顯不典型電漿前端管路減弱系統的管線及儀器配置圖。 電漿前端管路減㈣統可_合至產生或放^要減弱的 PFC或GWG的較大製程系統或可為其一部分。此等系統 的非限制範例包括(例如)使用在半導體、顯示器、太 陽能、或發光二極體(LED)製造製程的基材製程系統。 舉例而言,第丨圖繪示根據本發明一些實施例之基材 製程系統100的示意圖。如第i圖所#,排放導管或前 端管路102 (例如,耦合至製程腔室或工具以從腔室或 工具(如在HH所示)攜帶排放物)可提供與前端管路 2線上耦合的電漿源} 〇4 (例如遠端電漿源(RM))。電 漿源1〇4可實施為一徑向注入器(例如RPS )或一製程 :通裝置(例b IPS’其中電聚在製程排放物流通的導 &中產生)。電漿源104可為任何耦合至前端管路102並 適於在其中發展電裂的電聚源、(例如在前端管路或鄰近 前端管路及引入至前端管路中適於產生電漿(例如電容 耦合、感應耦合、遠端或駐波電漿)的遠端電漿源、線 亡電漿源、或其他電漿源)。第1-3圖的範例為示意性表 且二。卩件已經為了簡化而省略。舉例而言,高速 真空泵(例如渦輪泵等)可設置在腔t 101及前端管路 102之間,以從腔室1〇1移除排放氣體。 201135804 忒劑輸送系統1 〇6可耦合至前端管路丨〇2以輸送試劑 (例如水瘵氣)至電漿源i 04上游之前端管路i 。試 劑輸送系統106可包括試劑源丨〇5 (或多個試劑源(未 圖不)),试劑源i 05透過一或多個闊耦合至前端管路 1〇2。舉例而言,在一些實施例中,閥配置圖(valve scheme) 可包括控制閥1 〇3及流量控制裝置丄〇7,控制閥i 〇3作 為從試劑源105釋出試劑的開閉開關,流量控制裝置1〇7 用於控制進入前端管路1〇2之試劑的流速。舉例而言, 如第1圖所示,流量控制裝置1 可設置在前端管路丄〇2 與控制閥103之間。控制閥103可為任何適合的控制閥, 例如電磁閥等^流量控制裝置1〇7可為任何適合的主動 或被動流量控制裝置’舉例而言,諸如固定式孔口、質 量流量控制器、針閥等。在一些實施例中,試劑輸送系 統1 〇6可提供水蒸氣。在一些實施例中,試劑輸送系統 106可提供氧(ο。。然而,使用〇2作為引入前端管路ι〇2 的試劑可能導致Oh (非常毒)的形成。因此,可主要 在允許局部調節及(或)原地具有適當安全適用的區域 中使用〇2作為試劑。 替代性或結合言之,可透過耦合至腔室1〇1的來源(例 如從乳體面板等)提供試劑或額外的試劑至前端管路 1 02舉例而s,在―些實施例中,輕合至製程腔室⑻ 的氣體面板及控㈣統U1可用來供應清潔氣流至電聚 源1〇4上游的製程前端管路102。清潔氣體有利於移除 可月b堆積在電漿源i 〇4之表面(例如電漿源工之反應 10 201135804 管的管腔(lumen))上的有害沉積物。 清潔氣流可為間歇式或連續式。清潔氣流可與製程同 步以使清潔最佳化及基材產量最大化。可最經濟地藉由 現有製程的氣體面板及控制系統通過腔室(如圖示)或 選擇性通過腔室旁通管路(如虛線113所示)在電榮源 1〇4之前或上游來提供清潔氣體,其中氣體面板及控制 系統可供應任何清潔氣體(例如NF3、〇2、八卜C〇F2、 H2〇、F2等)。除了提供最佳的物種至電漿源104以供清 潔之外:可以間歇式或連續式添加清潔氣體以利於管路 壓力的官理’而對電漿減弱反應器管之沉積物提供最佳 的清潔。儘管僅緣示於第1圖,氣體面板及控制系統⑴ 及其使用方法可併入本文所述的任何實施例。 前端管路氣體注入套组丨Λ 答,、且108可耦合至電漿源104之上 游或下游的前端管路102 (在第】圖中繪示於下游),以 可控制地依期望提供前端管路氣Μ (例如_、氬 (ΑΟ、或清潔乾燥空氣(CDA))至前端管㈣2中,以控 制前端管路1 02内的龎六。+ 的壓力在-些實施例中,所添加的 前端管路氣體也可控制裝置下游的排放氣體溫度。降低 前端管路的溫度可顯著地降低前端管路及其他後減弱彈 性體或金屬密封件、及製程菜部件的損壞。 在一些實施例中,如篦】m〜_ 弟1圖所不,前端管路氣體注入 套組108可包括前·端管路 ^ ^ , s峪矾體源1〇9,接著是用於設定 氣體輸送之壓力設定點的 w 宏刀碉即态11 〇,隨後接著是 用於打開及關閉流量的栌 里π控制閥112,以及最後是流量控 201135804 制裝置114,使得可在壓力調節器lio的特定設定點下提 供已知流量的氣體。控制閥112可為任何適當的控制 閥’例如上述討論的控制闊103 »流量控制裝置1丨4可 為任何適當的流量控制裝置,例如上述討論的流量控制 裝置107。在一些實施例中,流量控制裝置丨丨4為固定 的孔口《在一些實施例中,前端管路氣體注入套組1〇8 可進一步包括壓力計i 16,其係(例如)設置於壓力調 節器110與流量控制裝置i 14之間。舉例而言,壓力計 U6可用來量測流量控制裝置114上游之套組ι〇8中的壓 力舉例而S ’在壓力言十116所量測的壓力可為控制裝 ★置(例如下文的控制器118)利用,以藉由控制壓力調 節器110來設定流量控制裝置114上游的壓力。 在-些實施例中,可僅在來自試劑輸送系統的試劑流 動時經由系統(例如,控制旨118)控制控制閥112將氣 體打開,使得氣體的使用量減到最少。舉例而言,如試 劑輪送系、统106之控制閥103與套組108之控制闕112 之間的虛線所示,控制_ 112可回應控制閥103的打開 (或關閉)而打開(或關閉)。在一些實施例中,試劑可 僅在電漿減弱早疋打開並受指令以減弱該製程時流動。 :了亡述實施例的方法之外’可使用替代的控制方法(例 質置流置控制器或流量控制針間)來取代固定尺寸的 :口。在一些實施例中,可採用時序延遲或預先啟動之 ::塵力或試劑添加控制,以使所得效能及電浆穩定性 取佳化。 12 201135804 前端管路102可耦合至真空泵或其他適合的泵送設備 (如在120所示),以從製程工具將排放物泵送至適當的 下游排放處置設備(例如減弱設備等)。在一些實施例 中’真空泵12〇可為預抽泵,例如乾機械果等。舉例而 言,真空泵120可具有可變的泵容量並可設定在期望的 位準’(例如)以在前端管路1〇2中控制壓力或提供額外 的控制。 控制器118可耦合至前端管路減弱系統以控制其操 作。可提供控制器118及將控制器118耦合至基材製程 系統100 @各種部件以控㈣操作。舉例而言,控制器 可根據本文教示來監視及(或)控制前端管路氣體注入 :組⑽、試劑輸送系統106、及(或)電襞源104。在 些貫施例中,控制器可為耦合至前端管路1 02之製程 腔至101之控制器(未圖示)的一部分或耦合至該控制 器’以控㈣製程腔室中運行之製程及配方對應的前端 管路減弱系統。 控制器118彳包括中央處理單元(cpu)、記憶體及支援 電路。控制器118可直接控制基材製程系統100,或透 過與特定製程腔室及(或)支援系統部件相關聯的電腦 (或控制益)來控制基材製程系、统⑽。控制器11 $可 為任何-種形式之可用於工業設備中以控制各種腔室及 子處理益的通用雷1 用電細。控制器118的記憶體或電腦可讀 媒體可為輕易可#々1 勿了喂s己憶體中的一或多者,諸如隨機存取 記憶體(RAM)、唯讀記憶體(龐)、軟碟、硬碟,光學儲 13 201135804 ^媒體(例如光碟或數位影音磁碟)、快閃驅動機或任何 肩式的數位儲存器(本地或遠朴支援電路係耗合 至CPU而以習知的方式支援處理器。這些電路包括快 取、電源供應器、時脈電路、輸入/輸出電路及子系統等。 本文所述的發明方法可以軟料式儲存在記憶體中,直 中軟體常式可經執行或調用而以上述方式控制基材製程 系統⑽的操作。軟體常式也可藉由相較由控制器118 之CPU所控制的硬碟係為遠端設置的第二咖(未圓示) 來儲存及(或)執行。 ' 定、測試等而暫時連接真空計。在—些實施例中,真空 閥122及埠124可在電㈣1()4與前端管路氣體注入套 第1圖中的配置係示範性, 來供應前端管路氣體、試劑、 他部件可被包括在基材製程系 能性、效率、使用便利性等。 中,以及如第2圖所示,真空 空埠(blanked port))可耦合至 且可提供其他不同的部件 及(或)電漿。此外,其 統丨〇〇中以提供增進的功 舉例而言’在一些實施例 閥122及埠124 (例如n2 刖端管路102以供初期設 組108之間輕合至前端管路1〇2。 在-些實施例中,如第3圖所示,壓力計126 (例如 真空壓力轉換器等)可提供於前端管路1〇2之中,壓力 計126係提供壓力訊號以供(例如)回饋迴路中的控制 器118使用’而控制壓力控制閥"〇的調節壓力或流量 控制裝置114的流速(例如在使用質量流量控制器的實 施例中),使壓力源104 (例如前端管路1〇2中的壓力) 14 201135804 些實施例中,(例如) ,控制器可調整真空 之操作壓力的動態控制為可能。在一 當真空泵120具有可變的泵容量時 126所量測的前端管路壓 栗120的系谷置以回應壓力計 力β 第4圖.繪示用於處理製程系統之前端管路中之排放氣 體之方法400的流程圖。舉例而言,方法可利用於 本文所述之基材製程系統1〇〇的任何實施例。排放氣體 可包括各種來自在腔室1G1中實行之製程的排放氣體產 物,例如未反應的製葙裔_、μ ^ 表紅孔體從一或多個製程氣體的交 互作用及(或)製程氣體與基材的交互作用所產生的副 產物氣體等。可受益於本文揭示之方法的排放氣體包括 (但不限於)PFC及gw。方法4〇〇開始於步驟4〇2, 將排放氣體及試劑氣體流入基材製程系統(例如基材製 程系統1〇〇)之前端管路(例如前端管路1〇2)。舉例而 言,排放氣體可起源於製程腔室101及藉由實行諸如蝕 刻、沉積、清潔等之任何數量的製程所產生。試劑氣體 可藉由(例如)試劑輸送系統106注入前端管路1〇2中。 在404 ’可從排放氣體及試劑氣體形成電漿,以將排 放氣體轉化為可減弱的副產物氣體。舉例而言,減弱製 程可藉由下列.的簡單化學式來例示,例如,對於透過電 漿分解的CF4及NF3 :
CF4 + 2 H2〇 = C〇2 + 4HF
CF4 + H20 = COF2 + 2HF 4NF3 + 6H20 = 2N2 + 12HF + 302 s 15 201135804 PFC或其他王球暖化氣體(上述實施例中# cF4及 NF3)係經反應,以分解全球暖化氣體並將氟轉化為 (其係容易地藉由傳統濕式洗滌技術來洗滌)。 遠端電漿源或線上電漿源將能量輸送至電聚的能力係 取決於遠端電衆源之電源電路與操作製程條件的匹配。 因此’若真空前端管路中的壓力太低(這是由於併入真 空系統之設計中的低製程流量或大安全係數),不可能輸 送王邰的功率至電漿,且因此可非期望地降低及 GWG的破壞效率。 因此,以及在406處,非反應性氣體可注入前端管路 1〇2中以在前端管路中維持期望的壓力,而使排放氣體 的轉化最佳化。舉例而言,非反應性氣體可藉由前端管 路氣體注人套乡且1G8注人。在―些實施例中,例如第丄 圖所例不,可/主人第—量的非反應性氣體以回應流入前 端s路1 02中的第二量試劑氣體。舉例而言’控制器可 控制套組1G8之控制閥i 12的開啟或關閉,以回應試劑 輸达系、统106之控制閥1〇3的開啟或關帛。或者,非反 應性氣體的注入可回應前端管路i 〇2的壓力位準。舉例 而δ,藉由壓力計126指示之前端管路1〇2的壓力位準 可藉由控制器11 8監視。控制器可調整前端管路丨〇2中 之非反應性氣體的流速以回應所監視的壓力位準。舉例 而吕,控制器11 8可調整壓力調節器i 1〇或流量控制裴 置114中之一或多者,以在前端管路1〇2中維持期望 壓力位準。 16 201135804 在一些實施例中,方法4n 山 匕括將清潔氣體流入前 端營路102中,以移除在 F欲乳體轉化期間所沉積的材 料。舉例而言,材料γ纟卩帛_ / & & J月*5累積在則端管路102的一或多 個表面上或電漿設備1〇4的 ^ ^ L ^ ^ 衣面上。清潔氣體的流動可 月b發生在(例如)基材製程车 *糸、,先1〇〇中之實行期望數量 的製程運轉數之間°舉例而言,期望數量的製程運轉數 :包括介於各個基材處理之間、介於期望數量之基材的 處理之間等的製程運轉數。 冉者可藉由任何數量的來 源k供 >月潔氣體,例如透禍洛辨二上w J边過氣體面板等從試劑輸送系統 106、或從製程腔室1〇1來提供清潔氣體。 在-些實施例中’在操作中,為了將電聚減弱最佳化, 可個別地或關聯彼此來控制前端管路壓力及藉由電聚減 弱之水與目標物種的化學劑量比。本發明實施例允許對 這些參數微調及設定固定之設定點。在一些實施例中, 可微調電路以透過預先界定的配方來控制水之比例及局 部麗力。在一些實施例中’來自製程控制器的訊號可用 來設定條件,或來自腔室或製程控制器而至氣體盒的訊 號可用來控制這些設定點。在一些實施例令,除了控制 =端管㈣力及使用電競減弱之水與目標物種的化學劑 里比之外’也可為了廷些製程配方的不同步驟/部份來微 調電榮功率及RF匹配特性。除了藉由回饋感應器即時控 制、或藉由前端管路壓力的控制以及水之化學劑量比控 制之外,在一些實施例中’可改變功率供應器之功率輪 出,以為需要更高功率來達成期望破壞移除效率之製程
S 17 201135804 步驟對電漿添加更多的功率,並降低不需要如此多功率 以達成期望DRE之步驟的功率消耗。 舉$ Μ,根據本發明實施例之製程的測試結果顯示 & f路氣m套組至系統可有利地使遠端電浆 源中的遷力受到控制’以使輸送至電漿的功率最佳化。 本㈣-些實施例可使用壓力調節器將氮輸送壓力設定 至-已知的設定點’隨後藉由控制閥打開及關閉流動, ^最、、B又疋適备尺寸之孔口,使得在壓力調節器之特 定設定點下提供已知流量的氣體。氮之開閉(〇n/〇ff) 控制閥可受到系統的控制以當水蒸氣流動時才打開氣 體:使得氮的使用量減到最少。在一些實施例中,可僅 當遠端電漿減弱單元打開並受指令減弱製程時而流動水 蒸氣流。除了上述實施例的方法之外,可使用替代的氮 控制方法(例如f量流量控制器或流量控制針閥),來取 代固定尺寸的孔π。在—些例子中,為了最佳化操作條 件,可使用延遲或優先控制訊號來起始或停止試劑或前 端管路壓力流量控制。 兩減弱效率亦取決於水流速的鈐谂 γ々·《·迷的精確设定。水輸送系統 包括在真空條件下操作的水梓 。 Ν僧使仔水從周圍溫度至約 35 C而在低,皿,弗騰。水錢流速的控制可藉由流量控制 裝置107的調整來判定。為了使此閥的精確控制及設定 的可重複性成為可能,已併用一饵*私叫, u 1汗用一微米針閥(micr〇meter needle valve),使得可為柱令a 士 馬特疋配方、工具設定及(或)操 作條件輸入(dial in)及準確指索^中# 千雉才曰疋δ又疋點。一旦界定了應用
S 18 201135804 設定點,未來為此應用所製造的單 』為工廠預先設定 至期望設定。 儘官上述是關於排放生產線減弱系 & 尔玩’本發明實施例 也可應用至減弱、生產線清潔、 此至β潔電漿應用。 因此,本文已提供在基材製程系統之前端管路中處理 排放氣體的方法與設備。本發明實施例可有利地提供全 氣化碳㈣)及全球暖化氣體(_)改良之減弱效率。本 發明實施例可藉由控制前端管 利月s路的壓力以最佳化pFC及 (或)GWG的分解(藉由盥一 ,,^ 、次夕個式劑氣體反應)而 改良減弱效率。舉例而言, I ·τ 制壓力以維持壓力 在期望操作範圍之内,或 才刀 飞J回應注入至前端管路中之一 或多個試劑氣體來調整壓力。 =然前耗針對本發明實_,可在不㈣本發 本範,的情況下發展出其他及進—步的實施例。 【圖式簡單說明】 藉由參照上述實施例與發明内容之說 本發明之前述特徵,1中 七、田了解 麸麻、幸咅沾e 、 刀係說明於伴隨之圖式中。 、應庄意的疋,伴隨之圖 、值°兄月了本發明的典型膏祐 例,因而不應視為對发 尘只施 ^ 疇之限制,亦即本發明亦可呈 有其他等效貫施方式。 第1圖繪示根據本發明 第2圖繪示根據本發明 些貫施例的基材製程系統 些實施例的基材製程系統 19 201135804 第3圖繪示根據本發明一些實施例的基材製程系統。 第4圖繪示根據本發明一些實施例之用於處理排放氣 體之方法的流程圖。 ’ 為了使其容易了解,已儘可能指定使用相同的元件符 號來代表各圖中的相同元件。可預期一個實施例中的: 些兀件和特徵結構可有益於結合在其他實施 T J rfri An 【主要元件符號說明】 100基材製程系統 102前端管路 1〇4電漿源 1 〇6試劑輸送系統 108前端管路氣體注入套 I 1 0壓力調節器 II 2控制閥 11 4流量控制裝置 11 8控制器 122真空閥 126壓力計 402步驟 406步驟 101腔室/工具 103控制閥 105試劑源 1 0 7流量控制裝置 組1〇9前端管路氣體源 111控制系統 113虛線 116壓力計 120真空泵 124埠 400方法 404步驟 20

Claims (1)

  1. 201135804 七、申請專利範圍: 1. 一種用於處理一基材製程系統之一前端管路中之排放 氣體的設備,該設備包含· —電漿源,其梯合至一製程腔室的一前端管路; 一試劑源,其柄合至該電漿源之上游的該前端管路; 以及 一前端管路氣體注入套組,其耦合至該前端管路以可 控制地輪送_氣體至該前端管路,其中該前端管路注入 套組包括: 〜壓力調節器,以設定一前端管路氣體輸送壓力 設定點; ―第—壓力計,直經耦合以監視該前端管路之上 游之診* a ^ p μ軋體的一輸送壓力。 2. 如申含备車 、 明寻利範圍第丨項之設備,其中該前端管路氣體 入套組更包含下列至少一者: &量控制裝置’以在該壓力調節器之該壓力設定點 下提供〜P 4 — 匕知k量的該氣體;或 钟…工1閥’以選擇性打開及關閉至該前端管路之該前 礼體的流動。 3.如申後 、μ寻利範圍第2項之設備,其中該前端管路氣體 ^ ^ , "匕3 :—流量控制裝置,以在該壓力調節器 S 21 201135804 之該壓力設定點下提供一已知流量的該氣體,且其中 該壓力計係設置於該壓力調節器與該流量控制裝置之 間’以監視該流量控制裝置上游之該氣體的該輸送壓 力。 4. 如申請專利範圍第3項之設備,其中該流量控制裝置 係一固定孔口。 5. 如申睛專利範圍第3項之設備’其中該流量控制裝置 包含一質量流量控制器或一質量流量計。 6. 如申請專利範圍第2項之設備,其中該前端管路氣體 注入套組包含:一控制閥,以選擇性打開及關閉至該 刖端管路之該前端管路氣體的流動,且其中該控制閥 包含一電磁閥。 7. 如申請專利範圍第1 _2項之任一項的設備,更包含: 一第二壓力計,其耦合至該前端管路以監視一前端管 路壓力。 8 ·如申請專利範圍第7項之設備’更包含·* 一控制器,以控制該前端管路壓力。 9.如申請專利範圍第8項之設備,其中該控制器基於該 s 22 201135804 第二壓力計所量測之該前端管路壓力來調整該壓力調 節器。 ίο‘如申請專利範圍第8項之設備,其中該控制器控制藉 由該試劑源提供至該前端管路之試劑的流速以及藉由 該前端管路氣體注入套組提供之該氣體的流速。 11 ‘如申請專利範圍第7項之設備,更包含: 一真空泵’具有耦合至該前端管路的可變泵容量。 12. 如申π專利範圍第丨丨項之設備,其中該控制器基於 該第一壓力計所量測之該前端管路壓力來調整該真空 泵的該泵容量。 13. 一種用於處理一基材製程系統之一前端管路中之排 放氣體的方法,該方法包含以下步驟: 流動—排放氣體及一試劑氣體至—基材製程系統的一 前端管路中; 尸從該排放氣體與該試劑氣體形成—電焚,以將該排放 乳體轉化為可減弱之副產物氣體;以及 注入一非反應性氣體至該前端管路中,以在該前端管 路中維持用於該排放氣體的轉化的—期望壓力。 14. 如申請專利範圍第13項之方法,其中注人該非反應 23 201135804 性氣體的步驟更包含以下步驟: 注入一第一量的該非反應氣體以回應流動至該前端管 路中之一第二量的該試劑氣體。 15.如申請專利範圍第13項之方法’其中注入該非反應 性氣體之步驟更包含以下步驟: 監視該前端管路中的壓力位準;以及 調整進人4刖端官路之該非反應性氣體的流速以回應 所監視到的壓力位準。 13-15項之任 16.如申請專利範圍第 以下步驟: —項的方法,更包含 以移除在該排放氣 流動一清潔氣體至該前端管路中 體之轉化期間沉積的材料。 如甲請專利範圍 4- ^ χύι ^ 1峨玎料係沉積 在δ亥刖鳊S路之一或多個表面上或沉 ^ , r ... 一 積在與該前端管 路為線上(ln-llne)設置之一電漿設備的表面上。 ,其中流動該清潔氣 量的製程運轉數之間 18.如申請專利範圍第16項之方法 體的步驟更包含以下步驟: 在該基材製程系統中實行期望數 流動該清潔氣體。 24 % 201135804 1 9.如申請專利範圍第1 6項之方法,其中流動該清潔氣 體的步驟更包含以下步驟: 從耦合至該前端管路之一製程腔室將該清潔氣體流 動至該前端管路中。
TW099141967A 2009-12-03 2010-12-02 用於處理製程系統中之排放氣體的方法與設備 TWI533353B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26639609P 2009-12-03 2009-12-03
US12/957,539 US8747762B2 (en) 2009-12-03 2010-12-01 Methods and apparatus for treating exhaust gas in a processing system

Publications (2)

Publication Number Publication Date
TW201135804A true TW201135804A (en) 2011-10-16
TWI533353B TWI533353B (zh) 2016-05-11

Family

ID=44082235

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099141967A TWI533353B (zh) 2009-12-03 2010-12-02 用於處理製程系統中之排放氣體的方法與設備

Country Status (5)

Country Link
US (4) US8747762B2 (zh)
KR (1) KR101804520B1 (zh)
CN (2) CN105762097B (zh)
TW (1) TWI533353B (zh)
WO (1) WO2011068936A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI643833B (zh) * 2013-03-14 2018-12-11 美商索利迪亞科技股份有限公司 用於消耗二氧化碳之材料的固化系統及使用其之方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009100163A1 (en) * 2008-02-05 2009-08-13 Applied Materials, Inc. Methods and apparatus for operating an electronic device manufacturing system
WO2009100162A2 (en) * 2008-02-05 2009-08-13 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes
WO2009120722A2 (en) * 2008-03-25 2009-10-01 Applied Materials, Inc. Methods and apparatus for conserving electronic device manufacturing resources
GB2497273B (en) * 2011-11-19 2017-09-13 Edwards Ltd Apparatus for treating a gas stream
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
KR101875421B1 (ko) * 2014-03-06 2018-07-06 어플라이드 머티어리얼스, 인코포레이티드 중원자들을 함유하는 화합물들의 플라즈마 저감
JP2017510453A (ja) * 2014-03-06 2017-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ・フォアライン・サーマル・リアクタ・システム
US20170172352A1 (en) * 2014-05-09 2017-06-22 Vacuvita Holding B.V. Container for delaying spoilage of a consumable product and methods for using the container
US10115571B2 (en) * 2014-06-04 2018-10-30 Applied Materials, Inc. Reagent delivery system freeze prevention heat exchanger
JP6811709B2 (ja) * 2014-09-12 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体プロセシング装置放出物の処理のためのコントローラ
WO2016048526A1 (en) * 2014-09-25 2016-03-31 Applied Materials, Inc. Vacuum foreline reagent addition for fluorine abatement
JP2018502451A (ja) * 2014-12-16 2018-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水素または水素含有ガスとともに水蒸気を使用するプラズマ軽減
CN106298421A (zh) * 2015-06-23 2017-01-04 应用材料公司 用以消除来自离子注入工艺的自燃副产物的方法和装置
JP6391171B2 (ja) * 2015-09-07 2018-09-19 東芝メモリ株式会社 半導体製造システムおよびその運転方法
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10337105B2 (en) 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
WO2017132186A1 (en) * 2016-01-27 2017-08-03 Mahawali Imad Semiconductor processing system
CN109155233B (zh) * 2016-04-15 2023-05-23 应用材料公司 利用氧等离子体清洁循环的等离子体减量固体回避法
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
JP7021237B2 (ja) * 2017-02-09 2022-02-16 アプライド マテリアルズ インコーポレイテッド 水蒸気および酸素の反応物を利用するプラズマ軽減技術
US11236021B2 (en) 2017-12-22 2022-02-01 Goodrich Corporation Mitigating pyrophoric deposits in exhaust piping during SIC CVI/CVD processes by introducing water vapor into an outlet portion of a reaction chamber
KR102054411B1 (ko) * 2017-12-28 2019-12-10 (주) 엔피홀딩스 배기유체 처리장치 및 기판 처리 시스템
KR102078584B1 (ko) * 2017-12-28 2020-02-19 (주) 엔피홀딩스 배기유체 처리장치 및 기판 처리 시스템
US10889891B2 (en) 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
EP3814284A4 (en) 2018-08-29 2022-03-23 MKS Instruments, Inc. OZONATED WATER DELIVERY SYSTEM AND METHOD OF USE
WO2020172179A1 (en) * 2019-02-22 2020-08-27 Applied Materials, Inc. Reduction of br2 and cl2 in semiconductor processes
US20220161014A1 (en) * 2019-04-09 2022-05-26 Nxstage Medical, Inc. Line Holder
WO2020247708A1 (en) 2019-06-06 2020-12-10 Qolibri, Inc. Liquid filter apparatus for gas/solid separation for semiconductor processes
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US11931682B2 (en) 2020-09-22 2024-03-19 Edwards Vacuum Llc Waste gas abatement technology for semiconductor processing
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation
US20240068093A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. System and method for controlling foreline pressure

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US5758680A (en) * 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
US6888040B1 (en) 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
SG99928A1 (en) 2000-08-18 2003-11-27 Air Prod & Chem Sub-atmospheric gas delivery method and apparatus
US6576573B2 (en) 2001-02-09 2003-06-10 Advanced Technology Materials, Inc. Atmospheric pressure plasma enhanced abatement of semiconductor process effluent species
JP3421329B2 (ja) * 2001-06-08 2003-06-30 東京エレクトロン株式会社 薄膜形成装置の洗浄方法
US7160521B2 (en) * 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
JP2004223365A (ja) 2003-01-21 2004-08-12 Rohm Co Ltd ガス処理装置
US20050250347A1 (en) * 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
KR100706792B1 (ko) 2005-08-01 2007-04-12 삼성전자주식회사 펌프 유닛을 가지는 반도체 소자 제조 장치 및 상기 펌프유닛을 세정하는 방법
WO2007088302A1 (fr) * 2006-02-01 2007-08-09 Alcatel Lucent Procede de gravure anisotropique
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8636019B2 (en) 2007-04-25 2014-01-28 Edwards Vacuum, Inc. In-situ removal of semiconductor process residues from dry pump surfaces
WO2009100162A2 (en) * 2008-02-05 2009-08-13 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI643833B (zh) * 2013-03-14 2018-12-11 美商索利迪亞科技股份有限公司 用於消耗二氧化碳之材料的固化系統及使用其之方法

Also Published As

Publication number Publication date
US11110392B2 (en) 2021-09-07
CN105762097B (zh) 2019-02-19
WO2011068936A2 (en) 2011-06-09
US8747762B2 (en) 2014-06-10
US9597634B2 (en) 2017-03-21
KR20120098861A (ko) 2012-09-05
WO2011068936A3 (en) 2011-10-27
KR101804520B1 (ko) 2017-12-04
CN105762097A (zh) 2016-07-13
US20110135552A1 (en) 2011-06-09
TWI533353B (zh) 2016-05-11
US20190282956A1 (en) 2019-09-19
CN102640255B (zh) 2016-05-11
US10722840B2 (en) 2020-07-28
CN102640255A (zh) 2012-08-15
US20140291139A1 (en) 2014-10-02
US20170173521A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
TW201135804A (en) Methods and apparatus for treating exhaust gas in a processing system
KR102549682B1 (ko) 펌핑 배기 시스템 내에서 폐기물 축적을 감소시키기 위한 시스템들 및 방법들
US20120015525A1 (en) Method of cleaning a thin film forming apparatus, thin film forming method, and thin film forming apparatus
TWI730759B (zh) 利用水蒸氣和氧試劑之電漿減量技術
TW201443972A (zh) 脈衝化遠程電漿方法和系統
JP2018050041A5 (zh)
CN104160481A (zh) 分离式泵送方法、装置和***
WO2017132186A1 (en) Semiconductor processing system
TWI756720B (zh) 用於控制處理系統的方法
JP7403592B1 (ja) オゾンガス供給システム
CN103839768B (zh) 减少正硅酸乙酯炉体中颗粒杂质的方法
JP4994424B2 (ja) 基板処理装置及び半導体装置の形成方法
JP4342559B2 (ja) 基板処理装置及び半導体装置の形成方法
CN117987802A (zh) 一种化学气相沉积设备及其真空与尾气处理***
CN105174224A (zh) 电晕式臭氧发生器冷却装置
JP2008277843A (ja) 半導体製造装置のクリーニング方法
CN201442991U (zh) 一种多晶硅干法腐蚀装置
JP2006210671A (ja) 半導体製造装置のクリーニング方法
Luo et al. Effluent Management for Non-Oxidizing Plasma Strip Processes
KR20050073201A (ko) 반응장치
KR20180113039A (ko) 세정 효율이 향상된 박막 증착물 세정장치
KR20090081834A (ko) 퇴적물 저감부를 가진 상압, 플라즈마 기상 증착 및식각장치
JP2006332700A (ja) 半導体製造装置、半導体製造システム及び基板処理方法