TW201106032A - Ultra-high multiplex analytical systems and methods - Google Patents

Ultra-high multiplex analytical systems and methods Download PDF

Info

Publication number
TW201106032A
TW201106032A TW099102410A TW99102410A TW201106032A TW 201106032 A TW201106032 A TW 201106032A TW 099102410 A TW099102410 A TW 099102410A TW 99102410 A TW99102410 A TW 99102410A TW 201106032 A TW201106032 A TW 201106032A
Authority
TW
Taiwan
Prior art keywords
substrate
array
optical
layer
illumination
Prior art date
Application number
TW099102410A
Other languages
Chinese (zh)
Other versions
TWI525353B (en
Inventor
Denis Zaccarin
Paul Lundquist
Peiqian Zhao
Frank Zhong
Stephen Turner
Yan-Qiao Huang
Pezhman Monadgemi
Ravi Saxena
Annette Grot
Aaron Rulison
Original Assignee
Pacific Biosciences California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/567,526 external-priority patent/US8247216B2/en
Application filed by Pacific Biosciences California filed Critical Pacific Biosciences California
Publication of TW201106032A publication Critical patent/TW201106032A/en
Application granted granted Critical
Publication of TWI525353B publication Critical patent/TWI525353B/en

Links

Landscapes

  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Micromachines (AREA)

Abstract

Apparatus, systems and methods for use in analyzing discrete reactions at ultra high multiplex with reduced optical noise, and increased system flexibility. Apparatus include substrates having integrated optical components that increase multiplex capability by one or more of increasing density of reaction regions, improving transmission of light to or collection of light from discrete reactions regions. Integrated optical components include reflective optical elements which re-direct illumination light and light emitted from the discrete regions to more efficiently collect emitted light. Particularly preferred applications include single molecule reaction analysis, such as polymerase mediated template dependent nucleic acid synthesis and sequence determination.

Description

201106032 六、發明說明: 【發明戶斤屬之技術領域3 相關申請案之交互引述 本申請案主張於2009年7月7日提出申請之第61/ 223,628號美國暫准專利申請案的優先權,全部揭露内容就 所有目的在此完整地併入本案以為參考資料。 發明領域 本發明係有關於超高多工分析系統及方法。 C先前技術3 發明背景 在分析系統中,增加一既定系統在任一時間所進行的 分析數目之能力,係增加該系統的功用與延長使用期限之 一關鍵部份。尤其,藉由增加一既定系統之分析的多工因 子,可增加該系統的總處理量,藉而增加其效用及同時減 少該項用途的相關成本。 在光學分析中,多工之增加通常造成困難度之增加, 因其可能需要更加複雜的光學系統、增加照明或偵測能力 及新的反應圍阻策略。在一些情況下,系統係尋求增加數 倍及甚至級數規模之多工,其使得該等考量更加複雜。同 樣地,在特定情況下,待使用該系統的分析環境具高度敏 感性,藉此可能不容許一既定系統的不同分析之間之變 異。該等目標通常與單純地使該系統更大及具更高功率之 蠻力方式相衝突,因該等步驟通常產生甚至更嚴重的後 果,如反應之間的串擾、因訊號較低與雜訊較高中之任一 201106032 或二者所產生的訊號雜訊比降低等。因此,在其等所欲的 分析上提供具有顯著增加的多工之分析系統將為有利的, 及特別是在高度敏感的反應分析上,及在許多情況下,同 時將增加多工的負面效應降至最低。本發明符合該等需求 與多種其他需求。 【發明内容】 發明概要 本發明提供用於分析基板上的反應區域之非常高多工 分析之方法、基板及系統,及特別是用於進行高度敏感、 產生低訊號的反應諸如單分子螢光分析之多工系統,如單 分子即時核酸定序技術中所用者。 就一方面而言,本發明係用於製造包含一微鏡陣列的 一基板之一種方法,其中各微鏡係與一種零模式波導配 套,其包括:a)提供具有一頂面之一透明基板;b)在該透明 基板製作圖案與蝕刻,以形成具有頂部與側面的突出構造 之一陣列;c)澱積一覆蓋材料,藉此該突出構造的頂部包 含一覆蓋;d)經由該覆蓋形成一孔徑陣列,藉此各突出構 造的頂部包含一孔徑;及e)澱積一反射性澱積材料,藉此 各突出構造的側面包含一反射層;藉此該突出構造的陣列 包含一微鏡陣列,及位於各突出構造頂部之孔徑包含一種 零模式波導。 在一些實施例中,在透明基板製作圖案與蝕刻之步驟 b),係在澱積該覆蓋材料與形成該孔徑陣列之步驟c)與d) 之後進行。在一些實施例中,澱積該覆蓋材料與形成該孔 201106032 案與蝕刻之步驟 V陣列之步驟c)與d),係在透明基板製作圖 b)之後進行。 仕—些實施例中,該透明基板包含一種矽石式材 在一此音女> / · ! 二貫知例中,該透明基板包含熔融矽石。在_些實施 例中,該覆蓋材料包含鋁。在一些實施例中,該 積材料包含|g。 ㈣瓜 在—些實施例中,該透明基板的蝕刻作用包含一種反 14離子_方法。在—些實施例中,該突出構造包括圓 隹形角錐形或拋物面形。在一些實施例中,該突出構造 包合截圓錐。在一些實施例中,截圓錐的頂部直徑係介於j Ί t米與1 〇微米之間。在一些實施例中,基板上的突出構造 數目係介於1,000與1,000,000之間。在一些實施例中,基板 上的突出構造數目係介於1〇,〇〇〇與5〇〇,〇〇〇之間。 就一方面而言,本發明係一種方法,其步驟包括:a) 提供具有一頂面之一透明基板,在其上配置具有一孔徑陣 列之一金屬覆蓋層;b)在該覆蓋層上澱積第一光阻;c)在第 一光阻製作圖案,以產生剩餘光阻區域之一陣列,各剩餘 光阻區域包括包含一孔徑之一金屬覆蓋層區域;d)蝕除該 覆蓋層區域及透明基板,藉此形成一突出構造陣列,藉此 包含金屬覆蓋層區域之該等剩餘光阻區域係位於突出構造 的頂部;e)澱積一金屬澱積層,藉此該金屬澱積層係與突 出構造頂部之金屬覆蓋層區域接觸;〇澱積第二光阻;g) 在第二光阻製作圖案’以暴露位於突出構造頂部的金屬殿 積層區域;及h)處理來自步驟(g)的構造,以移除金屬澱積 201106032 層的暴露區域。 就一方面而言,本發明係一種方法,其步驟包括:a) 提供具有一頂面之一透明基板,在其上配置具有一孔徑陣 列之一金屬覆蓋層;b)在該金屬覆蓋層上澱積一保護塗 層;c)在該保護層上澱積第一光阻;d)在第一光阻製作圖 案,以產生剩餘光阻區域之一陣列,各剩餘光阻區域包括 包含一孔徑之一金屬覆蓋層區域;e)#除保護層、覆蓋層 及透明基板之區域,藉此形成一突出構造陣列,藉此包含 金屬覆蓋層區域之該等剩餘光阻區域係位於突出構造的頂 部;0移除第一光阻;g)澱積一金屬澱積層,藉此該金屬澱 積層係與突出構造頂部之金屬覆蓋層區域接觸;h)澱積一 個第二光阻;i)在第二光阻製作圖案,以暴露位於突出構造 頂部的金屬澱積層區域;及j)處理來自步驟⑴之構造,以移 除金屬澱積層的暴露區域及移除該保護塗層。 就一方面而言,本發明係一種方法,其步驟包括:a) 提供具有一頂面之一透明基板,在其上配置具有一孔徑陣 列之一金屬覆蓋層;b)在該金屬覆蓋層上殿積一犧牲層; c)在該犧牲層上澱積第一光阻;d)在第一光阻製作圖案,以 產生剩餘光阻區域之一陣列,各剩餘光阻區域包括包含一 孔徑之一金屬覆蓋層區域;e)蝕除犧牲層、覆蓋層及透明 基板之區域,藉此形成一突出構造陣列,藉此包含金屬覆 蓋層區域之該等剩餘光阻區域係位於突出構造的頂部;〇 移除第一光阻;g)處理該基板以將該犧牲層後拉;h)澱積一 金屬澱積層,藉此該金屬澱積層係與突出構造頂部之金屬 201106032 覆蓋層區域接觸;i)處理來自步驟(h)之構造以釋出犧牲 層,藉此移除該犧牲層上的金屬澱積層部份及暴露該等孔 徑。在一些實施例中,該犧牲層包含鍺或矽。 就一方面而言,本發明係一種方法,其步驟包括:a) 提供具有一頂面之一透明基板,在其上配置具有一孔徑陣 列之一金屬覆蓋層;b)在該金屬覆蓋層上澱積一保護層; c)在該保護層上澱積第一光阻;d)在第一光阻製作圖案,以 產生剩餘光阻區域之一陣列,各剩餘光阻區域包括包— 孔徑之一金屬覆蓋層區域;e)触除保護層、覆蓋層及透明 基板之區域,藉此形成一突出構造陣列,藉此包含金屬覆 蓋層區域之該等剩餘光阻區域係位於突出構造的頂部;〇 移除第一光阻與保護層;g)在至少部份覆蓋金屬覆蓋層區 域之突出構造頂部上,產生一柱狀陣列;h)澱積一金屬澱 積層,藉此該金屬澱積層係與突出構造頂部之金屬覆蓋層 區域接觸;及i)處理來自步驟(h)之構造以移除柱狀陣列, 藉此移除一部份的金屬澱積層。 就一方面而言,本發明係一種方法,其步驟包括:a) 提供具有一頂面之一透明基板;b)在該透明基板上澱積第 一光阻;c)在第一光阻製作圖案,以產生剩餘光阻區域之 一陣列;d)蝕除透明基板區域,藉此形成一突出構造陣列, 藉此該等剩餘光阻區域係位於突出構造的頂部;e)移除第 一光阻;f)在該透明基板上澱積一金屬澱積層;g)在該金屬 澱積層上澱積一硬式塗層;h)將硬式塗層的表面拋光,以 暴露透明基板對應於突出構造頂部之部份;i)澱積一金屬覆 201106032 蓋層;j)在該金屬覆蓋層上澱積一光阻;及k)在該光阻製作 圖案及蝕刻該覆蓋層,以在該突出構造的頂部產生一孔徑 陣列。 就一方面而言,本發明係一種方法,其包括:a)在該 透明基板上澱積一犧牲層;b)藉由選擇性地蝕刻該犧牲層 而產生一奈米柱陣列;c)在透明基板製作圖案與蝕刻,以 形成具有頂部與側面的突出構造之一陣列,其中該奈米柱 係配置於該突出構造的頂部上;d)在步驟c)中所產生的構造 上殿積一金屬層;e)在該金屬層上殿積一平坦化層;〇將該 平坦化層平坦化,以暴露該突出構造頂部上的金屬層及暴 露該奈米柱;及g)處理該基板以移除奈米柱,藉此在突出 構造的頂部上形成一孔徑陣列。 在一些實施例中,該犧牲層包含錯或石夕。在一些實施 例中,該平坦化層包含一種PECVD氧化物或旋塗式玻璃。 在一些實施例中,該平坦化作用包括CMP。在一些實施例 中,該金屬層包含紹。 就一方面而言,本發明係包—微鏡陣列之一基板, 其中各微鏡包含一種零模式波導。 就一方面而言,本發明係配置於具有一頂面與一底面 之一透明基板的一頂面上之零模式波導的一陣列,其中該 透明基板包含一微鏡陣列,其中各微鏡將發射自零模式波 導的光改向離開該透明基板的底面。 就一方面而言,本發明係一微鏡陣列,其包括:a)具 有包含一形體陣列的一上表面之一透明基板,其中該形體 201106032 的頂與側面包含一反射塗層及^延伸通過該反射塗層 ^-孔徑陣列,其中各形體的頂部包含至少一孔徑,其中 藉由。亥陣列壁上的反射塗層將自孔徑陣列發出的光改向。 在一些實施例中,該形體包含拋物面、圓錐、角錐、 截圓錐。在一些實施例中,該形體包含截圓錐。在-些實 也例中》亥形體包含頂部直徑介於約〇 5微米與約$微米之 間之截圓錐。在-些實施例中,該形體包含頂部直徑介於 勺1.5¼米與約4微米之間之截圓錐。在一些實施例中,該 幵> 體包3頂部直徑介於約2微米與約3微米之間之截圓錐。 在一些實施例中’該形體包含距垂直面的側壁角度介於5。 與40之間之截圓錐。在—些實施例中,該形體包含距垂直 面的側壁角度介於1〇。與3〇。之間之截圓錐。 就-方面而言’本發明係用於測量零模式波導陣列中 的分子事件之-系統,其包含:a)配置於具有—頂面與一 底面之-透明基板的一頂面上之零模式波導陣列,其中該 透明基板包含將歧向至零模式波導之―微·車列;b)_ 照明系統,其經由該透明基板的底面將照明光束提供至零 模式波導;其中該系統之構形係使得微鏡與照明光束交互 作用,藉此照明光束經歷建設性干擾,藉此在零模式波導 的光強度相對於其他照明區域而言係增加。 就一方面而言,本發明係用於測量零模式波導陣列中 的分子事件之一種方法,其包括;a)提供配置於具有—頂 面與-底面之-透明基板的—頂面上之零模式波導陣列, 其中該透明基板包含將光改向至零模式波導之一微鏡陣 201106032 列;及b)以照明光束之一陣列,經由該透明基板的底面照 明該零模式波導;其中該照明光束與微鏡交互作用,藉此 照明光束經歷建設性干擾,藉此在零模式波導的光強度相 對於無建設性干擾情況下之強度而言係增加。 就一方面而言,本發明係用於分析一種單分子的性質 之一種方法,其包括:a)將具光學特性的一種單分子配置 在零模式波導之孔徑内,其中該零模式波導係與一微鏡光 耦合;b)以照明光照明該零模式波導;c)以一偵測器收集自 零模式波導發出的光,其中藉由微鏡將所發出的光之至少 一部份改向至偵測器;及d)使用所收集之發出的光測定該 單分子的性質。 在一些實施例中,零模式波導與微鏡係各納入一個單 基板中。在一些實施例中,該基板包含各與一微鏡光柄合 之零模式波導陣列。 就一方面而言,本發明係用於測定有關一模板核酸分 子的序列資訊之一種方法,其包括:a)將一種聚合酶酵素、 一種模板核酸分子及一種引子配置在一種零模式波導内, 其中該零模式波導係納入亦包含一微鏡之一基板中,b)提 供用於聚合作用之核苷酸、試劑及輔因子,其中該聚合酶 酵素可接近用於聚合作用之核苷酸、試劑及輔因子,其中 聚合酶酵素、模板核酸分子、引子或核苷酸中之至少一者 包含一光學標記;c)提供該聚合酶酵素藉由納入核苷酸而 合成一增長的核酸股之條件;d)以照明光照明該零模式波 導以與該光學標記交互作用;e)以一偵測器收集自零模式 10 201106032 波導發出的光,其中藉由微鏡將所發出的光之至少一部份 改向至偵測器;及〇使用所收集之發出的光,以測定有關該 模板核苷酸的序列資訊。 就一方面而言,本發明係用於觀測自一基板所發出者 之一光學系統,其包含:a)具有欲入其中的一種分色鏡元 件與至少一個第一面、一個第二面及一個第三面之一種定 形的光學區塊;b)配置用以將照明光經由第一面而送入光 學區塊之照明光學元件,其中該照明光自所嵌入的分色鏡 元件反射,通過第二面而至包含一發光元件之一基板上; 及c)配置用以收集發光元件所發出的光之集光光學元 件,其中該發光元件所發出的光通過第二面、通過分色鏡 元件、離開第三面及進入該集光光學元件。 在一些實施例中,該照明光係自定形光學區塊的一面 内反射至該分色鏡元件上。在一些實施例中,該光係自第 二面反射。在一些實施例中,該第一、第二及第三面實質 上為平面。 . 在一些實施例中,該發光元件包含一種螢光元件。在 一些實施例中,該基板包含一反應區域陣列,其中之至少 一部份包含一發光元件。在一些實施例中,該基板包含一 種ZMW陣列。 在一些實施例中,該照明光學元件包含至少一雷射與 一照明光學元件串。在一些實施例中,該集光光學元件光 學系統包含將所發出的光送至一偵測器之一種集光光學元 件串。在一些實施例中,該光學區塊包含折射率介於1.3與 11 201106032 2.5之間之一種材料。在一些實施例中,該光學區塊包含二 氧化矽或氟化鈣。 就一方面而言,本發明係一種光學偵測系統,其包含: 在其上具有多個空間分離式反應區域之一基板;位於反應 區域與該光學系統之間的聚焦光學元件,以將來自各分離 式反應區域之光學訊號至少部份準直;及一光學系統,其 位置係將激發照明引導至配置於該基板上之多個分離式反 應區域及接收自該等反應區域所發出的光學訊號。 在一些實施例中,該聚焦光學元件係集成進入該基板 或與之連接。在一些實施例中,該聚焦光學元件包含多個 集成進入該基板之反射光學元件,各反射光學元件的位置 係將來自基板上之一分離的離散式反應區域之光至少部份 準直。在一些實施例中,該反射光學元件係選自拋物面鏡、 圓錐形鏡、分段式圓錐形鏡、截圓錐形鏡、部分拋物面鏡、 梯形鏡及角錐形鏡。在一些實施例中,該聚焦光學元件包 含集成進入該基板或與之連接之多個透鏡元件,該多個透 鏡元件中之各者的位置係將來自基板上之一分離的離散式 反應區域之光至少部份準直。 在一些實施例中,多個空間分離式反應區域係以每平 方公分至少100,000個分離式反應區域之密度配置於基板 表面上。在一些實施例中,該光學系統之構形係將激發照 明引導至基板上之至少10,〇〇〇個分離式反應區域及分別接 收來自其的光學訊號。在一些實施例中,該光學系統之構 形係將激發照明引導至基板上之至少50,000個分離式反應 12 201106032 該 上之至少 區域及分別接收來自其的光學訊號。在一些實施你丨中 光學系統之構形係將激發照明引導至基板 100,000個分離式反應區域及分別接收來自其的光 收集 及位 在一些實施例中,該光學系統包含其位置係用_ 來自基板上的分離式反應區域之光學訊號之—物鏡, 於基板與物鏡之間之一分色鏡,該分色鏡可透射來自基板 的光學訊號及反射激發照明,藉此激發照明並非實質上傳 送通過該物鏡。 就一方面而言,本發明係分析一基板上之多個離散式 反應區域之一種方法,其包括:提供在其上具有多個分離 式反應區域之一基板;提供集成進入該基板或與之連接之 聚焦光學元件,該聚焦光學元件分別將來自各個分離式反 應區域之光學訊號至少部份準直;照明該多個分離式反應 區域’以產生與該反應區域中的一反應相關聯之光學訊 號;及將藉由聚焦光學元件準直的光學訊號傳送至一偵測 器,以偵测該訊號。 就一方面而言,本發明係一分析系統,其包括:在其 上具有多個空間離散式反應區域之一基板;一激發照明 源;一光學偵測器陣列;一光學元件串,其包含:一多工 照明路徑’其包括將來自激發照明源的一光束轉換為多個 照明點之多工光學元件,及用於將照明點交替地導向多個 訊號來源的第一部份及多個反應區域的至少一個第二部份 之切換光學元件;及用於收集自多個反應區域發出的訊號 及將該訊號引導至光學偵測器陣列上的空間上離散位置之 13 201106032 一訊號收集路經。 就一方面而言,本發明係一分析系統,其包括:在其 上具有多個空_散式反應區域之—基板;—激發照明 源;一光學偵測器陣列;及-光學元件串,其包含:一多 ”、月路抆其包括將來自激發照明源的一光束轉換為多 個照明點之多卫光學元件’及將照明點導向多個反應區 域’及用於收集自多個反應區域發出的訊號之—訊號收集 路徑,其中該訊號收集路徑包含切換光學元件,其係用於 將來自反應區域的第—部份之喊引導至光學制器陣列 上之第—部份的空間上離散位置,及將來自反應區域的第 二部份之訊號引導至該偵測器陣列上之第二部份的空間離 散式區域。 就一方面而言,本發明係用於分析一基板上的高多工 反應區域之—系統’其包括:在其上具有多個離散式反應 區域之一基板;用於偵測與離散式反應區域相關聯的光學 訊號之一偵測系統,其包含:至少第一與第二偵測器;及 一光學元件_,其構形係將與基板上的第一組離散式反應 區域相關聯之光學訊號引導至第一偵測器,及將與基板上 的第二組離散式反應區域相關聯之光學訊號引導至第二偵 測器 就一方面而言,本發明係分析來自一基板的多個離散 式反應區域之所欲探討的一反應之一種方法,其包括:提 供在其上配置第一組反應區域之一基板;辨識出少於全部 的第一組反應區域及展現所欲探討的反應之反應區域的一 14 201106032 子集;僅在反應區域的該子集監控所欲探討之反應。 圖式簡單說明 第1A - B圖係以圖式方式說明可使用本發明的部份所進 行之一例示性核酸定序方法。 第2圖係以圖式方式說明用於監控一基板上之經照明 的離散式反應區域之任擇照明策略。第2A圖係以圖式方式 說明一種泛光照明模式。第2B圖係以圖式方式說明一種掃 描方式的照明作用。第2C圖係以圖式方式說明一種線性照 明模式。第2D圖係以圖式方式說明一種目標點陣列照明模 式。 第3圖係以圖式方式說明用於進行共焦多工照明與監 控一基板上的離散式反應區域之一系統。 第4圖係以圖式方式說明與高多工系統相關聯之潛在 的“串擾”議題。 第5A、5B及5C圖係以圖式方式說明使用定形鏡作為聚 焦光學元件之一基板,以有效地自該基板上的反應區域集 光及有效地照明該反應區域。第5C圖係以圖式方式說明具 有延伸進入該微鏡的一反應區域之一構造,及說明在該微 鏡構造中納入一光學組件諸如一濾光鏡。 第6A、6B及6C圖係以圖式方式說明三種任擇的圓錐形 微反射器(微鏡)構造。 第7圖係以圖式方式說明具有規定尺寸之一種截圓錐 形微鏡構造。 第8 A - B圖係以圖式方式說明例如可使用諸如微影與蝕 15 201106032 刻之方法所製造的微鏡構造。 第9圖係以圖式方式說明微鏡構造可如何藉由建設性 干擾增強照明光強度。 第10至18圖係以圖式方式說明用於產生基板之一種製 造方法,該基板具有具配套的反應區域之集成聚焦鏡。 第19圖係以圖式方式說明藉由使用—種基蝕方法產生 一犧牲柱而用於製造一反應區域之一種方法。 第20圖係以圖式方式說明使用多個微影與蝕刻步驟在 一透明基板上形成定形的微鏡構造之一種方法。 第21圖係以圖式方式說明具有一反應區域陣列與一透 鏡陣列之一基板,其中該透鏡將反應區域所發出的光改向。 第22A-B圖係以圖式方式說明使用較大視野(F〇v)物 鏡之一照明與偵測系統,以容許居間的光學組件。 第23圖係以圖式方式說明一基板上的離散區域之時間 多工照明方法。 第24圖係以圖式方式說明用於進行一基板之離散區域 中的反應之時間多工照明與偵測之一系統。 第25圖係以圖式方式說明‘‘搜尋活性,’多工分析之方 法。 第26圖係以圖式方式說明一種多工照明光學元件串。 第27A-B圖係以圖式方式說明用於本發明的系統之一 種附加的多工照明路徑。 第28圖係以圖式方式說明使用用於本發明的高多工分 析系統之多個偵測陣列之一系統。 201106032 第29A-B圖以圖式方式說明使用多個偵測陣列之一任 擇的系統,其中各陣列接收來自各訊號來源之訊號成分的 一子集。 第30圖係以圖式方式說明本發明之一種定形光學元件 區塊。 第31圖顯示一種拋物面微反射器構造(第31八圖)與一 種平面零模錢導(第3_)之SEM影像。 第32圖說明用於模製一圓雖形微反射器之尺寸分量。 第33圖說明用於模製一分段式圓錐形微反射器之尺寸 分量。 第34圖顯示在一溶融石夕石基板中所形成之微鏡頂部上 的一反應區域陣列之SEM影像。 第35圖顯不在其頂部具有一反應區域之一微鏡構造的 SEM影像。 第36圖顯示在一微鏡構造頂部上之-反應區域的SEM 影像。 第3 7圖顯示在一熔融矽石基板中所形成之圓錐形微鏡 構造的SEM影像。 第38圖顯示在一炫融石夕石基板中所形成之圓雜形微鏡 構造的SEM影像。 第39圖顯示位於一平坦化層内及在其頂部具有一反應 區域之一微鏡構造截面的SEM影像。 第40圖顯示在其等頂部具有反應區域之微鏡構造的 SEM影像。 17 201106032 第41圖顯示用於測量來自微鏡構造的增益之一實驗設 置的圖式說明。 第42圖顯示自微鏡構造上的零模式波導反應區域所得 之雙色DNA定序資料。 I:實施方式3 發明之詳細說明 I.多工分析系統 多工光學分析系統係用於廣泛種類的不同應用中。該 等應用可包括單分子之分析,及可涉及例如在單一生物分 子進行反應之際之即時觀測。為了討論之方便,在此係就 一較佳應用:核酸序列資訊之分析及特別是單分子核酸序 列分析,論述該等多工系統。雖然就一特定應用敘述,應 瞭解本發明的系統之應用係指較廣泛的應用。 就單分子核酸定序分析之内涵而言,觀測一種單一固 定化核酸合成複合物,其包含一種聚合酶酵素、試圖闡明 其序列之一模板核酸及與該模板序列的一部份互補之一引 子序列,以在其等被納入延長的引子序列之際辨識個別的 核苷酸。典型地在其納入作用之前、期間或之後,藉由觀 測該核苷酸上之一種光學上可偵測的標記,而監控納入作 用。在一些情況下,該單分子分析使用一種“一次一鹼基方 式”,藉此一次引入一種單一類型的帶標記核苷酸及與該複 合物接觸。在納入之際,自該複合物洗除未被納入的核苷 酸,及以作為該固定化複合物的一部份之形式偵測被納入 的帶標記核苷酸。 18 201106032 在一些實例中,在^貞測納入作用時,僅添加一種單一 類型的核苷酸。該等方法需要循環經歷各種不同類型的核 苷酸(如A、T、G與C),方可測定模板的序列。因為在任一 時間僅一種單一類型核苷酸與該複合物接觸,就定義而 言,任一納入事件即為所接觸的核苷酸之納入作用。該等 方法雖然有一些效用,通常當模板序列包括多個重複核苷 酸時面臨困難,因可納入與單一納入事件不可區分之多個 鹼基。在一些情況下,對於該議題所提出的解決方法包括 調整所存在的核苷酸濃度,以確保單一納入事件係動力學 上有利的。 在其他情況下,同時添加多種類型的核苷酸,但藉由 在各類型核苷酸上所存在的不同光學標記而可區分。因 此,該等方法可使用一種單一步驟辨識序列中的一特定鹼 基。尤其,在固定化複合物中添加各帶有一種可區分的標 記之所有四種核苷酸。然後審查該複合物,以辨識納入何 種類型的鹼基,及依此方式辨識模板序列中的下一個鹼基。 在一些情況下,該等方法一次僅監控一種鹼基的添加 作用,及因此其等(及在一些情況下之單一核苷酸接觸方法) 需要附加的控制,以避免在任一特定步驟中添加多個鹼基 及因此被偵測系統漏失。典型地,該等方法在該核苷酸上 使用終止子基,以在一旦納入一核苦酸後阻止引子的進一 步延長作用。典型地可移除該等終止子基,以在一個經偵 測的納入事件後容許受控的再延長作用。同樣地,為避免 與先前所納入的核苷酸之標記混淆,典型地將該等核苷酸 19 201106032 上的標記基設計為可移除的,或在其他情況下可去活化的。 在另一種方法中,即時監控單分子引子延長反應,以 辨識在延長作用產物中之核苷酸持續納入作用,以闡明標 的模板序列。在單分子即時(或SMRTtm)定序作用中,當發 生時’即監控在一種聚合酶媒介式模板依賴型引子延長反 應中之核苷酸納入過程。就較佳方面而言,在一光侷限區 域内諸如-種零模式波導或鄰近—透明基板、光學波導等 的表面,提供典型為g]統之模板/聚合㈣子複合物(如見 第6,917,726號與第7,17〇,〇5〇號美國專利及第細7_〇134128 號美國專射4公開案,全部揭露内容就所有目的在此完201106032 VI. INSTRUCTIONS: [Technical field of inventions] 3 Interchange of related applications This application claims the priority of US Provisional Patent Application No. 61/223,628 filed on July 7, 2009, All disclosures are hereby incorporated by reference in its entirety for all purposes. FIELD OF THE INVENTION The present invention relates to ultra high multiplex analysis systems and methods. C Prior Art 3 Background of the Invention In an analysis system, the ability to increase the number of analyses performed by a given system at any one time is a critical part of increasing the utility and extending the life of the system. In particular, by increasing the multiplex factor of an analysis of a given system, the total throughput of the system can be increased, thereby increasing its utility and at the same time reducing the associated costs of the use. In optical analysis, an increase in multiplexing often results in an increase in difficulty as it may require more complex optical systems, increased illumination or detection capabilities, and new reaction containment strategies. In some cases, the system seeks to increase the number of times and even the scale of the multiplex, which makes such considerations more complicated. Similarly, in certain situations, the analytical environment in which the system is to be used is highly sensitive, which may not allow for variations between different analyses of a given system. These goals often conflict with brute force methods that simply make the system larger and more powerful, as these steps often have even more serious consequences, such as crosstalk between reactions, low signal and noise The signal-to-noise ratio generated by any of the higher-level 201106032 or both is reduced. Therefore, it would be advantageous to provide an analytical system with significantly increased multiplex on its desired analysis, and in particular in highly sensitive reaction analysis, and in many cases, at the same time, will increase the negative effects of multiplexing. Minimized. The present invention meets these needs and a variety of other needs. SUMMARY OF THE INVENTION The present invention provides methods, substrates and systems for very high multiplex analysis of reaction zones on substrates, and in particular for highly sensitive, low signal generation reactions such as single molecule fluorescence analysis A multiplex system, such as that used in single molecule instant nucleic acid sequencing techniques. In one aspect, the invention is a method for fabricating a substrate comprising a micromirror array, wherein each micromirror system is associated with a zero mode waveguide comprising: a) providing a transparent substrate having a top surface b) patterning and etching the transparent substrate to form an array of protruding structures having top and sides; c) depositing a cover material whereby the top of the protruding structure comprises a cover; d) forming via the cover An array of apertures whereby the tops of each of the protruding structures comprise an aperture; and e) depositing a reflective deposition material whereby the sides of each of the protruding formations comprise a reflective layer; whereby the array of protruding structures comprises a micromirror The array, and the aperture at the top of each protruding configuration, comprise a zero mode waveguide. In some embodiments, the step of patterning and etching the transparent substrate is performed after the steps c) and d) of depositing the cover material and forming the array of apertures. In some embodiments, the deposition of the capping material and the formation of the hole 201106032 and the etching step V) are performed after steps c) and d) of the transparent substrate. In some embodiments, the transparent substrate comprises a vermiculite profile. In a second embodiment, the transparent substrate comprises molten vermiculite. In some embodiments, the cover material comprises aluminum. In some embodiments, the build material comprises |g. (d) Melon In some embodiments, the etching action of the transparent substrate comprises a reverse ion method. In some embodiments, the protruding configuration comprises a rounded pyramid or a paraboloid. In some embodiments, the protruding configuration encloses a truncated cone. In some embodiments, the top diameter of the truncated cone is between j Ί tm and 1 〇 micron. In some embodiments, the number of protruding structures on the substrate is between 1,000 and 1,000,000. In some embodiments, the number of protruding structures on the substrate is between 1 〇, 〇〇〇 and 5 〇〇, 〇〇〇. In one aspect, the invention is a method comprising the steps of: a) providing a transparent substrate having a top surface, having a metal cap layer disposed thereon having an array of apertures; b) depositing a layer on the cover layer Forming a first photoresist; c) patterning the first photoresist to produce an array of remaining photoresist regions, each remaining photoresist region comprising a metal cap layer region comprising a hole; d) etching the cap layer region And a transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer regions are located on top of the protruding structures; e) depositing a metal deposition layer, whereby the metal deposition layer is a metal cladding layer contact at the top of the protruding structure; a second photoresist is deposited; a) a pattern is formed in the second photoresist to expose the metal layer region at the top of the protruding structure; and h) is processed from step (g) Constructed to remove the exposed areas of the metal deposit 201106032 layer. In one aspect, the invention is a method comprising the steps of: a) providing a transparent substrate having a top surface, having a metal cap layer having an array of apertures disposed thereon; b) being disposed on the metal cap layer Depositing a protective coating; c) depositing a first photoresist on the protective layer; d) patterning the first photoresist to produce an array of remaining photoresist regions, each remaining photoresist region including an aperture a metal cap layer region; e) #excluding the protective layer, the cap layer, and the region of the transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer region are located at the top of the protruding structure 0) removing the first photoresist; g) depositing a metal deposition layer, whereby the metal deposition layer is in contact with the metal cap layer region of the top of the protruding structure; h) depositing a second photoresist; i) The second photoresist is patterned to expose the metal deposition layer region on top of the protruding structure; and j) the configuration from step (1) is treated to remove the exposed regions of the metal deposition layer and to remove the protective coating. In one aspect, the invention is a method comprising the steps of: a) providing a transparent substrate having a top surface on which is disposed a metal coating having an array of apertures; b) in the upper cladding of the metal cladding layer Depositing a sacrificial layer; c) depositing a first photoresist on the sacrificial layer; d) patterning the first photoresist to produce an array of remaining photoresist regions, each of the remaining photoresist regions including one of the apertures a metal cap layer region; e) etching away regions of the sacrificial layer, the cap layer, and the transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer regions are located at the top of the protruding structure; Removing the first photoresist; g) processing the substrate to pull back the sacrificial layer; h) depositing a metal deposition layer, whereby the metal deposition layer is in contact with the metal 201106032 cladding region at the top of the protruding structure; i) The configuration from step (h) is processed to release the sacrificial layer, thereby removing portions of the metal deposition layer on the sacrificial layer and exposing the apertures. In some embodiments, the sacrificial layer comprises tantalum or niobium. In one aspect, the invention is a method comprising the steps of: a) providing a transparent substrate having a top surface, having a metal cap layer having an array of apertures disposed thereon; b) being disposed on the metal cap layer Depositing a protective layer; c) depositing a first photoresist on the protective layer; d) patterning the first photoresist to produce an array of remaining photoresist regions, each of the remaining photoresist regions including a package-aperture a metal cap layer region; e) contacting the protective layer, the cap layer, and the region of the transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer region are located at the top of the protruding structure; Removing a first photoresist and a protective layer; g) producing a columnar array on top of at least a portion of the protruding structure covering the metal cap layer region; h) depositing a metal deposition layer, whereby the metal deposition layer Contacting the metal cap layer region of the top of the protruding structure; and i) processing the structure from step (h) to remove the columnar array, thereby removing a portion of the metal deposit. In one aspect, the invention is a method comprising the steps of: a) providing a transparent substrate having a top surface; b) depositing a first photoresist on the transparent substrate; c) fabricating the first photoresist Patterning to produce an array of remaining photoresist regions; d) etching away the transparent substrate regions, thereby forming an array of protruding structures whereby the remaining photoresist regions are at the top of the protruding configuration; e) removing the first light Resisting; f) depositing a metal deposition layer on the transparent substrate; g) depositing a hard coating on the metal deposition layer; h) polishing the surface of the hard coating to expose the transparent substrate corresponding to the top of the protruding structure a portion; i) depositing a metal cap 201106032 cap layer; j) depositing a photoresist on the metal cap layer; and k) patterning the photoresist and etching the cap layer to form the cap layer An array of apertures is created at the top. In one aspect, the invention is a method comprising: a) depositing a sacrificial layer on the transparent substrate; b) generating an array of nanopillars by selectively etching the sacrificial layer; c) The transparent substrate is patterned and etched to form an array of protruding structures having a top and a side, wherein the nanocolumn is disposed on top of the protruding structure; d) a metal is formed in the structure produced in step c) a layer; e) a planarization layer on the metal layer; planarizing the planarization layer to expose the metal layer on the top of the protruding structure and exposing the nano column; and g) processing the substrate to remove The nanocolumn thereby forms an array of apertures on top of the protruding configuration. In some embodiments, the sacrificial layer comprises a fault or a stone eve. In some embodiments, the planarization layer comprises a PECVD oxide or spin-on glass. In some embodiments, the planarization includes CMP. In some embodiments, the metal layer comprises. In one aspect, the invention is a substrate - a substrate of a micromirror array, wherein each micromirror comprises a zero mode waveguide. In one aspect, the present invention is an array of zero-mode waveguides disposed on a top surface of a transparent substrate having a top surface and a bottom surface, wherein the transparent substrate includes a micro mirror array, wherein each micro mirror will The light emitted from the zero mode waveguide is redirected away from the bottom surface of the transparent substrate. In one aspect, the invention is a micromirror array comprising: a) a transparent substrate having an upper surface comprising an array of shaped bodies, wherein the top and sides of the shaped body 201106032 comprise a reflective coating and The reflective coating is an array of apertures, wherein the top of each of the features comprises at least one aperture through which. The reflective coating on the wall of the array redirects light from the aperture array. In some embodiments, the shape includes a paraboloid, a cone, a pyramid, and a truncated cone. In some embodiments, the shape comprises a truncated cone. In some examples, the hexagram includes a truncated cone having a top diameter between about 5 microns and about $ microns. In some embodiments, the body comprises a truncated cone having a top diameter between 1.51⁄4 meters and about 4 microns. In some embodiments, the 幵> body bag 3 has a truncated cone with a top diameter between about 2 microns and about 3 microns. In some embodiments, the shape includes a sidewall angle of 5 from the vertical plane. A truncated cone between 40 and 40. In some embodiments, the body comprises a side wall angle of 1 距 from the vertical. With 3 〇. The truncated cone between. In one aspect, the invention is a system for measuring molecular events in a zero mode waveguide array, comprising: a) a zero mode disposed on a top surface of a transparent substrate having a top surface and a bottom surface a waveguide array, wherein the transparent substrate comprises a "micro-car train" that distorts to a zero mode waveguide; b) an illumination system that provides an illumination beam to a zero mode waveguide via a bottom surface of the transparent substrate; wherein the configuration of the system The micromirrors are caused to interact with the illumination beam whereby the illumination beam undergoes constructive interference whereby the light intensity in the zero mode waveguide is increased relative to other illumination regions. In one aspect, the invention is a method for measuring molecular events in a zero mode waveguide array, comprising: a) providing zero on a top surface of a transparent substrate having a top surface and a bottom surface a mode waveguide array, wherein the transparent substrate comprises redirecting light to one of the zero-mode waveguides, the micro-mirror array 201106032; and b) arranging an array of illumination beams, the zero-mode waveguide being illuminated via a bottom surface of the transparent substrate; wherein the illumination The beam interacts with the micromirror, whereby the illumination beam undergoes constructive interference, thereby increasing the intensity of the zero mode waveguide relative to the intensity without constructive interference. In one aspect, the invention is a method for analyzing the properties of a single molecule comprising: a) disposing a single molecule having optical properties within the aperture of a zero mode waveguide, wherein the zero mode waveguide is a micromirror optical coupling; b) illuminating the zero mode waveguide with illumination light; c) collecting light emitted from the zero mode waveguide by a detector, wherein at least a portion of the emitted light is redirected by the micromirror To the detector; and d) determining the nature of the single molecule using the collected emitted light. In some embodiments, the zero mode waveguide and the micromirror are each incorporated into a single substrate. In some embodiments, the substrate comprises a zero mode waveguide array each associated with a micromirror handle. In one aspect, the invention is a method for determining sequence information about a template nucleic acid molecule, comprising: a) disposing a polymerase enzyme, a template nucleic acid molecule, and an primer in a zero-mode waveguide, Wherein the zero mode waveguide is incorporated into a substrate comprising a micromirror, b) providing nucleotides, reagents and cofactors for polymerization, wherein the polymerase is accessible to the nucleotide used for polymerization, a reagent and a cofactor, wherein at least one of a polymerase enzyme, a template nucleic acid molecule, a primer or a nucleotide comprises an optical label; c) providing the polymerase enzyme to synthesize a growing nucleic acid strand by incorporating a nucleotide Condition; d) illuminating the zero mode waveguide with illumination light to interact with the optical mark; e) collecting light emitted from the zero mode 10 201106032 waveguide by a detector, wherein at least the emitted light is emitted by the micromirror A portion is redirected to the detector; and the collected light is used to determine sequence information about the template nucleotide. In one aspect, the invention is directed to an optical system for observing a person from a substrate, comprising: a) having a dichroic mirror element to be incorporated therein, and at least one first side, one second side, and a shaped optical block of a third side; b) an illumination optical element configured to feed illumination light through the first side into the optical block, wherein the illumination light is reflected from the embedded dichroic mirror element a second surface to a substrate comprising a light-emitting element; and c) a light collecting optical element configured to collect light emitted by the light-emitting element, wherein the light emitted by the light-emitting element passes through the second side and passes through the dichroic mirror The component exits the third side and enters the collection optics. In some embodiments, the illumination light is reflected from one side of the shaped optical block onto the dichroic mirror element. In some embodiments, the light system is reflected from the second side. In some embodiments, the first, second, and third faces are substantially planar. In some embodiments, the light emitting element comprises a fluorescent element. In some embodiments, the substrate comprises an array of reaction regions, at least a portion of which comprises a light-emitting element. In some embodiments, the substrate comprises a ZMW array. In some embodiments, the illumination optics comprises at least one laser and a string of illumination optics. In some embodiments, the collection optics optical system includes a collection optical element string that delivers the emitted light to a detector. In some embodiments, the optical block comprises a material having a refractive index between 1.3 and 11 201106032 2.5. In some embodiments, the optical block comprises cerium oxide or calcium fluoride. In one aspect, the invention is an optical detection system comprising: a substrate having a plurality of spatially separated reaction regions thereon; a focusing optical element between the reaction region and the optical system to be derived from An optical signal of each of the separate reaction regions is at least partially collimated; and an optical system positioned to direct excitation illumination to a plurality of separate reaction regions disposed on the substrate and to receive optical signals from the reaction regions Signal. In some embodiments, the focusing optics are integrated into or connected to the substrate. In some embodiments, the focusing optic comprises a plurality of reflective optical elements integrated into the substrate, each reflective optical element being positioned to at least partially collimate light from a discrete discrete reaction region on the substrate. In some embodiments, the reflective optical element is selected from the group consisting of a parabolic mirror, a conical mirror, a segmented conical mirror, a truncated conical mirror, a partially parabolic mirror, a trapezoidal mirror, and a pyramidal mirror. In some embodiments, the focusing optical element comprises a plurality of lens elements integrated into or coupled to the substrate, the position of each of the plurality of lens elements being from a discrete reactive region separated from one of the substrates The light is at least partially collimated. In some embodiments, a plurality of spatially separated reaction zones are disposed on the surface of the substrate at a density of at least 100,000 discrete reaction zones per square centimeter. In some embodiments, the optical system is configured to direct the illumination illumination to at least 10 of the substrate, and to separate the reactive regions and receive optical signals therefrom, respectively. In some embodiments, the optical system is configured to direct excitation illumination to at least 50,000 separate reactions on the substrate 12 201106032 and receive optical signals therefrom, respectively. In some implementations, the configuration of your optical system directs excitation illumination to the substrate, 100,000 separate reaction regions and receives light collection therefrom, respectively. In some embodiments, the optical system includes its positional system. An optical signal of the separated reaction region on the substrate, the objective lens, a dichroic mirror between the substrate and the objective lens, the dichroic mirror transmits optical signals from the substrate and reflected excitation illumination, thereby exciting the illumination without substantially transmitting Through the objective lens. In one aspect, the invention is a method of analyzing a plurality of discrete reaction regions on a substrate, comprising: providing a substrate having a plurality of discrete reaction regions thereon; providing integration into the substrate or a focusing optical element that at least partially collimates optical signals from respective separate reaction regions; illuminating the plurality of discrete reaction regions to generate an optical associated with a reaction in the reaction region And transmitting an optical signal collimated by the focusing optical element to a detector to detect the signal. In one aspect, the invention is an analytical system comprising: a substrate having a plurality of spatial discrete reaction regions thereon; an excitation illumination source; an optical detector array; an optical component string, comprising A multiplexed illumination path that includes a multiplexed optical component that converts a beam of light from an excitation illumination source into a plurality of illumination points, and a first portion and a plurality of portions for alternately directing illumination points to a plurality of signal sources At least one second portion of the switching optical element of the reaction region; and for collecting signals from the plurality of reaction regions and directing the signal to spatially discrete locations on the optical detector array 13 201106032 A signal collection path through. In one aspect, the invention is an analytical system comprising: a substrate having a plurality of empty-scatter reaction regions thereon; an excitation illumination source; an optical detector array; and an optical component string, It comprises: a multi-", monthly channel comprising a multi-directional optical element that converts a beam of light from an excitation illumination source into a plurality of illumination points and directs illumination points to a plurality of reaction regions" and for collecting from multiple reactions a signal-to-signal collection path sent by the area, wherein the signal collection path includes a switching optical element for directing the shout from the first portion of the reaction area to the space of the first portion of the optical array a discrete position, and directing a signal from the second portion of the reaction region to a spatially discrete region of the second portion of the detector array. In one aspect, the invention is for analyzing a substrate a high multiplex reaction region-system comprising: a substrate having a plurality of discrete reaction regions thereon; a detection system for detecting optical signals associated with the discrete reaction regions, The method includes: at least first and second detectors; and an optical component configured to direct an optical signal associated with the first set of discrete reaction regions on the substrate to the first detector, and Optical signals associated with a second set of discrete reaction zones on the substrate are directed to a second detector. In one aspect, the invention analyzes a reaction of a plurality of discrete reaction regions from a substrate A method comprising: providing a substrate on which a first set of reaction zones are disposed; identifying less than all of the first set of reaction zones and a 14 201106032 subset of reaction zones exhibiting the reaction to be explored; This subset of reaction zones monitors the reaction to be explored. BRIEF DESCRIPTION OF THE DRAWINGS Figure 1A-B is a schematic representation of one exemplary nucleic acid sequencing method that can be performed using portions of the present invention. An optional illumination strategy for monitoring an illuminated discrete reaction zone on a substrate is illustrated in a schematic manner. Figure 2A illustrates a floodlighting mode in a graphical manner. Figure 2B illustrates a schematic representation of a floodlighting mode. The illumination function of the scanning mode. The 2C figure illustrates a linear illumination mode in a schematic manner. The 2D figure illustrates a target point array illumination mode in a schematic manner. The third figure is illustrated in a schematic manner for performing a common mode. A system of discrete multiplex illumination and monitoring of discrete reactive regions on a substrate. Figure 4 illustrates the potential "crosstalk" issues associated with high multiplex systems in a graphical manner. Figures 5A, 5B, and 5C The use of a shaped mirror as a substrate for a focusing optical element is illustrated in a schematic manner to effectively collect light from the reaction area on the substrate and to effectively illuminate the reaction area. Figure 5C is diagrammatically illustrated with extensions into the micro One of the reaction regions of the mirror is constructed, and an optical component such as a filter is incorporated in the micromirror configuration. Figures 6A, 6B and 6C illustrate three alternative conical microreflectors in a schematic manner ( Micromirror) construction. Fig. 7 is a schematic view showing a truncated conical micromirror structure having a prescribed size. The 8A-B diagram graphically illustrates, for example, a micromirror configuration that can be fabricated using methods such as lithography and etch 15 201106032. Figure 9 is a graphical representation of how micromirror construction can enhance illumination intensity by constructive interference. Figures 10 through 18 illustrate, in a schematic manner, a method of making a substrate having an integrated focusing mirror with a matching reaction zone. Figure 19 is a schematic diagram showing a method for producing a reaction region by using a substrate etching method to produce a sacrificial column. Figure 20 is a diagrammatic view of one method of forming a shaped micromirror structure on a transparent substrate using a plurality of lithography and etching steps. Fig. 21 is a schematic diagram showing a substrate having an array of reaction regions and a lens array, wherein the lens redirects light emitted from the reaction region. Sections 22A-B illustrate, by way of illustration, one illumination and detection system using a larger field of view (F〇v) objective to accommodate intervening optical components. Figure 23 is a graphical representation of the time-multiplexed illumination method for discrete areas on a substrate. Figure 24 is a diagrammatic representation of one of the systems for time multiplex illumination and detection for performing reactions in discrete regions of a substrate. Figure 25 illustrates the ‘‘search activity,’ multiplex analysis method in a graphical manner. Figure 26 is a diagrammatic representation of a multiplexed illumination optical component string. Figures 27A-B illustrate, in a schematic manner, one additional multiplexed illumination path for the system of the present invention. Figure 28 is a diagrammatic representation of one of a plurality of detection arrays for use in the high multiplex analysis system of the present invention. 201106032 Figures 29A-B graphically illustrate a system using one of a plurality of detection arrays, wherein each array receives a subset of the signal components from each of the signal sources. Figure 30 is a diagrammatic view of a shaped optical element block of the present invention. Figure 31 shows an SEM image of a parabolic micro-reflector configuration (Fig. 31) and a planar zero-mode money guide (3rd). Figure 32 illustrates the dimensional components used to mold a round shaped micro-reflector. Figure 33 illustrates the dimensional components used to mold a segmented conical microreflector. Figure 34 shows an SEM image of an array of reaction zones on top of a micromirror formed in a molten stone substrate. Figure 35 shows an SEM image of a micromirror configuration with one of the reaction zones at its top. Figure 36 shows an SEM image of the reaction zone on top of a micromirror configuration. Figure 37 shows an SEM image of a conical micromirror structure formed in a molten vermiculite substrate. Fig. 38 is a view showing an SEM image of a circular-shaped micromirror structure formed in a dazzling stone substrate. Figure 39 shows an SEM image of a micromirror structure section in a planarization layer with a reactive region at the top. Figure 40 shows an SEM image of a micromirror configuration with a reaction zone at its top. 17 201106032 Figure 41 shows a schematic illustration of one of the experimental settings for measuring gain from a micromirror configuration. Figure 42 shows the two-color DNA sequencing data obtained from the zero mode waveguide reaction region on the micromirror construction. I: Embodiment 3 Detailed Description of the Invention I. Multiplex Analysis System The multiplex optical analysis system is used in a wide variety of different applications. Such applications may include single molecule analysis and may involve, for example, immediate observation at the time of a single biomolecule reaction. For the convenience of discussion, a preferred application is here: analysis of nucleic acid sequence information and, in particular, single-molecule nucleic acid sequence analysis, to discuss such multiplex systems. Although described in terms of a particular application, it should be understood that the application of the system of the present invention refers to a broader range of applications. For the connotation of single-molecule nucleic acid sequencing analysis, a single immobilized nucleic acid synthesis complex comprising a polymerase enzyme, a template nucleic acid attempting to elucidate one of its sequences, and a primer complementary to a portion of the template sequence is observed. Sequences to identify individual nucleotides as they are included in the extended primer sequence. Inclusion is typically monitored by observing an optically detectable label on the nucleotide before, during or after its incorporation. In some cases, the single molecule assay uses a "primary one base format" whereby a single type of labeled nucleotide is introduced at a time and contacted with the complex. Upon inclusion, the complex is washed from the unincorporated nucleotide and the labeled nucleotide is included as part of the immobilized complex. 18 201106032 In some instances, only one single type of nucleotide was added when the effect was included. These methods require cycling through a variety of different types of nucleotides (e.g., A, T, G, and C) to determine the sequence of the template. Since only a single type of nucleotide is contacted with the complex at any one time, by definition, any inclusion event is the incorporation of the contacted nucleotide. While these methods have some utility, it is often difficult to include multiple repeat nucleosides in a template sequence, as multiple bases that are indistinguishable from a single inclusion event can be included. In some cases, the proposed solution to this topic involves adjusting the concentration of nucleotides present to ensure that the single inclusion event is kinetically beneficial. In other cases, multiple types of nucleotides are added simultaneously, but are distinguishable by the different optical labels present on each type of nucleotide. Thus, the methods can identify a particular base in the sequence using a single step. In particular, all four nucleotides each having a distinguishable label are added to the immobilized complex. The complex is then examined to identify which type of base is included, and to identify the next base in the template sequence in this manner. In some cases, these methods only monitor the addition of one base at a time, and therefore their (and in some cases single nucleotide contact methods) require additional control to avoid adding more in any particular step. The bases are therefore missing by the detection system. Typically, such methods use a terminator on the nucleotide to prevent further prolongation of the primer upon incorporation of the mononucleic acid. The terminator groups are typically removable to allow for controlled re-prolongation after a detected inclusion event. Likewise, to avoid confusion with previously incorporated nucleotide labels, the labeling groups on such nucleotides 19 201106032 are typically designed to be removable or otherwise deactivated. In another approach, a single molecule primer is monitored in real time to elongate the reaction to identify the continued incorporation of nucleotides in the prolonged product to elucidate the target template sequence. In the single molecule immediate (or SMRTtm) sequencing action, the nucleotide incorporation process in a polymerase-mediated template-dependent primer extension reaction is monitored when it occurs. In a preferred aspect, a surface of a template/polymeric (tetra) sub-composite, typically in the form of a zero-mode waveguide or adjacent-transparent substrate, optical waveguide, etc., is provided in a region of the optical confinement (see, for example, 6,917,726). No. 7, 17 〇, 〇 5 美国 US Patent and 7 7_〇 134128 US Special Shot 4 publication, all disclosures are completed for all purposes

與。玄複合物交互作用之該等帶榮光標記的核苷酸在照明體versus. These genomically labeled nucleotides in the interaction of the mysterious complex are in the illuminating body

核苦酸類似物在納人作用期間在觀測體積内的滯留時間 其產生與滯留作用相關聯之一訊韻 所示之訊號脈衝112。一旦被納入, 机號,如第1B圖中的A軌跡 Λ ’則將連接至帶標記核苷 20 201106032 酸類似物的聚磷酸鹽組份之標記釋放。當下一個適當的核 苷酸類似物如核苷酸110與該複合物接觸時,亦將其納入, 而導致第1B圖的T軌跡中之一對應訊號114。藉由監控將鹼 基納入初生股之作用,如模板序列的基礎互補性所示,可 獲得模板的一長段序列資訊。此外,為了獲得例如可為研 究與診斷學中之基因定序的廣泛應用所欲之序列資訊量, 較高處理量的系統係所欲的。 舉例而言,為了增強系統的定序處理量,典型地監控 多個複合物,其中各複合物係定序不同的模板序列。在定 序基因體或定序其他大型DNA組份之情況下,該等模板典 型地將包含基因體DNA的重疊片段。藉由定序各片段,然 後可自片段的重疊序列資料組合出一個相連序列。就較佳 方面而言,在一基板上提供陣列式的各種不同複合物。可 在光學上或結構上的侷限構造如零模式波導内提供該陣列 式複合物,或其等可在一表面上形成圖案模式。任擇地, 其等可隨機地配置於一表面上,但經歷標定的陣列式照明 或偵測作用,藉此僅監控位於該表面的一陣列模式内之複 合物。在此為了討論之目的,該二種構形均在此稱作陣列 式複合物等之監控作用。 整體 先前地已使用數種不同機制,以達成離散式反應區域 之多工分析及甚至同時多工分析。第2圖說明數種該等方 法。例如,在一種簡單的實施中,離散式反應區域之一陣 列,係以覆蓋大量的該等離散區域之一種寬的照明光束同 21 201106032 時照明’即所謂的“泛光”照明。其係說明於第2A圖中,其 中實線圓204係指配置於基板202上的反應區或其他區域, 其等係所欲監控者,而虛線2〇6說明照射區域的邊界。如所 示,單照明區域係無區別地施用於大量或可能所有的離散 式反應區域。 依一特定基板上的反應區域密度而定,該泛光照明可 包括使用一種習用的雷射光束或在一些情況下可使用光束 擴張光學元件,藉由以一種單一統合光束或點,照明較大 量的反應區域,以提供所欲的多工。雖然在其上配置數個 反應區域之一基板的廣泛範圍有效地提供照明,泛光照明 可能具有所照明區域的照明強度不一致之問題。尤其,在 一特定光束中心的照明強度傾向於較大,及在邊緣衰減。 因此,不同的反應區域典型地依其等在照明點中的位置, 而受到不同的照明。此外,因為對應於該點的整個基板範 圍受到照明,其可能造成所施用輻射之無效率使用,如浪 費光在照明非反應區域,及因此所施用的輻射比必需者更 多。此外,該泛光照明可呈現過量照明的不利效應,諸如 電力消耗過量、激發光被反射、基板以及該系統的其他光 學組件的自發螢光、加熱作用等。 在其他方法中,如第2B圖所示,一照明光束掃描通過 一基板,以照明基板上的多個反應位址或區域。尤其,如 箭頭所示,一個相對小的照明點208反復地移動通過基板 202的表面,以分別地照明各反應區域如區域204。典型地, 該等掃描系統係採用習用的掃描系統諸如檢流計、旋轉鏡 22 201106032 或旋轉棱鏡’以隨時間引導光束通過一基板表面。雖然該 專知描系統對於靜態系統特別有效,如除了反應非常緩慢 的情況以外之尋求分析一反應的終點,其等並非特別適用 於監控反應隨時間的進程。尤其,因為照明光束僅偶爾巡 行各位置一段相當短的時間,其無法即時照明與監控在其 中發生相當快速的反應之一反應區域。 在其他方法中,使用一標定的照明廓型,以擇優地同 時照明多個反應位址。例如,如第2C圖所示,在一種標定 的照明方式中,將一照明光束線性化,以提供可同時照明 一基板上之數個離散式共線區域之一照明線(如見第 US2007/003570號與第US2007/003804號國際專利申請案, 全部揭露内容就所有目的在此完整地併入本案以為參考資 料)。藉由使用多個光束,或在線性化之前或之後將單_光 束分光,如藉由讓該光束通過一繞射光柵,可產生數個平 行的照明線如照明線210,以照明一基板上之多列的共、線反 應區域。該標定照明作用藉由未照明介於照明線之間之基 板空間而減少照明作用的浪費,及因而減少與先前所論及 的過量照明相關聯之許多議題。然而,仍照明介於共線反 應區域之間的空間,如在一特定列内,而產生浪費照明作 用與雜訊增加之伴生議題。 在進一步的改良中,如第2D圖所示’標定照明廟型使 用各照明一子集或一個單一離散式反應區域之陣列式照明 點,如照明點212。藉由僅照明需要照明的空間,如位於該 反應區域及/或附近,其進一步增強訊號雜訊比,及増加照 23 201106032 明效率而優於線性照明廓型。可使用數種光學構形,以產 生該等類型的標定照明廓型,包括如使用將個別的照明光 束聚焦成為多個陣列式照明點之透鏡陣列、首先將—個單 一光束分光成為一列的多個光束及然後將該等光束中之各 者分光成為一正交定向列的附加光束之正交定向型繞射光 柵、將一個單一光束轉換成為包括如一基板上之照明點的 網格陣列之多種不同的標定照明廓型中之任一者之繞射光 學元件(如見於2008年5月9日提出申請之第12/ 15丨,979號美 國專利申請案及PCT/US2008/05953,其中各者就所有目的 在此完整地併入本案以為參考資料)。 該系統選擇性地在光學元件串内附加包括共焦或空間 濾波器’以進一步限制在該系統的焦平面之外如與反應區 域無關聯者之反射光或所發出的螢光之影響。 第3圖說明該系統之一實例。如所示,系統30〇包括一 反應陣列諸如一種零模式波導陣列302,在其上排有數個離 散式反應區域之陣列。在零模式波導陣列之情況下,典型 地在基板上提供列與行的陣列之大量零模式波導。在不同 的ZMW内提供用於一特定分析之所欲探討的反應物。例 如,就藉由合成作用之核酸定序的内涵而言,與ZMW—起 提供包括一模板核酸序列、一互補引子序列、一核酸聚合 酶酵素及引子延長作用所需之核苷酸或核苷酸類似物的_ 反應混合物之一定序複合物(如見第1圖)。可以超高密度矣且 合ZMW陣列’提供自每平方公分1000個ZMW至每平方公分 1,000,000個ZMW或更多。因此,在任一時間,分析在單— 24 201106032 分析系統内或甚至在一個單基板上之自100、1000、3000、 5000、10,000、20,000、50,000、1〇〇,〇〇〇或 1百萬、1千萬或 更多個ZMW或其他反應區域中所發生的反應,可為適合需 要的。 如所示,該系統包括用於激發反應區域中的螢光反應 物之一激發輻射源’諸如雷射304。一光學元件串306將來 自雷射304的激發輻射輸送至ZMW陣列或基板302。該光學 元件串亦收集來自陣列上的不同ZMW之螢光訊號,及將該 等訊號傳送至一偵測器,諸如EMCCD330。該光學元件串 306包括一多工組件,諸如繞射光學元件(d〇E)308(亦稱作 全像光學元件或HOE),其將一個單一激發光束轉換為大量 的個別激發光束,該等個別激發光束將標定對應陣列上的 ZMW302位置之照明點的一陣列。該多個光束係選擇讓激 發光通過及反射來自陣列302的螢光之分色鏡316。在通過 分色鏡316之前,照明光束可通過可能與一對聚焦透鏡如透 鏡310與314配套之一共焦濾光鏡312,以將該等光束聚焦通 過該共焦針孔。通過分色鏡316的激發光,然後經由物鏡318 而以一標定模式聚焦在陣列302的平面上。 然後藉由物鏡318收集來自陣列302的螢光訊號,及傳 送至分色鏡316,分色鏡316則將螢光訊號反射朝向偵測器 330。來自陣列上的離散式ZMW之訊號然後通過一空間濾 光鏡諸如共焦光罩322,以減少出自焦平面自發螢光或散射 光之背景雜訊諸如光致發光,共焦光罩322典型地又與一對 聚焦透鏡如透鏡320與324配套。該等訊號然後通過以差別 25 201106032 方式引導光譜雜*同的光之—分散性光學元件諸如模形 棱鏡326’以容許基於偵測器上的入射位置而區別不同的螢 光汛说。經差別方式引導的訊號成分然後朝向通過附加的 聚焦光學兀件,如聚焦透鏡328,及最後撞擊該EMCCD偵 測器330。如所示’―特定訊號在偵測器上的入射位置係指 示⑴該陣列中的發端ZMW,及(2)該訊號成分的光譜特性, 其係用於辨識例如在—延長反應巾所納人之帶螢光標記核 苷酸類似物的類型。 已述及使用上述系統之同時標定照明一陣列中的數千 或數萬個ZMW之作用1而,隨著對於多工需求之增加, 增加-陣列上的ZMW密纽提供料陣列祕定照明作 用之能力之困難度,亦隨著因較高的密集照明水平等而起 UMW串擾(來自鄰近ZMW的訊號當自該陣列出射時彼此 污染)、訊號雜訊比減少等議題而增加。 II.多工方式 雖然上述的系統適用於提供有欵的多工光學系統,若 能藉由納人特定的系統改良而進—步增加該料統的多工 能力,將為適合需要的。 本發明提供用於監控基板上之數量較多的陣列式複合 物之方法、系統及組件。舉例而言,於細年5月9日提出 申凊之第12/151,979说美國專利申請案及pCT/US2〇〇8/ 05953(先前在此併人本案)述及分析大量的陣列式反應區域 如核酸定序複合物之方法,其錢^丨導標賴明點至離 散式反應㈣及收集來自_纽應區域的鮮訊號之多 26 201106032 工光學元件。如所示,該等系統選擇性地亦包括共焦光罩, 以增強來自該偵測作用的訊號雜訊比。本發明的系統係用 於經由多種途徑而進一步增強全系統的多工。 因此,雖然本發明的系統可用於提供一基板上的10 個、100個、1000個、5000個等的離散式反應區域之多工分 析,在特佳方面本發明將用於提供超過5000個離散式反應 區域、超過10,000個離散式反應區域、超過20,000個離散式 反應區域、超過50,000個離散式反應區域及甚至超過 100,000個離散式反應區域及至多1,〇〇〇,〇〇〇個或更多個離 散式反應區域之多工分析。除了藉由本發明的系統可分析 之反應區域的剪切數量之外,將理解在一些情況下,經由 本發明所提供的各項優點,該等反應區域的配置密度可高 於先前所用者。例如,可提供與觀測高密度的離散式反應 區域而無過度的干擾或其他問題性議題。該密度例如可為 每平方公分 1000個、10,000個、100,000個、1,000,000個、 10,000,000個或更多個反應區域。觀測體積的密度可增加至 所用觀測光之繞射極限,就該等應用中所預期的一些波長 而言,其可低至250奈米。在該種間隔,在一正方陣列中可 顯現每平方公分至多16e9觀測體積,而在六方密集陣列中 稍微更多。經由使用近場光學元件可超越該繞射極限,導 致其限制僅由該侷限的實際尺寸所決定,其尺寸可小至5〇 奈米。在一正方陣列中間隔100奈米,導致密度為每平方公 分lelO 。 此外’就所監控之該數量的區域而言,該多工分析係 27 201106032 實質上同時。 “實質上同時’’係指在1至5個(較佳1至2個)照相影格的 時段内,分析所需數目的區域。就本發明的系統之目的而 言,典型地自約每1毫秒至約每10毫秒捕捉一照相影格(或 影格速率自約100Hz至約1000Hz) ’藉此為歸於一實質上同 時的分析之範圍内’該等多工區域之分析應在自約1毫秒至 約10毫秒之時間範圍内發生。結果,提供所欲的多工分析 之一系統,如在自1毫秒至約10毫秒的窗口内觀測多個位置 至少各一次者,將稱為實質上同時,即使該分析係在該窗 口内的二個不同時間點進行。 在一些情況下,可使用較慢的影格速率,其將增加二 事件可發生的時間階段’及在此之際自照相機的觀點而言 似乎仍為實質上同時。可使用例如10Hz至100Hz、10Hz至 5〇Hz、10Hz至20Hz的影格速率,如約15Hz。將理解,發生 在毫秒範圍如自1毫秒至約500毫秒、1〇毫秒至約1〇〇毫秒等 之取樣速率,可視為實質上同時。 A.增效型光學元件 在基板上的離散式反應區域之分析中實質增加多工之 能力,面臨數項限制。舉例而言,在監控來自單分子反應 的榮光訊號之系統中,集光光學元件典型地使用具有相對 小的視野之高數值孔徑物鏡。因此,增加的多工典型地需 要較密集的反應區域,以使料__物鏡㈣來自數量較多 的該等區域之訊號。然而’一基板上之較密集的反應區域 產生系列之附加的解析度議題,例如包括區域之間的串 28 201106032 擾等。 第4圖說明光學串擾。如所示,在一基板上提供基板402 上的反應區域(如404、410及412)之一陣列,諸如零模式波 導404。對於發生在該等區域中之以螢光方式監控的反應進 行照明,及發出與該反應相關聯之螢光訊號。如來自相鄰 反應區域410與412之實線箭頭406與虛線箭頭408分別所 示’該訊號的全向發射廓型造成來自相鄰區域的訊號彼此 干擾之可能性’尤其當該等區域在基板上變得更加密集 時。該“串擾作用”限制將反應區域密集以供分析之能力。 依據本發明的特定方面,使用強化光學方式以增強訊 號收集之效率。 該光學方式中之一者提供添加聚焦光學元件,以用於 將反應區域之間的光學申擾降至最低,及在許多應用中亦 容許使用具有較大視野的物鏡。藉由減少串擾的可能性, 可增進將反應區域密集之能力。同時,藉由改採用視野較 高的物鏡,可將配置該等區域的面積擴大。除了降低串擾 之外’本發明的聚焦光學元件亦可藉由將光改向進入一摘 測器,增加所偵測到的光量,其係在未改向的其他情況下 該偵測器所無法偵測者。藉由將光改向,可使用例如所收 集的光量與未改向情況相同但具有較低的數值孔徑之一偵 測系統,以容許例如較大的視野。就本發明中所預期的方 法之内涵而言,具有大的視野可為重要的,例如就容許— 次同時觀測數萬個發光或發螢光區域而言。任擇地’藉由 引導該光,可使用相同的數值孔徑而收集更多的光。如更 29 201106032 詳細地敘述於後,本發明的聚焦光學元件藉由引導照明光 進入一陣列諸如一種零模式波導陣列上的一反應區域中, 亦可增加照射水平。 微鏡陣列 就一方面而言,本發明係提供定形微鏡的一陣列,其 中各微鏡係與一光偈限相關聯,及特別是被納入包含該光 侷限的同一基板之定形微鏡的一陣列。 第5A圖說明如本發明之一定形微鏡陣列的一實例。如 所示,全基板502包括配置於其表面上之一反應區域陣列, 諸如零模式波導504-514。圓錐形或拋物面鏡516_526係集成 進入下方的透明基板52 8,及其構形係將入射光改向至陣列 中的ZMW ’或將來自陣列中的ZMW之射出光聚焦。尤其, 該圓錐形或拋物面鏡典型地由一反射材料諸如一金屬層如 鋁、銀、金、鉻等組成,及製入下方基板以提供該鏡表面。 如第5B圖所示,藉由一鏡諸如一拋物面或圓錐形鏡, 將自ZMW内之反應所發出的螢光訊號53〇改向或聚焦,以 増加該等訊號的收集效率。如在此所示,例如將進入該基 板上的反應區域之全向發出的光改向,藉此使其更容易地 被谓測到。在一些情況下,如在此所說明者,至少可將該 光部份地準直。此外,就各反應區域或ZMW而言,該鏡構 ^减少或消除在基板本身内之ZMw之間的串擾。除了減少 争擾之外,將理解因將所發出的光改向或聚焦而增強的收 隹 >、攻率,亦增加該系統的靈敏度。同樣地,該定形的光學 几件亦用於將入射的照明作用如光532聚焦在反應區域諸 30 201106032 如 ZMW504-514 上。 對於納入該等圓錐形鏡之裝置言,亦可採用任擇的構 形。例如,一個零模式波導的核心區域可延伸進入下方的 基板中。該實例係說明於第5C圖中,其中反應區域55〇延伸 超過覆蓋層552及進入下方的基板554中,在一也情況下容 許將鏡556所反射的訊號更有效地傳至與傳出反應區域 550。在總構造内可附加地包括選擇性的組件諸如阻光區域 或渡光鏡組件’包括例如光罩558,以進一步增強該鏡系統 的光學性質。 雖然在此所述的鏡係稱作“圓錐形,,或“拋物面,,鏡,將 瞭解該集成反射性聚焦光學元件組件之特徵在於其等在基 板内提供一反射組件之能力,其藉由將自反應區域發出而 通過基板的光改向而增強光的偵測作用,不計其確切的形 狀為何。在一些情況下,至少將自反應區域發出的光部份 地準直。本發明之定形鏡因而將來自基板上的一光侷限之 光,改向至一偵測器或至一光學元件,該光學元件係將光 自基板引至一偵測器之光學元件串的一部份。聚焦鏡可包 括拋物面構造以外的形狀,諸如圓錐形鏡構形、分段式圓 錐形鏡構形、截圓錐形鏡構形、部份拋物面鏡構形、梯式 鏡構开>、角錐形鏡構形等,前提在於該等構造將光改向, 例如藉由部份準直作用而增強自反應區域發射的光或通過 反應區域進入基板的光之偵測作用。在許多情況下,該等 鏡將具有圓柱對稱。鏡的形狀可為一種擬柱體,例如一種 角錐、楔形、稜鏡、反稜柱、鐘狀或其截頭體。當該鏡具 31 201106032 有多個側面時,諸如當其包含一角錐或一角錐的截頭體 時’該鏡可具有適且的側面數量。例如,當該鏡包令__角 錐時’該角錐可具有3、4、5'6、7、8、9、1〇、1卜12個 或更多個側面。 本發明的定形鏡通常為微鏡,意指該等鏡為小型的, 通常具有數微米或數十微米的尺寸。在一些情況下,亦使 用微反射器一詞指稱一微鏡。該等鏡所具有的戴面尺寸可 自約0.1微米至約100微米、約1微米至約5〇微米或約2微米 至約20微米。雖然本發明的鏡之尺寸為數微米至數十微 米,在些情况下本發明的疋形鏡可較大,例如自約1 〇〇微 米至約1毫米或更大。 此外,雖然依在基板本身内提供一反射材料諸如一金 屬層而說明,將理解可同樣地採用其他反射構形而不使用 一金屬層。例如,該等構造可由不同折射率的材料所組成, 以提供所表現的功能與在本案他處所述的金屬層相同之一 反射介面。例如,自具-折射率的—區域行進通過一介面 而進入具-較低折射率的一區域之光,若該光的入射角超 過一既定數值(臨界角)’通常將完全地内反射。依此方式, 藉由適當地調整該形狀任-側面之材料的折射率,毋;使 用一反射層,即可產生本發明的反射構造。 在-些情況下,本發明的定形反射構造包含圓錐平反 射器。在理論模式與實驗上,均顯示_形反射器型式中 的效率顯著優於非反射㈣基板。在—些情況下,圓錐步 構造係有㈣,因其等可容㈣藉由多種方法形成。例如: 32 201106032 可藉由控制光阻的幾何形狀及藉由微影條件,而控制壁的 錐度。例如藉由控制光阻的聚焦/曝光條件以控制該光阻的 拓撲,或藉由灰階微影法,可控制該光阻的幾何形狀。亦 可藉由控制#刻條件’例如控制表面鈍化作用的量或藉由 灰I5白触刻作用而控制形狀。本發明的圓錐形鏡基板通常 包含-種截圓錐構造。該圓錐形鏡基板的截圓錐區之壁可 為直的’或可包括-些彎曲。第6圖說明三種圓錐形構造之 實例。第6A圖顯示-圓錐形鏡構造,其中該鏡的構造係包 含一個直筒圓錐形構造6 〇 〇之一截圓錐,其係配置於一反應 區域或反應區下方的基板層中,諸如零模式波導63〇,以將 進入或離開該反應區域的光改向。第6B圖顯示具有一個下 圓錐區604與一個上圓錐區606之一種分段式圓錐形構造。 在β亥構造上配置一個反應區域640 〇在該實施例中,下圓雜 區604的側壁具有相對於基板法線之第一角度,及上圓錐區 606的側壁具有相對於基板法線之第二角度,其中第二角度 大於第一角度。在一些情況下,上部區的壁所具有之相對 於法線的一角度將小於下部區。如第6C圖所示,該圓錐形 構造可具有一個下截圓錐區608及其壁垂直於基板之—個 上圓柱區610。在該構造上配置一個反應區域650。具有第 6C圖的廓型之構造可適用於產生高密度的陣列。例如,具 有一圓柱頂部與/圓錐形下部之一構造,其所具有的基本 尺寸通常將小於具有相同側壁角度的單截圓錐構造。在一 些情況下,若該構造的基本尺寸較小,在一特定區域内所 置入的構造將更多。第6圖所示的反射構造係以自一底部透 33 201106032 明層突出之方式顯示。該底部透明層可為一平面基板,諸 如在其上表面上具有突出構造之一熔融矽石晶圓。第6圖之 構造通常將具有與該構造的壁相鄰之一反射塗層或一反射 區域,以增強光的内反射作用。例如可藉由以一反射層塗 覆該突出構造,藉由以反射性材料填充突出構造之間的區 域,或藉由在突出構造外使用一種折射率較低的介質以激 勵内反射作用,而提供該定形基板的反射性表面。 雖然第6圖的圖解係指反應區域係位於圓錐形構造頂 部的中央,在一些情況下,反應區域可能並非位於正中央。 製造公差可能造成反應區域偏離中央位置。此外,在一些 情況下,例如為領受較高的照明水平,或例如當照明或偵 測光學元件係以偏離該特定反應區域的法線之一角度配置 時,可生產偏離中央的反應區域。 可以如上述用於ZMW陣列之高密度,組合微鏡陣列。 與反應區域配套的微鏡密度例如可為自每平方公分1000個 ZMW至每平方公分1,000,000個ZMW或更高。在任一時間, 使用此述的微鏡陣列,分析在單一分析系統内或甚至在一 個單基板上之自 100、1000、3000、5000、10,000、20,000、 50,000、100,000個或1百萬個、1千萬個或更多個214\^或其 他反應區域發生之反應,可為適合需要的。 第7圖顯示用於本發明的微鏡之一圓錐形構造。如第7 圖所示之截圓錐構造,構成在透明基板上延伸之一突出構 造。該截圓錐可為一圓柱對稱,具有一個直徑為A之實質上 圓形的頂面、一個直徑為C之實質上圓形的底部及半高直徑 34 201106032 為D。該截圓錐的高度為B。該載圓錐的壁為實質上平直 的,及相對於表面的法線具有—角度e。在此所示之載圓錐 構造的側壁為實質上平直的,但該等壁可為非平直及仍作 用於將此述的光強度改向。在一些情況下,用於產生該圓 錐形構造之方法,將產生自平直至例如具有f曲廟型不等 的羞在其他情況下,納入非平直的壁,以增進收集效率。 在許多情況下,用於產生該突出構造之方法,將造成具有 突出、***之表面,或在偏離平直廓型的側壁上之其他缺 陷。在—些情況下,對於增加—基板上的反舰域密度而 言,具有一平直廓型係適合需要的。例如,一彎曲壁諸如 -抛物面所具有的底部直徑可能大於—平直側面圓錐。藉 由使用底部直徑較小的構造,在一些情況下可達成較高的 密集密度。 具有第7圖所示廓型之本發明的例示性圓錐形構造,其 頂部直徑A係介於約(U微米與1〇微米之間,例如介於1微米 與20微米之間,或介於2微米與1〇微米之間。在一些情況 下,截圓錐的頂部直徑A係介於約丨微米與約5微米之間。在 一些情況下,該直徑係介於2微米與4微米之間。本發明的 例不性圓錐形構造所具有的側壁角度θ係介於約2。與4 $。之 間、介於約5。與35。之間、介於約1〇。與3〇。之間或介於約μ。 至約26。之間。所產生的圓錐形構造,係將例如位於圓錐形 冓的頂。[5中央之反應區域所發出的光改向。例示性構造 至少將反應區域所發出的光改向進入距該法線的角度約為 22.5之圓錐形構造。在本發明的例示性實施例_,圓錐形 35 201106032 構造的高度B係介於約0.2微米與約100微米之間、介於約工 微米與約10微米之間,或約2微米至約8微米或約3微米至約 6微米。在-些情況下,控制截圓錐高度相對於底部直徑之 比例(B/C)係適合需要的。在例示性實施例中,B:c的比例 係介於約1:3與約3:1之間’係介於約1:2與約2:1之間、介於 約1.5:1至約1:1.5之間或介於約h2:1至約1:12之間。該等技 藝從業人士將瞭解A、Θ及B之選擇將決定底部寬度(:> 如上 述,為了增加本發明的光學構造之密度,控制該等參數藉 此底部寬度C係小至足以容許所增加的密度,可為適合需要 的。底部直徑C可介於約0.2微米與約〗〇〇微米之間、介於約 1微米與約10微米之間,或約2微米至約8微米或約3微米至 約6微米。 本發明之一例示性圓錐形構造的頂部直徑A係介於約工 微米至約5微米之間,高度B係介於約2微米至約8微米之 間’及側壁角度係介於約10。至約3〇。之間。本發明的例示 性圓錐形構造將具有置於圓錐頂部部份之—反應區域, 通常約位㈣料央部份。該反應區躺如可I種零模 式波導,其包含配置通過該圓錐形構造頂面上的反射性覆 蓋層之一孔徑。該孔徑所具有的直徑可為約3〇奈米至約3〇〇 奈米。 第8 (A)與8 (B )圖顯示本發明之定形鏡的二種例示性構 造。例如藉由發展用於包括+導體製程的微製造及用於產 生MEMS裝置諸如料納人光㈣法與㈣作用者之方 法’可產生該等構造。第8(A)圖顯示一透明基板8〇〇,在其 36 201106032 所具有的一頂面上配置在其等的側壁上具反射塗層830的 突出構造850之一陣列。突出構造850係定形微鏡,其將進 入反應區域8 4 0的照明光改向及/或將該反應所發出的光改 向進入配置於透明基板800的底面880下方之偵測光學元 件。反應區域840係零模式波導,其例如由延伸通過配置於 微鏡構造頂部上的一覆蓋層820之奈米級孔徑所組成。在一 些情況下’反應區域840將延伸進入透明基板中。在微鏡的 外側壁上配置一反射層830,以增強該等壁的反射性。在一 些情況下,覆蓋820與反射層830將為相同的材料,如鋁。 透明表面上方的區域810可含有包含試樣及/或反應物之— 液態介質’其可擴散進出該反應區域。在一些情況下,陣 列中的所有反應區域將與區域810中的相同溶液接觸。在— 些情況下’將在反應區域之間包括障壁,以降低或阻止反 應區域之間的擴散作用。 第8(B)圖顯示本發明的定形鏡之一任擇的構造。第8(B) 圖說明雖然透明基板802包含突出構造852,全基板卻因平 坦化層862而為實質上平面之情況。在例如以通過一覆蓋層 822之—孔徑的形式之突出構造852上,配置一反應區域 842。可在突出構造852上配置一反射層832,以增進反射 性。在一些情況下,未使用反射層832,例如當平坦化層862 包含一種反射性材料之情況。平坦化層可包含一種硬質材 料諸如一種無機材料,例如一整旋塗式玻璃或氮化矽。平 坦化層可包含一種金屬材料諸如鋁。平坦化層可為一軟質 材料諸如一種聚合材料,如一種有機聚合物諸如聚醯亞胺 37 201106032 或一種魏料聚合物。例如可經由位於基板8i2上方的區 域中之-液態介質’將試樣與試㈣入反應區域842。 透月基板可包含無機材料、有機材料或同時具有有機 與無機材料之複合材料4明材料典型地係 一種剛性材 料’其在觀測期間將反應區域維持於固定位置。較佳的材 料為石夕石式材㈣㈣融心,例如當制半導體或 MEMSlkH:以產生微鏡陣列時。透明基板亦可包含無 機氧化物材料及玻璃。透明基板材料可為—種非均質材 料’諸如一種具有多層的材料。在-些情況下,例如,透 明基板可包含-介質堆4。亦可使用透明的聚合材料。典 型所欲者係展現低水平的自發螢光之透明材料。適宜的透 明聚合物勤包含甲基丙频_聚合物,諸如pMMA、聚 碳酸酯、環烯烴聚合物、苯乙烯聚合物、含氟聚合物、聚 酯、聚醚酮、聚醚颯、聚醯亞胺或或其混合物。 在透明層中的突出構造外側之反射層可包含一種金屬 材料’諸如H金、銀、鉻或或其混合物。該反射層 亦可包含一種反射性有機聚合物,諸如包含分散於聚合材 料中的反射性顆粒之一種複合材料。 覆蓋層係一種不透明或反射性材料。覆蓋層可為一金 屬諸如鋁、銅、金、銀、鉻、鈦或或其混合物。在一些實 施例中’反射層與覆蓋層包含相同的材料。 本發明的微鏡可增進對於來自基板上的反應區域之光 的集光效率’使其優於在無鏡構造情況下所獲得的集光效 率。一光學系統的集光效率典型地受到集光系統的數值孔 38 201106032 徑影響。本發明的微鏡可增進藉由具一特定數值孔徑如0.5 的數值孔徑的一偵測系統所收集之光量,使其比無微鏡情 況下所收集之光量多約1 〇%、20%、30%、40%、50%、75%、 90%、2倍、3倍、5倍、10倍或更多。偵測系統的數值孔徑 例如可為自0.1至0.9。在一些情況下,數值孔徑係介於約〇.2 與0.5之間。 微鏡構造亦可增加反應區域來自一照明源的照明水 平。例如,可增加照明水平,使其比無微鏡情況下之照明 水平高 20%、30%、40%、50%、75%、90%、2倍、3倍、5 倍、10倍或更多。 就一方面而言,本發明係產生鏡構造,藉此照明光進 行建設性干擾及藉此增加反應區域中的光強度。光可進行 干擾,其係二或多種光波加成或疊合而產生一種新的波模 式。例如彼此相關或相參的波可發生干擾,例如因為其等 來自相同來源或因為其等具有相同或近乎相同的頻率。例 如,就本發明的鏡構造而言,可將入射光自該構造的側壁 改向,藉此自側壁的不同部份改向之光在鏡體積内交互作 用,而造成建設性或破壞性干擾。因經改向的光來自相同 來源,光之經改向的各部份將傾向於具有實質上相同的頻 率。在一既定區域中的光進行建設性抑或破壞性干擾,係 依該光是否處於同相(建設性)或異相(破壞性)而定。藉由控 制微鏡構造的幾何形狀與照明光的性質,可控制建設性或 破壞性千擾之區域。 藉由輸入鏡構造與照明光參數,可使用電磁模型化工 39 201106032 具諸如有限時域差分法(FDTD)或有限元素法(FEM),測定 建設性干擾之地區。吾等已測定就其中頂部直徑與光束直 徑係在直徑方面類似之一圓錐構造而言,可將一局部區域 諸如反應區域中的場強度,增加為無建設性干擾情況下之 10倍。在一些情況下,具高強度的局部地區之尺寸可為約 0.25至約1個波長。就增進照明作用而言’使一光束直徑與 微鏡尺寸相同可為有利的。在一些情況下,鏡構造具有一 頂面’例如具有一平面頂面之一截圓錐,及該頂面具有一 直徑。在該等情況下,該等系統之頂面直徑相對於入射光 的光束直徑之比例,係約1:5至約5:1、約1:3至約1:3、約1:2 至約2:1或約1:1.5至約1.5:1。 第9圖顯示以經準直的光照明之一微鏡構造之圖解。該 圖中之微鏡構造内的不同色調,係代表不同的光強度。該 圖顯示鄰近微鏡頂部之數個高強度區域,其中一高強度區 域係發生在位於鏡構造的頂部中央之反應區域。藉由使建 設性干擾發生在反應區域之方式建構該微鏡構造,可增加 反應區域中的光強度,使其高於在微鏡不存在之情況下所 得者。可使用建設性干擾以增加反應區域的光強度,使其 比無微鏡構造情況下之相同照明光的強度高約3〇%、4〇%、 50〇/〇、75%、2倍、3倍、5倍、1〇倍、15倍、20倍、3〇倍或 超過30倍。 微鏡陣列之製造 可藉由多種方法製造本發明的微鏡陣列。就該陣列的 製造方面而言,係在一透明基板上製造諸如突出構造的構 40 201106032 造之一陣列。可藉由模製、衝印、壓紋、加工、蝕刻或其 他適宜方法’製造該構造陣列。 製造本發明的微鏡陣列之一種較佳方式,係涉及使用 微製造方法諸如半導體或MEMS製程方法,其等已高度發 展用於製造例如積體電路。類似方法已用於產生供多種應 用包括喷墨印表機、加速計、壓力轉換器及顯示器(諸如數 位微鏡顯示器(DMD))之MEMS(微機電系統)。微製造方法 可施用於大型基板諸如晶圓,其等後續可切成多種裝置, 以容許一次製造多種裝置。就一方面而言,本發明係使用 該等方法,以製造一透明基板諸如一種玻璃如熔融矽石中 之一微鏡陣列。本發明的方法採用光阻方法,諸如光阻, 以界定透明基板或其他層上的結構元件。使肖Μ刻方法, 以產生包括反應區域與微鏡構造之三維構造。使用殿積方 法,以在基板上添加層。亦❹其他的半導體製程諸如灰 化、拋光、放行及剝以產生如更詳細地敘述於後之本 發明的微鏡構造。 透明基板可為任-適宜的剛性透明材料。該透明材料 可包含例如-種無機氧化物材料,諸如石夕石。較佳的材料 為熔融矽石。 就一方面而言,本發明係用於製造基板之一種方法, 該基板包含與藉由一種方法所納入的微鏡構造配套之反應 區域陣列,該方法包括下列步驟:a)提供具有一頂面之— 透明基板;b)在透明基板製作圖案與蝕刻,以形成具有頂 部與側面的突出構造之一陣列;幻澱積一覆蓋材料’藉此 41 201106032 該突出構造的頂部包含一覆蓋;句形成通過該覆蓋之一孔 徑陣列’藉此各突出構造的頂部包含/孔徑;及e)澱積一 反射性澱積材料’藉此各突出構造的側面包含一反射層; 藉此該突出構造陣列包含一微鏡陣列,在各突出構造頂部 之孔徑包含一種零模式波導。該方法涉及反應區域與微鏡 構造二者之製造。更詳述於此之一組方法首先涉及製造反 應區域’例如以一孔徑陣列之形式,然後製造微鏡構造。 另一組方法首先涉及在透明基板上製造微鏡構造,然後製 造反應區域。 為了製造本發明的陣列,將用於不同尺寸需求的不同 形體之不同方法組合,可能為有用的。例如,本發明的方 法可使用—種用於製造反應區域之193奈米微影製程,及用 於製造微鏡構造之I-線微影法。此並非典型的生產方法,因 其南要在製程中間將基板自一生產設施送至另一生產設 施0 第10圖至第18圖說明用於製造反應區域陣列之本發明 的例示性方法,各反應區域係與一透明基板内的一微鏡光 學元件配套。該等例示性方法並非提供構成本發明的方法 之窮舉清單。該等方法各涉及不同組的步驟。在一些情況 下,可將一方法的步驟組與另一方法的步驟組組合,以製 造本發明的基板。例如,一方法中之用於製造微鏡構造的 少驟,可與用於製造孔徑的步驟組合。此外,所提供之說 明可月b未舉出將被納入的所有步驟。例如,在許多情況下, 在此未述及之灰化、淨化、移除光阻、沖洗及乾燥步驟, 42 201106032 在許多情況下將納入本發明的方法中。 雖然所述方法中的步驟顯示,當適宜時特定層係直接 位於其他層之上’本發_方法包括在所述層之間納入中 間層,但未_地在此舉出。例如,就多種先时法而言, 例如在光阻與光阻下方的層之間包括—倾層或^抗反射 塗層諸如BARC。應瞭解可在所述方法_入。除非 特別說明’當適宜時可變更此述方法的步驟順序。 在-些情況下’該等方法提供姓刻數層之钱刻步驟, 例如同時穿過—金屬覆蓋層與透明基板,或同時穿過一保 護層、-金顧蓋層及透明基板三者。雖然科方法通常 顯示同時似彳該等層,在—些情況下,在各層進行個別的 U衫與蝕刻步驟。例如當蝕刻穿過一金屬層與透明基板 時,在一些情況下,所欲者係首先澱積—光阻及在第一光 阻作出圖案以蝕刻該金屬層,及澱積與在第二光阻作出圖 案以蝕刻该透明基板。在一些情況下,以提供例如分段式 圓錐形構造之一方式,可進行多個蝕刻步驟。例如,第一 4乏知與姓刻方法可钮刻該金屬層,及以垂直於該表面方式 直接向下延伸進入該透明基板,以形成一圓柱構造;及第 一微影與蝕刻方法以相對於表面法線的—角度產生側壁, 而形成一下方圓錐構造。依此方式,藉由使用多個蝕刻步 驟,可製造諸如第6C圖所示的鏡構造。 第10圖說明種半導體製造製程方法,其說明該微鏡光 學7L件與一種零模式波導陣列之製造’其令首先製造微鏡 元件’及在後續步驟中製造ZMW。如步驟I所示,首先以一 43 201106032 光阻層1002塗覆一透明基板層1000。該光阻然後在步驟II 中曝光與顯影’以提供鏡陣列的所欲圖案1004。在步驟III 中例如使用一種乾式蝕刻轉移方法,將其轉移至下方的 基板,以產生鏡陣列之一負凸紋1006。在此述的方法中, 製造具有拋物面構造之鏡的一陣列。在一些情況下,在轉 移步驟後提供-平滑化步驟可為適合需要的,以減緩來自 較粗糙的蝕刻表面之潛在的光學像差。該等平滑化步驟可 包括例如平緩蝕刻步驟,以提供表面的平滑化,例如較低 壓電漿蝕刻及/或在所轉移的表面上澱積平滑層材料。該等 平滑層的實例包括例如折射率匹配型材料,諸如Si0x或 Si〇2層,其等可使用習用的保角澱積方法,諸如低壓化學 洛氣殿積作用(LPCVD)製程、電漿強化式CVD製程(pecvd) 等,而殿積在該表面上。 在轉移步驟III之後,則在步驟IV中在作出圖案的基板 上澱積一反射性材料層1〇〇8。在特佳方面,該反射性材料 係一金屬塗層,諸如鋁或鉻,其經由金屬化製程如蒸發作 用或濺鍍作用而澱積在作出圖案的表面上,以界定鏡陣列 的反射表面。在一些情況下,使用一種保角澱積方法如基 <六、 發作用’而完成殿積作用或金屬化作用。 在金屬化步驟之後,在步驟V中,在反射層1008上搬積 一填充層或平坦化層1010。該步驟可使用多種填充材料, 包括附加的金屬層(或連續金屬層)、無機材料諸如矽、二氧 化矽、聚合材料、半導體材料等。在特佳方面,澱積一石夕 石式層作為填充層’及較佳該層包含二氧化矽或其他破璃 44 201106032 類材料。可經由數種習用方法,包括使用旋塗式破璃材料 諸如倍半矽氧烷,或經由基板上的矽填充層之蒸氣澱積作 用與後續氧化作用,而完成玻璃填充層之製造。 然後在步驟VI中,如經由表面研磨、拋光或蝕刻或其 專之組合而移除上表面,以暴露位於抛物面鏡的頂部部份 中之一開口 1012。然後在步驟νπ中’在具有孔徑諸如配置 通過其及與各拋物面鏡構造的開口進行光通訊之ΖΜλν核 心1016之上表面上,澱積一金屬覆蓋層1014,之以界定具 集成拋物面鏡之ZMW陣列。 第11圖顯示以一透明基板製造本發明的集成反應區域 與微鏡之另一例示性方法。在步驟⑴中,在其頂面配置— 覆蓋層1110之一透明基板1100上,澱積一光阻112〇如—光 阻。在該光阻製作圖案與顯影,以製造形體1125之一陣列。 在步驟(II)中’蝕刻該覆蓋層1110,以製造一反應區域陣列 1140諸如穿過該覆蓋的孔徑。亦可藉由諸如此述之其他方 法製造反應區域1140之陣列,諸如使用犧牲柱或藉由e-光束 蝕刻該覆蓋層。在步驟(III)中,在基板上澱積一保護層 1150。在步驟(IV)中,澱積用以界定鏡構造1160的形體之一 / 光阻,及製作圖案。在步驟(V)中,使用單一或多個蝕刻步 驟,以產生在此示為圓錐形之微鏡構造。然後將光阻移除, 以暴露出保護層。在步驟(VI)中,例如藉由滅鍍作用或蒸發 —金屬1170而澱積反射層。在步驟(VII)中,澱積用以界定 鏡構造1180的頂部之一光阻及製作圖案。在步驟(VIII)中, 钮刻未被光阻覆蓋的金屬部份,以暴露出其下的保護層。 45 201106032 然後移除β保護層,以開啟孔徑。所產生的陣列具有由穿 過該覆蓋的孔徑層所組成之一反應區域,該孔徑層各配置 於一鏡構造頂部。 本發明之一種任擇的例示性方法係示於第12圖。步驟 ⑴與(II)使用光阻1220 ’在透明基板1200頂部的覆蓋層121〇 中製造孔徑1240的一陣列。亦可藉由諸如此述之其他方法 製造反應區域1240之陣列,諸如使用犧牲柱或藉由e_光束 蝕刻該覆蓋層。在步驟(111)中,殿積用以界定微鏡構造126〇 之一光阻及製作圖案。在步驟(IV)中,控制光阻的幾何形狀 及蝕刻條件,以製造具有微鏡構造的梯形側壁之—形體, 該微鏡構造在此示為具有實質上平直側壁之一圓錐形構 造。在步驟(V)中澱積反射層,如以一金屬層1270之形式。 在步驟(vi)中’澱積一光阻1280及製作圖案,以暴露出在微 鏡頂部構造上之光阻區域上方的區域。在步驟(νπ)中,蝕 刻所暴露出之金屬,然後移除該光阻以暴露出孔徑,因此 產生各與微鏡配套的反應區域之一陣列。 第13圖顯示本發明的另一例示性方法。首先,步驟⑴ 與(II)使用光阻1320,在透明基板1300頂部的覆蓋層ΐ3ι〇中 製造孔徑1340的一陣列。亦可藉由諸如此述之其他方法製 造反應區域1340之陣列,諸如使用犧牲柱或藉由e•光束蝕 刻該覆蓋層。然後,在步驟(m)中澱積一保護層13s〇。在步 驟(IV)中,澱積用以界定該微鏡構造1360之_光阻及製作圖 案。在步驟⑺中,使用__方法以製造具有梯形側壁的 微鏡構造,及移除該防蝕與保護層。在步驟(VI)中,藉由光 46 201106032 阻的澱積、製作圖案及移除,在微鏡構造頂部產生光阻枉 狀物1380,及在步驟(VII)中殿積金屬1370,以在微鏡上形 成一反射層。在步驟(VII)中,移除該光阻,移除光阻柱狀 物及已澱積在其等頂部上的金屬’藉此產生各與微鏡配套 的反應區域之一陣列。 在顯示於第14圖之另一例示性方法中,步驟⑴與(II) 使用光阻1420,在透明基板1400頂部的覆蓋層141〇中製造 孔徑1440的一陣列。然後,在步驟(III)中,澱積一犧牲層 1450。較佳的犧牲層包含鍺或矽。在步驟(IV)中,澱積用以 界定該微鏡構造1460之一光阻及製作圖案。在步驟(v)中, 使用一蝕刻方法以製造具有梯形側壁的微鏡構造,及使用 拉開方法以拉開微鏡頂部上之犧牲層的邊緣。在步驟(VI) 中,澱積金屬1470作為微鏡的反射層。濺鍍作用可為適用 於該金屬澱積步驟之一方法,因其可產生有效的側壁覆蓋 作用’而不覆蓋犧牲層的側壁。在步驟(VII)中,釋放該犧 牲層’亦移除犧牲層頂部上之光阻與金屬層。在釋放犧牲 層方面使用一種濕式釋放方法可為有利的,因藉由容許釋 放物質擴散通過進出孔,在一些情況產生有助於有效移除 犧牲層頂部上的光阻與金屬層之氣泡。在一些情況下,使 用音波處理以及濕式釋放方法。該方法產生各與一透明基 板上的微鏡配套之反應區域的一陣列。 第15圖顯示本發明之一任擇方法。在步驟⑴中,在透 明基板上1500上澱積用以界定微鏡1510之一光阻及製作圖 案。然後使用例如一種反應性離子蝕刻方法,在透明基板 47 201106032 1500中製造微鏡構造。在步驟(111)中,在該微鏡構造上澱積 一金屬反射層1520。在步驟(IV)中,澱積一平坦化層1530, 諸如一旋塗式玻璃或PECVD氧化物。平坦化層的厚度例如 可為8微米至10微米。平坦化層可為一種硬質材料諸如旋塗 式玻璃,或可為一種軟質平坦化層。軟質平坦化層例如可 為一種旋塗式UV熟化型有機聚合物,諸如桑默斯(Summers) J91或SK9。當平坦化層包含一種硬質材料時,該平坦化通 常進行拋光,例如以步驟(V)中說明的化學機械拋光(CMP) 進行。當平坦化層包含一種軟質材料諸如一種UV熟化型聚 合物時’則可在UV熟化之後使用氧蝕刻作用,以蝕除旋塗 式聚合物的頂部區域而達到微鏡頂部,其係類似於拋光作 用。該拋光或氧蝕刻步驟暴露微鏡構造的頂部。在步驟(VI) 中,在該表面上澱積一金屬覆蓋層1540。在步驟(VII)中, 澱積用於界定孔徑1550之一光阻及製作圖案。在步驟VIII 中’蝕刻該覆蓋層以形成反應區域1560,及移除光阻,以 產生各與一透明基板上的微鏡配套之反應區域的一陣列。 第16圖顯示本發明之又另一任擇方法。在步驟⑴中, 在透明基板1600上澱積用以界定微鏡1610之一光阻及製作 圖案。然後使用例如一種反應性離子蝕刻方法,在透明基 板1600中製造微鏡構造。在步驟(ΠΙ)中,在該微鏡構造上澱 積一金屬反射層1620。在步驟(IV)中,澱積一軟質平坦化層 1630諸如一種聚合層。軟質平坦化層例如可為一種旋塗式 UV熟化型有機聚合物,諸如桑默斯(Summers) J91或SK9。 在步驟(V)中,澱積用於界定反應區域1640之光阻及製作圖 48 201106032 2在步驟(νι)中,進行深姓刻,其蚀穿軟質平坦化層ι63〇 、金屬層162G ’以形成反應區域166G。在步驟(VII)中,移 除光阻與軟質平坦化層,藉此產生各與—透明基板上的微 .兄配套之反應區域166〇的一陣列。 第17圖與第18圖顯示本發明的任擇方法,其使用自澱 積在-透明基板諸如溶㈣石上的—層所形成之小型柱狀 物(奈米柱)。該奈米柱係形成在表面上及稍後移除,以產生 本發明的反應區域。該奈米柱可自一層之任一適宜材料形 成。用於形成奈米柱的較佳材料為多晶矽(p〇ly_Si)或多晶鍺 (P〇ly-Ge)。例如可藉由非晶矽之低壓化學蒸氣澱積作用 (LPCVD)、電漿強化型化學蒸氣澱積作用(pECVD)或固相 結晶作用(SPC),在透明基板上澱積多晶矽。可在此述的其 他方法諸如上述該等方法中,納入使用奈米柱諸如多晶矽 奈米柱,以製造反應區域。雖然述及用於多晶矽所製成的 奈米柱之一些方法,應瞭解該等方法可使用其他適宜材料 所製成的奈米柱。 就第17圖所示之方法而言,在步驟⑴中,在一透明基 板的頂部上產生多晶石夕奈米柱的一陣列,例如藉由在該透 明基板上澱積一多晶矽層,澱積光阻及在該光阻製作圖案 以界定奈米柱,及蝕除不需要的多晶矽區域,以產生該奈 米柱。在步驟(II)中,澱積用於形成微鏡構造之一光阻及製 作圖案。在步驟(ΠΙ)中’進行透明基板之蝕刻作用,以產生 具有梯形側壁的微鏡構造。在步驟(IV)中,澱積厚的金屬, 藉此掩埋奈米柱及填充微鏡構造之間的區域。在步驟(V) 49 201106032 二例::由μ將金屬層平面化’藉此暴露出奈米柱的 貝二然後在步驟㈤中移除暴露出的奈米柱,以產生反應 =域的-陣列。在卿施财,金屬係㈣為覆蓋層及微 鏡構造的壁上之反射表 表面在—些情況下,厚的金屬層可 於熱管理,因所產生的金屬層可具有相對高的熱傳送 係數。 第8圖頁示使用奈米柱以產生反應區域陣列之一任擇 方法。在步驟(I)中’在—透明基板的頂部上產生多晶石夕奈 只柱的陣列命j如藉由在該透明基板上殿積一多晶石夕 層’殿積光阻及在該光阻製侧案以界定奈米柱及钱除 不需要的多晶碎區域’以產生該奈米柱。在步驟(π)中殿 積用於形成微鏡構造之—光阻及製作圖案。在步驟⑽中, 進行透明基板的㈣作用,例如反應性離子射㈣用,以 產生微鏡構造。在步驟(IV)中,殺積金屬層,藉此在微鏡頂 邛構造上形成一金屬覆蓋層,及在微鏡構造的側面上形成 反射層。在步驟(V)中,澱積一平坦化層諸如旋塗式玻 璃以填充微鏡構造之間的區域。在步驟(VI)中,進行一平 垣化或拋光步驟’以將微鏡頂部構造上的平坦化層與金屬 層平面化,及暴露出奈米柱構造。在步驟(VII)中,移除奈 米柱構造’以產生各與一微鏡構造配套的孔徑或反應區域 之陣列。 第19圖顯示使用一犧牲層形成本發明的一反應區域諸 如—奈米級孔徑之一種方法。第19圖所示之方法可被納入 或與此述的任一適宜方法組合,包括上述第10至18圖的該 50 201106032 等方法巾絲-者,㈣成與树_微軌 域陣列。在步_巾,在透明基板侧上塗覆―= 测,及在犧牲材料侧上塗覆—硬質光罩層192〇。犧牲 ,料例如可為多晶碎或多晶鍺。硬膜層材料例如可為一種 氧化物或氮化物’諸如⑪氧化物或氮切。在後續㈣步 驟期間,硬膜層材料應具有對於犧牲材料之可接受的選擇 性。在步驟(II)中,使用微影法姓刻硬質光罩。在步驟⑽ 與步驟(IV)中,細I該犧牲層。使用如步驟(IV)中所示之一 種控制式過度_作用進行_,以產生-特定的基似 形成一犧牲柱。可進行基蝕,藉此硬膜層光罩的直徑或其 他表面尺寸(W1)係犧牲層直徑(Wp)之1.1至3倍。在一些情 況下,Wi/Wp係介於約15至約2 5之間。在一些情況下, Wi/Wp係介於約]6至約2 2之間。基蝕尺寸例如可介於約1〇 奈米與約300奈米之間、介於約50奈米與約200奈米之間或 介於約70奈米與約15〇奈米之間。然後在該表面上澱積金屬 覆蓋1930。如藉由濺鍍作用之一方式進行覆蓋澱積作用, 藉此該金屬係澱積在硬質光罩延伸超過犧牲層之區域下方 的透明區域上。在步驟(VI)中,例如以優先溶解犧牲材料之 —作用劑移除犧牲柱,以暴露出反應區域1940。當犧牲材 料為鍺及金屬覆蓋為鋁時,可使用一種含水的氧化劑’其 將溶解鍺而未顯著地移除鋁。 可在單一步驟或多個步驟中,進行蝕刻透明基板以形 成微鏡構造之作用。在一些情況下,可使用一系列的光微 影與姓刻步驟,以形成鏡構造。雖然使用多重蝕刻步驟將 51 201106032 增加該方法之步驟,在一些情況下’可使用該等步驟系列, 以提供對於微鏡構造的更多控制。在一些情況下,可使用 2、3、4、5、6、7、8、9、10、11、12、13、14、15、20、 3 0或更多個步驟。第2〇圖顯示使用多個微影與蚀刻步驟之 一例示性方法。對於第20圖所描述之方法而言,以光阻塗 覆基板2000、製作圖案及蝕刻9次。蝕刻第一層,例如,以 產生具最底層直徑之一圓柱構造。然後進行後續的各光阻 層之圖案製作’以具有直徑略小於前一層之圓形。該多重 步驟方法之結果係構造2010之一陣列,該構造廓型係由抗 |虫劑所界定。在一些情況下,該方式將導致具有由層2010 所界定的一系列階地2020之一構造。若為所欲,可平滑化 該等構造的壁,例如藉由濕式或乾式蝕刻,以使得階地構 造部份地或完全地平順。該構造可經反射方式塗覆,及可 在藉由多重蝕刻步驟形成微鏡構造之前或之後,如此述製 造配套的反應區域。第20圖所述的構造2010係以異有相對 爭直側壁(具階地)之形式顯示,但可使用多重蝕刻步驟方 法,以製造具有包括彎曲壁、分段式圓錐形構造、拋物面 構造等的任一任意形狀之構造。該多重蝕刻步驟<納入等 向、非等向或灰階蝕刻步驟之任一組合。雖然所述方法產 生一圓柱對稱構造,將理解亦可使用該多重步驟方法,以 產生具有並非圓柱對稱的其他對稱性之構造。 在一些情況下,本發明的方法使用抗蝕劑,以使用微 影法界疋與產生構造。該等抗蝕劑例如可為光陴或e-光束 抗蝕劑。該等光阻可使用紫外線、深紫外線、G_線、H-線、 52 201106032 ι-線或其他適宜的波長或波長組顯影。所用的光阻類型,及 因此該方法所用之儀器配置類型,將依所產生的形體尺寸 而定。在此述的許多方法中’將使用解析度較高的抗触劑 與設備以製造對應於反應體積之孔徑’其中該孔徑尺寸可 為10奈米至500奈米,及使用解析度較低的光阻與相關儀器 配置以產生微鏡’其可具有1微米至20微米的形體尺寸。技 藝中已知多種抗姓劑,及多者可自諸如羅門哈斯希普勵 (Rohm and Haas and Shipley)公司以商品取得。本發明的方 法中所用之光阻可為負型或正型光阻。當述及使用一負型 光阻之一方法時,應瞭解當可行時亦可使用一適宜的正型 光阻,及反之亦然。當適當時’亦可使用化學增幅作用, 以增加光阻的靈敏度。依適當及如技藝中所教導者,可進 行光阻之移除及基板之淨化、沖洗、灰化與乾燥。 在一些情況下,用於反應區域(如ZMW)之光微影工 具,係使用可產生形體尺寸約1〇奈米至約1〇〇奈米的構造之 光微影曝光工具。該系統例如包括AMSL XT1250曝光工具。 在本發明的一些方面使用蝕刻方法’以在透明基板中 或在其他層中產生三維形體,以構成例如光學元件諸如微 鏡或透鏡,或反應體積諸如奈米級孔徑。所用的蝕刻方法 將依所用的材料類型、形體尺寸及光阻系統而定。在一些 情況下,使用濕式#刻作用或濕式化學勉刻作用。亦可使 用電化學蝕刻作用。在一些實施例中’使用電漿蝕刻作用 或反應性離子蚀刻(RIE)作用作為餘刻方法。例如當所欲者 係具有高的高寬比之構造時’亦可使用深反應性離子触刻 53 201106032 作用(DRIE)。亦可使用例如以二氟化氙進行之乾式蒸氣相 名虫刻作用。當適於產生本發明的構造時,可使用體型微加 工作用或面型微加工作用。用於本發明的方法中之蝕刻作 用,可為灰階蝕刻作用。控制光阻形成作用與蝕刻作用之 條件,以產生具有所欲的幾何形狀之側壁諸如具有所欲的 側壁角度,以作用為微鏡。 本發明的一些方法涉及反射層或覆蓋層之澱積作用。 可藉由濕式方法包括自溶液旋塗在層上,或藉由氣相方 法,而完成該等反射層的澱積作用。適宜的方法包括電鍍 作用、濺鍍澱積作用、物理氣相澱積作用、蒸發作用、分 子束磊晶法、原子層澱積作用及化學蒸氣澱積作用。可使 用金屬作為反射層與覆蓋層。適宜的金屬包括金、鎳、鋁、 鉻、鈦、鉑及銀。反射及/或覆蓋層可包含鋁,其可藉由濺 鑛作用而澱積,例如使用可自CVC、諾發(Novellus)或MRC 公司取得之一種商品化濺鍍工具。 當在本發明的方法期間澱積各層時’在一些情況下, 該等層在移至方法中的下一步驟之前進行處理。例如,所 澱積的層可進行退火、平面化、淨化、鈍化或輕度蝕刻, 以增進其性質。 在本發明的一些方法中’澱積保護層或犧牲層。保護 層可為聚合層,或可為無機層。適宜的保護層或犧牲層包 括鍺(Ge)與非晶矽(a-Si)。保護層可用於產生此述的形體。 可就其選擇性反應性,例如對於濕式化學蝕刻劑的選擇性 反應性,選擇用於保護層或犧牲層之材料類型。例如,在 54 201106032 一 it况下,在一氧化矽與鋁存在下,以加熱的過氧化氫 l擇丨生地似彳鍺之能力,造成其被用於製造與奈米級孔徑 配套之光學微鏡構造。 在-些方法中,使用一種拉開方法。拉開方法通常涉 及自層内之-形體邊緣關,以減少該形體的尺寸。可 使用選擇性地與具有暴露邊緣的—層反應之 一種濕式化學 減劑’進雜開作用。在__些情況下,係使用過氧化氣拉 開一鍺層。 —些方法使用一拋光步驟,以自基板移除一表面區 域。適宜的方法包括化學機械拋光作用或化學機械平坦化 作用(CMP)。 本發明的一些方法納入一平坦化層。用於澱積平坦化 層之方法將依所用的材料類型而定。平坦化層可為一種硬 質材料,諸如一種無機材料例如氮化矽;其可為一金屬材 料諸如紹;或其可為一軟質材料,諸如一種聚合材料如一 種有機或矽式聚合物。平坦化層可為玻璃,諸如一種二氧 化矽材料。在一些情況下,平坦化層包含一種旋塗式玻璃 諸如一種矽酸鹽、磷矽酸鹽或矽氧烷材料。適宜的旋塗式 玻璃材料例如可自漢威(Honeyewell Corporation)公司取 得。平坦化層可包含例如摻雜其他作用劑以控制其熔融性 質之一種玻璃,諸如硼-磷-矽酸鹽玻璃(BPSG)。適宜的聚 合性平坦化材料例如包括聚醯亞胺。 可將本發明的陣列納入分析系統中,以用於分析發生 在該陣列的反應區域中之多個反應。此述的陣列典型地具 55 201106032 有來自頂部的流體可到達之反應區域,及其為來自底部的 光學分析可到達。因此通常將該陣列納入一容器中,及在 該容器中引入所欲探討之一反應混合物。在一些情況下, 個別的反應區域皆與一體積的流體接觸,該流體可具有例 如可進行分析之多個核酸模板分子,及其可具有核苷酸、 輔因子及用於進行待分析的反應之其他添加劑。 包含該陣列之容器可置於具有適當的光學組件、電腦 控制及資料分析系統之一儀器内。包含該陣列之容器將被 固定於該儀器内,藉此可控制反應條件諸如容器溫度與容 器的大氣條件。容器的大氣條件可包括試樣上方的氣體組 成,例如濕度及其他氣體物種諸如氧之水平。 集成透鏡陣列 如同集成拋物面鏡,可使用多種習用技術製造透鏡陣 列,包括例如半導體製造方法、聚合材料的微模製等。例 如,如同用於上述集成鏡之製造方法的部份,諸如反應性 離子蝕刻作用之蝕刻方法可用於製造該等透鏡陣列。任擇 地,如上所提及,可變的離子佈植方法可用於改變基板組 件的折射率,而以一現有基板界定透鏡。如亦將理解者, 亦可在基板中製造對於來自反應區域的光提供增強的集光 作用之附加光學元件。例如,上述的離子佈植方法,可用 於界定直接用於基板中之各個不同反應區域之繞射光柵。 在任擇方面,以將傳至及/或來自基板的螢光訊號至少 部份準直或聚焦之透鏡陣列,置換(或在一些情況下擴增) 該圓錐形或拋物面鏡。第21圖說明該種透鏡陣列。如所示, 56 201106032 總裝置2100再度包括配置在一透明基板2116的第一表面 2114上之一陣列的零模式波導,如ZMW2102-2112,及所欲 探討的反應在其中進行。微米或奈米透鏡如透鏡2118-2128 之一陣列,係配置於透明基板2116的對向(或後)表面2134 上。如所示,該等透鏡具有同一尺寸,及其係以與ZMW陣 列配合之一節距配置,藉此各ZMW具有其本身的配套透 鏡。如同第5B圖的鏡陣列,將自ZMW發出的光改向,例如 藉由與其配套的透鏡部份準直,及收集與分析該光以監控 所欲探討的反應。雖然該等透鏡在消除基板内的串擾方面 不如拋物面鏡構造有效,其等提供成本與容易製造之附加 的效益,及在一些情況下可用於取代或輔助該等集成鏡。 如所示,透鏡陣列可集成進入下方的透明基板。任擇 地,透鏡陣列可分別地製造及與下方的基板接合,以提供 相同或類似的結果。雖然以配置在陣列的後表面之一種單 層透鏡說明,將理解該透鏡陣列可由各定址基板上的不同 區域之多個透鏡層所組成,或在基板上的—肢區域組合 以提供-所欲的光學功紐。另外,雖然以自透明基板的 後表面突出之透鏡說明,在-些情況下,透鏡可在透明基 板内集成。例如,透鏡可在適#位置組裝進入下方之基板, 藉由提供在該等位置的基板之折射率變化。如制的透鏡 製造’可使用微加玉技術將個別透鏡嵌人基板中,以提供 雙折射率。料,藉由在基板的藝部份產生—折射率梯 度,基板的該等部份可作用為透鏡。可藉由數種方式,例 如包括離子佈植方法,改變基板例如—種玻璃基板的折射 57 201106032 率。除了透鏡或透鏡陣列之外,可同樣地將繞射光柵或其 他光學功能性組裝進入下方的基板中。 因為藉由聚焦光學元件將來自基板的訊號至少部份準 直,對於乍視野、高數值孔徑物鏡之需求減少,而可使用 較廣視野、較低NA物鏡,其通常賦予全系統成本與可用性 之優點’因可使用製造較不嚴密的物鏡。此外,用於製造 較高倍物鏡的異類材料當暴露於激發輻射時,可引起物鏡 本身的光致發光之增加。 此外’因為使用較低倍物鏡,在物鏡與基板之間所提 供的間隔,可大於當使用高數值孔徑物鏡時所通常提供 者°該附加的間隔容許在基板與集光物鏡之間***附加光 學組件’如適當的分色鏡。在至少一個例示性實施例中’ 在基板與物鏡之間提供之一分色鏡,容許將來自集光物鏡 及其他集光光學元件組件的照明光分開。藉由進一步將激 發路徑與偵測路徑分開,及尤其藉由提供並未看見激發光 之一集光物鏡’可完全消除集光路徑中因激發照明通過該 物鏡所引起之自發螢光或光致發光。該光學裝置之一圖解 係示於第22A圖。如所示,全系統2200包括在其上配置數個 反應區域2204之一基板2202。亦以集成進入該基板(如所示) 或以個別組件之形式,包括例如用於將來自反應區域的光 學訊號準直及/或將照明作用聚焦在反應區域上之聚焦光 學元件(如上述的集成微鏡或透鏡)。該系統亦包括一激發光 源2206或多個激發光源,以提供激發光至基板22〇2。激發 光係經由位於集光物鏡2210與基板2202之間之分色鏡2208 58 201106032 而導向基板。藉由將來自集光物鏡2210的激發光分開,可 避免與集光物鏡2210的自發螢光相關聯之問題,自發 可為引起雜訊的重要原因之一。 在措由分色鏡2208反射至基板2202之前’激發照日月^乍 用典型地亦將經歷附加的操作,諸如分光、波束整形、淚、 波等。例如,激發光可通過多工光學元件,如繞射光學元 件(DOE)2212,以提供大量的個別的小射束;以及通過用於 將小射束聚焦在基板的焦平面上之聚焦光學元件,如物鏡 2214 〇 所發出的訊號憑藉其等來自激發光之不同光譜特性, 通過分色鏡2208及藉由集光物鏡2210收集。如先前所示, 當業已藉由聚焦光學元件將來自基板的訊號部份準直時, 集光物鏡可具有顯著較低的倍數,如自用於無聚焦光學元 件的一系統之視野3毫米以下的一種60X(數值孔徑為〇 9)或 更高倍物鏡,改為視野45毫米以上的一個4X(數值孔徑為 0.28)或更低倍物鏡,而仍提供足以用於單分子分析之靈敏 度。如同第2圖所示之系統,所收集的訊號然後例如可藉由 通過稜鏡2216而進行光譜分離作用,及例如經由透鏡η” 而進一步聚焦,或在偵測器222〇進行偵測之前進行其他操 作。 基板的分色鏡與照明路徑之一附加或任擇的圖解,係 示於第22B圖。如所示,分色鏡層22〇8係夾在二個稜鏡225〇 與2252之間的介面。來自一照明源如雷射22〇6之激發輻 射,係導向分色鏡2208。如所示,激發輻射首先被基板22〇2 59 201106032 的後表面反射’如實線箭頭所示。激發光然後被分色鏡2208 反射朝向基板及配置於其上的反應區域,如第22A圖中的反 應區域2204。自反應區域所發射的螢光訊號然後可通過分 色鏡2208進入物鏡2210,及進入光學元件串的剩餘部份及 偵測系統’如第22A圖中所示。藉由如第22B圖中所示以垂 直於光程之一定向提供總分色鏡元件,而非如第22A圖中所 示之相對於該定向之傾斜,可減少引入該物鏡空間的光學 像差量,而光學像差視情況可能降低在偵測平面或共焦平 面之影像品質。 B.時間多工 就一方面而言,可經由一既定系統的時間多工,如在 不同時間暴露不同的區域,以辅助或取代此述的其他增加 多工之方案,而達成多工之增加。該系統可包括以相對低 速在不同的區域組之間切換,其係類似於一種掃描方式, 如在不同的時間在不同區域照明及/或偵測,其中對於不同 區域而言,不同的時序較不具關鍵性。任擇地,及參照本 發明的部份’分析之時間多工係以就一特定分析而言之充 分頻率進订,在不同的區域實質上同時進行·作用及/或 偵測作用,藉此自照相影格擷取速率之方面而言,在不同 區域的偵測作驗乎是同時,如先前所說明者。 本發明的该方面係以圖式方式說明於第23圖中。如所 不,在一基板2300上提供第一照明模式,其係在第一時間 點如時間t-o照明反應區域231〇的第一子集23〇2。然後在時 間t-卜將&定的照賴式切換至照明區域23觸—個不同 60 201106032 t=〇與t=1在時間上係充分 子集2304。就本發明之目的而言 接近,如切換頻率係充分的,藉此該二照明模式係實質上 同時的’如崎彙在杨域所歧者。雖颜顯示者係 在㈣與之間⑽的二财集模式,將轉如本發明之多 種不同的照明模式可在所欲的時段内照明與切換,以提供 不同區域之實質上同時的照明作用。例如,可以實質上同 時之方式分別地照明區塊、縱列、部份或區域之隨機或任 意的選擇或子集。 在至少-實施中,本發明的時間多工系統使用一種光 千切換組件’其可選擇性與快速地在二或多個不同的光路 控之間切換’而照明基板上的不同區域。例如,在第一方 面 为析系統的照明路徑包括位於光程内之一種可切換 式定向鏡,以將激發照明的方向切換至基板上的不同位 置。在更複雜的系統中,可使用可快速地將標定照明作用 導向一基板的不同部份之一種可程式鏡陣列,諸如一種數 位光學處理器(DLp)。如可選擇性地切斷子集或甚至個別小 射束的光程之其他動態切換組件,例如包括MEms快門陣 列、空間光調變器諸如LCDSLM。第24圖說明該種照明路 控之一實例。如所示,照明路徑2400包括一激發輻射源, 诸如雷射2402。s亥雷射係導向通過適當的多工光學元件, 諸如一或多個繞射光學元件、光柵等,如DOE2404,以產 生所欲多工之一標定照明模式。該標定照明模式係導向一 鏡2406 ’其選擇性地將部份的照明模式引導通過剩餘的光 學元件串,諸如分色鏡2410與物鏡2408,以入射在基板2414 61 201106032 上之5玄區域的一部份上。該鏡的快速切換造成在不同時間 對於基板2414上的不同區域之高頻率照明作用,但較佳位 於該偵測系統的影格擷取期間内。在特佳彳面,該切換系 統的運作頻率係超過該系統中的—器之入射速率,如照 相機的影格擷取速率。尤其,在H影格擷取事件中 切換至少1次,及較佳至少2次,及在—些情況下例如更常 切換至少3次、4次、5次或更多次’在照相機獲取一個單一 影格的時間内,該切換過程應為該偵測系統基本上無法偵 測與不可觀測的。舉例而言,對於影格速率如自1〇〇至 1000Hz之種尚速照相機而言,偵測系統的切換頻率應至 少為100Hz、200Hz、ι000Ηζ、2〇〇〇Hz或甚至更高。如將理 解者,在一些情況下,個別的小射束動態調制作用可用於 調整個別小射束或小射束子集之相對強度,以針對因光學 系統中的其他像差如漸暈等所引起之跨基板的變化進行調 整。 如將理解者,雖然述及以間歇方式傳送多工光學元件 2404之多工光束的不同部份至基板2414,在一些情況下, 切換組件可能單單地將多工光束改向至基板2414的不同部 伤,以進一步增強多工。例如,在一些情況下,多工光學 元件2404可產生照明第一區域子集之一照明模式,如第23 圖中之子集2302。切換功能然後引導相同模式的標定照明 作用至一附加的區域子集,如第23圖中之區域2304。因此, 可選擇性地設計該多工光學元件以提供相同功能,諸如照 明每隔一縱列或排的反應區域。然後設計該切換光學元件 62 201106032 諸士鏡2406之構形,以在一半的期間將光束改向照明居間 縱列或排的反應區域。 C.搜尋活性 雖然本發明的許多方面係朝向增加經歷分析反應用的 照明及/或偵測作用之反應區域的總數目,在—些情況下, 可經由初始審查大量的反應區域,接著僅對於具活性如顯 示所欲探討反應的跡象之該等區域的一子集進行後續照明 及/或偵測作用,而達成一有效的多工。因此,在又一方面, 增加的多工僅施用在一基板上之活性區域。實際上,該方 法審查大置的反應區域,但僅繼續分析一小型子集。因此, 雖然對於一高多工進行有效地初始審查,最終的分析係在 可能甚至不需要一超高多工因子之顯著較小的反應區域子 集上進行。所得的分析有效地增加多工,亦即相關區域的 分析處理量之水平較高,同時不需在整個分析期間維持高 多工分析。結果,所需的能量輸入可能比直接的高多工方 式低,同時具有在訊號雜訊比等方面之增益。第25圖以圖 式方式說明前所提及的方法之概觀。如所示,首先廣泛地 審查具有大量的潛在反應區域之2502—基板2500,以辨識 活性反應區域(如實心圓25〇4所示)。該廣泛照明作用可為統 一泛光照明整個基板或其顯著部份之形式,或可為對於不 同區域的掃描分析之形式,以辨識該等展現活性者。任擇 地,可類似地使用標定照明方式,以辨識活性區域2504。 一旦辨識出,然後配置光學系統而僅照明該等展現活性的 區域,造成僅照明及因此監控來自該等區域的訊號(如虚線 63 201106032 圓2506所示)。 該選擇性照明作料㈣多種不同的光學系統或組 件例如’如同上述的時間多工照明方法,可快速地配置 可程式鏡陣列’而僅照明_特定基板上所選擇的確切區 域。同樣地’如習用的平面LCD顯示器中所用之具有可定 址晝素的LCD光罩,可用於選擇性地照日卜基板上的所欲 區域。該等組件可如有關第24圖中之鏡2楊所述地配置, 以選擇性地崎定向前往基_賴性區域之小射束。尤 其’藉由提供—種LCD式光罩,可選擇性地定址個別畫素, 使其等對於激發照明如小射束的透射作㈣啟或封閉,因 而控制最後入射在基板上之小射束的數目與模式。 除了在基板上的不同區域提供可控制式光罩照明作 用之外,上述方式亦可用於調節一基板上的不同區域之照 明強度。尤其,藉由調制通過不同光罩元件的光,可調制 基板所接收的光強度。上述的調制作用特別適用於因應其 他光學組件所造成的標定照明作用中之不均—性,如多工 光學元件如DOE等中的變化所造成之不均一性。尤其,可 製造一灰階光罩,或在可程式空間光調變器之情況下進行 程式化,以調整在不同照明區域之標定照明作用之間之任 一預定的不均/性。尤其,可獲得一特定光程的照明廓型 之一取樣品,包括多工光學元件,及基於該模式配置空間 光5周變器或光罩,以適當地修正偏差,如減少較亮的照明 點之強度。 D.增強型多工照明作用 64 201106032 在其他方面,本發明使用與一或多個繞射元件組合的 多個照明源而提供增強型多工照明作用,以照明大量的離 散反應區域。在該等情況下,可將二、三、四、五、十或 更多個雷射光束引導通過一或多個繞射光學元件,以在一 基板上產生大量的照明點。 在例如使用一繞射光學元件提供離散光束而照明一基 板上的大量離散區域時,為確保將充分的電力輸送至大量 的照明區域,典型地需要增加施用至該系統的電力。就超 高多工系統而言,用於進行此之個別照明源因為成本與可 用性,在商業上並非可行。例如,在特定的例示性應用中, 係將單一照明源光束分成提供約5微瓦/平方微米之小射 束。若要對於80,000個離散點達到該相同的照射功率,則 表示單一照明光束將具有約500百萬瓦。 除了雷射議題之外,繞射光學元件典型所產生的光束 模式在相對小的視野具有合理的光束均一性。然而,當希 望擴張視野時,該照明模式的非均一性對於一些應用而言 將過量。因此,在多工照明規模例如擴張一級數或更多時, 將預期跨照明點的照明強度之顯著變化。 因此,就一方面而言,本發明提供導向通過一或多個 繞射元件的多個照明源及/或來源光束,以提供具有容易取 得、低功率照明源及較高的跨照明視野均一性之超高多工 照明作用。 在第一方面,將多個照明光束以不同角度引導通過單 一繞射元件,以提供反射多個光束的輸出照明模式及發端 65 201106032 光束中的角度變化。其係以圖式方式說明於第26圖中。如 所示,實線2602與虛線26〇4所示之多個照明光束,係以如 角度2608所示的不同角度導向繞射光學元件26〇6。自各發 端光束的DOE所發射之照明“小射束”2610所產生的模式, 係以其本身的模式(如以基板2612上的 貫心與空心點而圖 式說明)導向基板2612上,其中各模式係以該二發端光束之 間的角度差異之一函數方式偏位。 除了使用多個照明源光束之外,本發明亦設想使用多 個繞射元件,其中各繞射元件接收發端照明光束的一子 集’以產生-關聯模式。;,因&自單—繞射元件所發 射的較高多工模式在整個較大的視野所提供之變異可能過 量’可使用各在-特定基板的-區域子集提供__照明模式 之多個繞射元件,藉此將㈣仙變祕關在相對小的 視野中所存在者’及因此不超過用於所欲應用之範圍。 該種系統的一實例係說明於第27A圖中。尤其,如所 示,提供-種多工繞射光學元件27〇6,討包含一種單一 集成組件或多個連接型繞射光學元件27()4。多個發端昭明 光束(如發射自多個照明源如雷射_之實線、短虛線及長 虛線所示)係導向多工DOE2706,其中各光束係以自其他光 束稍微偏移的-角度導向DOE。如所示,可包括—個多面 體稜鏡、波導陣列、光纖束或其他光學組件,以將各光束 改向至其適當的廳組件。此外,陣列中的各咖組件可 在角度方面偏斜,以提供所發射的小射束通過剩餘的光學 元件串之最佳方向。 66 201106032 自集成DOE2706内的各DOE元件2704所產生之光束模 式,然後透射通過將光束聚焦在一基板2714上之光學元件 串(如透鏡2708、2710及物鏡2712所示)。如所示,將不同的 光束χΚ焦’以將其荨的照明模式提供至該基板的不同子 集。例如’如该光束的示意展開圖所示,來自各組件2704 的照明模式之交錯可用於提供多重偏位,但係與基板2714 上的照明模式交錯,如第26圖所示之模式。任擇地,不同 的小射束模式可導向基板上之完全不同的區域如象限等’ 以照明個別的基板區域。 第27Β圖說明用於達到高多工之數種d〇e組件構形,包 括五DOE陣列組件(a)與(d)、七〇〇£陣列組件(b)與(e)及九 DOE陣列組件(c)與⑴。依多工水平與所欲的照明模式而 定,亦可用其他構形。就較佳方面而言,除了在角度空間 中將各入射光束均勻地分成照明模式中之大量的小射束之 外,一陣列中的各DOE組件將被配置具有不同的偏斜角 展。例如’就第27B圖中所說明的九面式DOE組件而言,陣 列中之各個別的DOE組件或面可提供來自一個單一入射光 束之100x100的小射束。其等可具有均一的間距如在㊀乂與 ey角度空間皆為〇.1毫弧度(mrad)。第一DOE(#1)的偏斜角 展例如在㊀乂方向為5毫弧度,第二DOE(#2)將在θχ與㊀丫角 度空間皆偏斜5毫弧度,第三DOE(#3)將在0y角度空間偏斜 5毫弧度等等。 如將理解者及如上所提及者,各D〇E可用於將—或多 個照明光束轉換成為導向基板之小射束模式。 67 201106032 E.多工偵測作用 類似於施用於此述分析系統的照明側之多工方式,及 輔助或作為該等方式的一替代方案,本發明亦在該系統的 收集側提供增強的多工,如用於收集與偵測自—基板上之 反應區域所發射的訊號之光程。尤其,對於超高多工系統 潛力之至少一項限制,係彳貞測例如自一基板上之較大型與 較大量的離散區域所發射訊號之能力。如將理解者,在任 一時間對於來自一基板上之不同區域的訊號偵測之預期的 實際限制,將受限於一特定偵測系統中之晝素或偵測器元 件的數目。 尤其,一特定的偵測器像素將僅可偵測入射在其上的 號’及在其他考量不存在下’對於來自一來源的訊號與 來自另一來源或組合來源的訊號將無法辨別。然而如將瞭 解者’每個像素具一訊號之實際限制在於其本身並非完全 可行’因多個像素上的訊號偵測作用提供大量的不同資 訊,諸如光譜資訊、陣列位置等(如見第2007-0206187號美 國專利申請公開案、第W02007/095119號國際專利申請公 開案及於2008年6月5日提出申請之第12/134,186號美國專 利申請案,其中各者之全部揭露内容就所有目的在此完整 地併入本案以為參考資料)。類似地,將陣列上的訊號成分 分離係有助於避免訊號交叉污染。鑑於該等與其他考量之 結果,在多工方式中,偵測器陣列區域的有效率使用之最 佳化,將為有利的。 在第一實例中,自一基板上的反應區域之一陣列所發 68 201106032 射的訊號,可導向一偵測器陣列或甚至完全不同的偵測器 陣列上之不同區域,以最佳地偵測來自高多工基板的訊 號。在一相關實例中,來自個別訊號來源的不同訊號成分 可導向不同的偵測器。 任擇地或附加地,正如同照明的時間切換作用,自一 基板的不同區域所發射之訊號可在時間上分隔,但在其他 情況下在不同的時間導向一基板之相同、重疊、相鄰或離 散部份。再次,藉由以超過及較佳顯著超過最慢的訊號期 間之一頻率施用該切換作用,該時間分隔作用將提供對於 所欲訊號事件之無縫偵測作用。此外,藉由將訊號分析過 程與切換作用同步化,可容易地將基板上的一特定位置指 定予一訊號事件,甚至當該等訊號係入射在一偵測器陣列 的相同位置上時。如將理解者,相同或類似的組件可用於 訊號方向的光學切換作用,如在標定照明模式的切換作用 部份所論及者。此外,將理解先前所論及的LCD光罩可同 樣地用於集光路徑,以針對來自離散式反應區域或反應區 域子集之訊號,選擇性地開啟與關閉該集光路徑。 藉由“工作分擔”偵測器在不同訊號來源之間的偵測區 域,雖然在不同的時間點,可使得以單一偵測器或一組偵 測器偵測的訊號來源數目倍增超過未使用時間多工之一方 法。 在一相關方面及如上所提及者,可將包含大量離散式 反應區域之一個別基板,分成多個不同的子視野(sub-FOV),其中各者可導向一個不同的偵測器,以順應高多工 69 201106032 基板。為有效率與同時地監控該等子視野,較佳保有達成 該監控方法之單一光學元件串。因此,就一方面而言,本 發明提供照明一基板上的數個反應區域之一光學系統,但 將來自反應區域的多個子集中之各者之訊號導向不同的偵 測器。 用於收集來自一基板的不同區域之螢光訊號及將其等 傳送至不同偵測器之一光學系統之一實例,係以圖式方式 說明於第28圖中。如所示’系統2800包括一基板2802,其 具有位於其上或其内的數個離散式反應區域或所欲探討的 區域。基板2802及特別是用於分析之區域,係配置於物鏡 2804的前焦平面。如所示’物鏡2804與筒鏡2806將所欲探 討區域成像在位於筒鏡2806的後焦平面及靠近反射光罩 2808之一中間影像平面。反射光罩2808將影像分割,及將 —子集反射朝向偵測器2810及一部份朝向偵測器2812。典 型地在焦平面中提供該反射光罩的反射點,藉此不會造成 保真度之損失。另外顯示該等偵測路徑中之各者包括光譜 分離光學元件,分別如聚焦透鏡2814與2816及2818與 2820,以及用於分色的分散性光學元件,分別諸如稜鏡2822 與2824。雖然係以將影像分成二個分開偵測的影像之形式 說明’將理解可配置反射光罩或其他分像光學元件,以將 影像分成更多的影像組份,如2、3、4、8、16或更多個離 散的影像組份,其中各者可導向一個不同的偵測器。 如先前所提及,可以數種方式完成分像作用。例如, 在簡單方面’反射光罩2808可包含如一種具有分開的偏斜 70 201106032 =之風輪式鏡,其中各面在—個不同的偵測路徑反射一 一的〜像。任擇地,使用微稜鏡或微鏡陣列,如作為反 =先罩28G8’以將各子視野的影像導向不同的偵測路徑, 其具有以-所欲方向y導入射光之多面體表面的陣列。如 將理解者’可如本發明配置該科列,以將影像分成數個 離散的組成影像。 在-相關系統中’可將來自基板上之不同訊號來源的 不同訊號成分’區別地導向不同的制器。尤其,在如第 22圖中所示之n㈣祕中,如藉由分散性光學元件 2216將多個訊號成分在空間上分離,及成像在偵測器2220 上。就超高多工陣列基板而言,當不同的訊號來源緊密地 配置在該陣列上時,在空間上分離不同訊號成分的影像之 能力變得更加困難,因並無足夠的偵測器區域供容納分離 的成分’及其等開始映射至 貞測器陣列的實質上重疊部 伤。藉由將不同的訊號成分導向不同的彳貞測器,可將該基 板上之更密集的訊號來源成像。其係以圖式方式說明於第 29A圖。尤其,如示意影像2902所示,4個訊號成分如光譜 上獨特與分離的螢光訊號影像2904-2910,係成像在一债測 器陣列的一部份上。藉由將訊號成分的子集如訊號29〇4/ 2906與2908/2910區別地引導至不同的偵測器,可有效地成 像近乎二倍數目的訊號來源如ZMW,而不需擔心訊號重 疊。以該數目的偵測器如二個偵測器可成像的訊號來源之 數目’提供成像至多二倍訊號來源之能力。然而在較佳的 情況下,用於區別相鄰訊號來源所需之附加的間隔,可能 71 201106032 使得來自不同來源的訊號成分之間需具有較大的間隔。因 此,可成像的訊號來源之數目,可能並非完全地跟隨偵測 器數目。 在第29B圖中提供用於完成上述偵測多工之光學系統 的一圖式說明。在所示的系統中,該系統的激發光學元件 之設置係與第22圖所示的系統類似,如在物鏡與基板之間 具有一雙色渡光鏡。尤其,如所示,全系統2950包括一或 多個激發光源,諸如雷射2952與2954。如同所有的該等系 統,激發來源的數目可依據特定應用的需求而改變,如該 系統中所用的不同螢光團之激發波長、所用雷射之波長。 在所示的例示性系統中,藉由將二種激發光源(照明光源) 導向分色鏡2956而將其等組合於相同的光程中,分色鏡 2956透射來自雷射2954的光及反射來自雷射2952的光束, 藉此5亥一光束成為共線。再次,在所示的系統中,激發光 束係導向一附加的分色鏡2958,其作用係將激發光反射朝 向基板2960,同時將具有不同波長的螢光訊號傳送至集光 物鏡2962。如所示’該訊號首先自另一組件的表面反射, 如該光學元件串中的一個分開的鏡或該基板的後表面,如 上在第22圖中所述者。來自基板2960之光譜上分離的訊號 成分’如經螢光標記的不同試劑所發射者,然後通過分色 鏡2958與物鏡2962。然後藉由通過一分散性光學元件諸如 楔形稜鏡2964 ’將訊號成分分成光譜上分離的訊號成分(如 自稜鏡2964所發出的實線與虛線箭頭所示)。訊號成分然後 導向分色鏡2966 ’其反射訊號成分的—子集及透射另一組 72 201106032 訊號成分。然後將訊號成分的不同子集中之各者成像在偵 測器2968或2970上。如上所示,雖然顯示具有二個偵測器, 將理解可使用附加的偵測器,以將訊號成分的其他子集成 像,如經由使用附加的分色鏡而可具有各導向一個不同的 偵測器之四個訊號成分。 F.玻璃填充式物域 就一方面而言,本發明係提供具有一玻璃填充式光學 空間之改良型光學系統。如此述,用於分析例如具有分析 化學反應所用的螢光試劑之反應區域陣列之光學元件系 統,通常具有入射在反應區域上之照明光學元件,及偵測 發生在反應區域中之反應所發出的光之集光光學元件。在 一些情況下,可使用藉由作用為例如照明波長的光之一鏡 但傳送發射波長的光之一分色鏡元件,以控制照明作用與 集光系統的光程。在一些情況下,使用一種自立式分色鏡 可導致光學像差及損失。在本發明中納入一種定形光學區 塊,其在照明光學元件與試樣之間及在集光光學元件與試 樣之間的光學空間具有一嵌入式分色鏡元件。該光學元件 區塊所具有的折射率典型地將超過空氣的折射率。藉由具 有較高的折射率,所發出的光中之較大部分可藉由具一特 定進光孔徑的偵測光學元件收集。此外,藉由嵌在光學區 塊内的分色鏡,減少入射在該區塊上之光線的偏斜角度及 圓錐角度,而在一些情況下導致較佳的分色鏡光譜性能及 增加系統靈敏度。 包含一種定形光學元件區塊之一系統的一例示性實施 73 201106032 例係示於第30圖。照明(激發)光學元件3030將照明光邡衫 引導通過面3001而進入光學區塊3〇〇〇。在所示的實施例 中’在區域3070塗有一反射性材料以增強内反射作用之面 3002將照明光反射。該照明光然後自嵌入式分色鏡元件 3010反射,通過面3〇〇2而進入可包含多個反應區域之基板 3020 ’該反應區域所具有之反應可藉由偵測螢光事件而測 量。所發出的光3045,如來自反應區域的螢光,經由面如耵 進入該區塊,及透射通過分色鏡元件3〇1〇。在所示的實施 例中,基板3020係與光學區塊3000光耦合,以將反射損失 與像差降至最低。所發出的光然後經由面3〇〇3離開該定形 光學區塊,及進入集光光學元件3040。雖然第3〇圖顯示反 射照明光及透射所發出的光,在一些實施例中,嵌入气八 色鏡將透射照明光及反射所發出的光。 照明光與所收集的光透射通過之該定形光學元件區塊 的面可為平面,及可以最大化光學性能之一角度配 旦' 〇例 如,可將該等面製造為垂直於相關光學元件串的主光線 依此方式,就修正像差之目的而言,該定形區塊可作用為 一個厚、不傾斜的平板。在一些實施例中,該定形光學元 件區塊的一或多面可為彎曲的,以將通過該面的光改向而 提供透鏡功能。該等面可如所欲地以凹或凸方式弯曲,以 將照明光或所發出的光改向。 通常預期光學元件區塊將包含該系統的光程長度之大 部分。亦即,通過光學元件區塊之光程距離,將至少為照 明光學元件與基板之間或集光光學元件與基板之間的總光 74 201106032 私距離之5G/〇、至少60%、至少7Q%、至少8Q%、至少9〇%、 至少95%、至少98%、至少99%或更高。在—些情況下照 明光學元件、基板、絲光學元件或上述的任-組合,係 與具有折射率局於空氣的_材料之㈣光學元件區塊光輛 合。例如可使用其折射率與㈣光學區塊或另_組件緊密 配合之-流體、油絲合物,而使得㈣元件光耗合。 分色鏡7〇件係嵌入該定形光學元件區塊中,顯示該分 色鏡7L件係㈣區塊光學制,及在分色鏡元件與光學區 塊之間通常很少或全無_存在。在—些情況下,分色鏡 可模製或㈣進人該定形光學元件區塊巾。在其他情況 下刀色鏡元件可以例如流體、油或聚合材料而與該部份 的光學區塊耦合。 疋形光干7G件區塊係由在所欲探討的波長為透明之一 材料製成。該區塊例如可由玻璃質氧化物材料製成。气區 塊例如可包含二氧切。可納人添加劑以調整該 區塊的折射㈣其滅質。在-些情況下,魏塊在所欲 探討的波長具有介於約U與約5.G之間之折射率,可為商人 需要的。該折射率例如可為或介於約1.3與約25之門’=口 於約Μ與2.0之間。軸在—些情況下,高折射率_ = 要的’在-些情況下,折射率較高的材料將傾向於具 高的自«光水平。因此吾等已發現具有料 射率之材料,係特別適用的。該區塊在分析所用的 圍具有低的自發榮光水平,係通常所欲的。 乾 地將使用例如在約4。。奈米至約7。。奈米範固:可2典: 75 201106032 可使用紅外光與紫外光。照明光之波長通常不同於所發出 的光之波長。 III.實例 第1例:拋物面鏡基板 製造具有配置通過一金屬層的零模式波導之基板,該 金屬層係澱積在一玻璃基板中所界定的一拋物面形體上。 該等裝置係依據第10圖及隨同的說明所述之方法製造,除 了未進行後續的基板平坦化作用(步驟V-VII)之外。使用聚 焦離子光束(FIB蝕刻方法)’製造用於界定零模式波導核心 之通過金屬層的孔徑,以界定直徑約12〇奈米之一核心。第 31A圖顯示使用掃描式電子顯微法(SEM),自構造的金屬層 側成像之所得構造。可見位於該構造的中央頂部之開口形 式的零模式波導核心。 亦提供如不具有第31B圖所示之任一拋物面或其他反 射構造之一比較基板,其具有配置於一平面玻璃基板上的 一平面金屬層中之截面尺寸約略相同的一種零模式波導。 測試反射構造在傳至或來自一種零模式波導核心的光之輸 送運作。將一螢光染料澱積在二種不同的波導構造之敞開 側上,及皆以相同的激發照明作用照明,及偵測所發出的 螢光。基於來自該二比較基板中的各者之一組零模式波導 的平均螢光強度,發現配置於該反射構造上之波導所提供 的螢光訊號比習用ZMW高6倍,顯示全系統的集光效率之 增強。 第2例:圓錐形鏡基板之理論模擬 76 201106032 使用第3 2圖與第3 3圖所分別說明的各種組件模製構 造。例如,就第32圖所說明之平直的圓錐形構造而言,尺 寸設定如下:dl = 1.25微米;d2=5微米及h=4.69微米。該模 式假設用於收集自基板/反射器組件所發出的光之一物 鏡,具有〇·5的數值孔徑及相對於光軸的最高集光角度為 +/-20度,藉此提供自70至110度之相對於基板底面的集光角 度。基於第32圖所示之圓錐形模式,自圓錐反射出的光線 角度係自43.58度(0〇至1〇5.52度(0&)。 就第33圖所示之二種分段式圓錐形反射器而言,尺寸 设疋為.dl-1.25微米;d2=3微米;d3=5微米;hl = l微米; 及h2=3.69微米。在該情況下,反射器所反射與發出的光線 角度係介於82.3 7度(0tr)至116.06度(0br)之範圍。 就上述二種結構型式而言’使用數值孔徑為0 95之一 集光物鏡之物鏡的光子收集效率估計約為70%,相較於不 包括反射器組件之一基板如第31B圖所示之配置於—透明 基板層上的一種零模式波導之收集效率約為42%。該分析 預測因微鏡之納入而增進光學性能,但該分析實質上係一 種不包括繞射作用或波效應之光線追跡分析,及因而無法 提供所預期性能的完整相貌。亦已進行以向量式工具所進 行之分析,其確認來自本發明的微鏡構造之光學增進作用。 第3例:使用犧牲柱在微鏡構造上製造奈米級反應區域之陣列 藉由350°C的LPCVD,在熔融矽石上澱積一層500奈米 形式的多晶錯。使用一種248奈米步進機、科林(Lam)餘刻 機、寬度調整自300奈米至120-150奈米,在多晶鍺中蝕刻 77 201106032 出柱狀物。使用—種248奈米步進機與杉土拉(Centura> MXP蝕刻機,進行鏡之蝕刻作用。該陣列然後在6〇〇它退 火以減少自發螢光及進行有機污染物之除氣,接著在濕 式溶液(5 01的3 〇 〇/。過氧化氫)中進行鋁蒸發作用及去覆蓋 作用(除去多晶鍺)’而不攻擊鏡面上的鋁。第34圖所示的 SEM顯微圖顯示具有配套微鏡之反應區域陣列的一部份。 第35圖顯示在其頂部具有一反應區域之單一微鏡構造。第 36圖顯示位於一微鏡構造頂部之一奈米級反應區域。 第4例:使用一犧牲鍺層與一硬膜層光罩製造奈米級反應區域 依序以一多晶鍺層與一硬質光罩層諸如二氧化矽或氮 化矽,塗覆一熔融矽石基板。使用光微影法以界定直徑 約380奈米的環形之—陣列,及蝕刻該硬質光罩以產生具有 ’’’勺略。玄4尺寸的硬質光罩盤。然後使用3〇%含水過氧化氫 之一控制式過度蝕刻作用,蝕刻該鍺層,以在硬質光罩盤 之下方產生直徑約2〇〇奈米的鍺柱狀物。可藉由調整條件與 材料而控制蝕刻速率。例如,當使用無摻雜型多晶鍺時, 3〇%含水過氧化氫在5〇t的蝕刻速率約為460奈米/分鐘;而 當使用摻雜型多晶鍺時,3〇%含水過氧化氫在室溫的蝕刻 速率約為200奈米/分鐘。在該表面上濺鍍厚度約1〇〇奈米的 鋁。使用加熱至約5〇。(:以上的含水過氧化氫,移除多晶鍺 柱。SEM顯微圖證實在熔融矽石上的一鋁膜中產生直徑 介於自200奈米至250奈米範圍之孔。 第5例:在一熔融矽石基板上所產生的圓錐形微鏡構造 第3 7圖顯示在一熔融矽石基板上所形成的例示性圓錐 78 201106032 形微鏡構造之掃描式電子顯微圖。測得第37(A)圖之圓錐形 構造的頂部直徑約為2·40微米’及底部直徑約為4.90微米。 測得第37(B)圖之圓錐形構造的頂部直徑約為1.68微米,及 底部直徑約為4.18微米。在熔融矽石基板上所製成的其他 微鏡構造(未顯示),其頂部直徑/底部直徑/高度經測定約 為:1.30/3.96/3.45微米、1.49/4.17/3.184毁米、1.68/4.34/3.30 微米、2.79/5.27/3.36微米、2.55/5.60/3.23微米、2.66/5.38/ 3.72微米。 第37(C)圖顯示經鋁塗覆之一圓錐形微鏡構造,其具有 通過微鏡構造頂部的鋁層之一種零模式波導孔徑。 第38(A)至(E)圖顯示在一熔融矽石基板上所產生的圓 錐形構造之SEM顯微圖。第38圖的構造,係對應於例如在 第15圖所述方法的步驟(II)之後的構造。第39圖顯示一微鏡 的一截面之SEM顯微圖,該微鏡在其頂部具有一孔徑。第 39圖所示之構造’係對應於第15圖所述方法的步驟(VIII) 之後所形成的一構造。在該構造中,微鏡構造係被一平坦 化層諸如一種旋塗式玻璃所環繞。 弟40圖顯示微鏡構造的一陣列,其等係在一炫融石夕石 基板上形成及在其等頂部各具有孔徑。 第6例:圓錐形微鏡構造之增益測量 進行測量,以測定使用與零模式波導耦合的微鏡構造 所產生之增益量。以熔融矽石(FuSi)製備一基板,其在微鏡 頂部具有ZMW構造及在該基板的平面部份上具有可比較 的ZMW構造。第41圖顯示實驗設置之圖式說明,其中光係 79 201106032 透射通過基板上的ZMW構造。透射通過ZMW構造的光,係 以一光學元件串收集及在一 CCD照相機上偵測。光學偵測 系統的數值孔徑為0.5。第1表列出自基板平坦區域上的 ZMW構造及自微鏡構造頂部上的ZMW構造所偵測之強度 的代表性測量。計算自該等測量之增益約為5.9。應瞭解所 測得的增益將依該集光光學元件的數值孔徑而定。 第1表:測量來自微鏡構造之增益 平坦 2530.99 2793.91 2529.38 2858.07 2912.31 3086.77 鏡 15141.68 20340.43 19348.46 16883.04 13092.21 13952.95 增益 5.9 第7例:在微鏡上的反應區域中之DNA定序 自一個500微米厚的熔融矽石(FuSi)基板,製備各在其 頂面上具有單一零模式波導孔徑的微鏡構造之一陣列。使 用具有抗蝕劑回流與3微米深的反應性離子蝕刻作用(RIE) 80 201106032 之接觸微影法,接著藉由濺銀平滑化作用與4〇〇°C退火作 用’在基板中形成微鏡構造。然後在該表面上滅鑛塗覆一 個200奈米的鋁層。在鋁層製作圖案及進行蝕刻,以在鄰近 微鏡構造的頂部中央處產生一個直徑120奈米的ZMW。使 用過度蝕刻,藉此ZMW孔徑延伸進入熔融矽石(FuSi)基板 約50奈米。經測定一代表性微鏡構造的頂部直徑約為3.4微 米及底部直徑約為6.8微米。如Eid等人於期刊“Science”第 323期第133-138頁(2009年)乙文所述,以類似於Lundquist 等人於期刊“Optics Letters”第33期第1026頁(2008年)乙文 所述之數值孔徑為0.3之一光學系統,進行一種單分子定序 反應。第42圖顯示在具有驗基c與G的區塊之一線性模板上 之一種雙色定序反應,針對強度相對於時間所收集的資料 之一部伤,其係如Eid等人所述分別藉由經核苷酸647G與 555C標記的染料而辨識。第42圖中的暗色尖峰係對應於 647G,而較淡的尖峰係對應於555c ,展現使用具微鏡陣列 的零模式波導之核酸定序。如Eid等人所述,可以一類似方 式進行四色定序。 雖然就說明之目的述及一些細節,即可理解可在本發 明的範_實施該等_技#者所知或理解之數種變異。 就尚未Μ地在此併人本案之程度而言,在本揭露内容所 提及之所有發料參考讀與專敎件,係料有目的在 此完整地併入本案以為參考資料。 【圖簡明】 第1A - B圖係以圖式方式說明可使用本發明的部份所進 201106032 行之一例示性核酸定序方法。 第2圖係以圖式方式說明用於監控一基板上之經照明 的離散式反應區域之任擇照明策略。第2A圖係以圖式方式 說明一種泛光照明模式。第2B圖係以圖式方式說明一種掃 描方式的照明作用。第2C圖係以圖式方式說明一種線性照 明模式。第2D圖係以圖式方式說明一種目標點陣列照明模 式。 第3圖係以圖式方式說明用於進行共焦多工照明與監 控一基板上的離散式反應區域之一系統。 第4圖係以圖式方式說明與高多工系統相關聯之潛在 的“串擾”議題。 第5A、5B及5C圖係以圖式方式說明使用定形鏡作為聚 焦光學元件之一基板,以有效地自該基板上的反應區域集 光及有效地照明該反應區域。第5C圖係以圖式方式說明具 有延伸進入該微鏡的一反應區域之一構造,及說明在該微 鏡構造中納入一光學組件諸如一濾光鏡。 第6A、6B及6C圖係以圖式方式說明三種任擇的圓錐形 微反射器(微鏡)構造。 第7圖係以圖式方式說明具有規定尺寸之一種截圓錐 形微鏡構造。 第8A-B圖係以圖式方式說明例如可使用諸如微影與蝕 刻之方法所製造的微鏡構造。 第9圖係以圖式方式說明微鏡構造可如何藉由建設性 干擾增強照明光強度。 82 201106032 第10至18圖係以圖式方式說明用於產生基板之一種製 造方法,該基板具有具配套的反應區域之集成聚焦鏡。 苐19圖係以圖式方式說明藉由使用一種基钮方法產生 一犧牲柱而用於製造一反應區域之一種方法。 第20圖係以圖式方式說明使用多個微影與蝕刻步驟在 一透明基板上形成定形的微鏡構造之一種方法。 第21圖係以圖式方式說明具有一反應區域陣列與一透 鏡陣列之一基板,其中該透鏡將反應區域所發出的光改向。 苐22A-B圖係以圖式方式說明使用較大視野(f〇v)物 鏡之一照明與偵測系統,以容許居間的光學組件。 第23圖係以圖式方式說明一基板上的離散區域之時間 多工照明方法。 第24圖係以圖式方式說明用於進行一基板之離散區域 中的反應之時間多工照明與偵測之一系統。 第25圖係以圖式方式說明‘‘搜尋活性,,多工分析之方 法。 第26圖係以圖式方式說明一種多工照明光學元件串。 第2 7 A-B圖係以圖式方式說明用於本發明的系統之— 種附加的多工照明路徑。 第28圖係以圖式方式說明使用用於本發明的高多工分 析系統之多個偵測陣列之一系統。 第29A-B圖以圖式方式說明使用多個偵測陣列之_任 擇的系統,其中各陣列接收來自各訊號來源之訊號成分# 一子集。 83 201106032 第圖係以圖式方式說明本發明之一種定形光學元件 區塊。 第31圖顯示—種拋物面微反射器構造(第3_)與-種平面轉歧導⑻_)樣M影像。 第32圖說明用於模製一圓錐形微反射器之尺寸分量。 曰第33圖說日㈣於模製-分段式圓錐形微反射器之尺寸 分量。 第圖員不在—炫融石夕石基板中所形成之微鏡頂部上 的一反應區域陣列之SEM影像。 第3圖·,..頁不在其頂部具有一反應區域之一微鏡構造的 SEM影像。 第36圖顯不在—微鏡構造頂部上之-反應區域的SEM 影像。 第37圖顯不在—炫融石夕石基板中所形成之圓錐形微鏡 構造的SEM影像。 第3 8圖顯 v、 熔融矽石基板中所形成之圓錐形微鏡 構造的SEM影像。 第39圖顯不位於—平坦化層内及在其頂部具有—反應 區域之—微鏡構造截面的SEM影像。 第40圖顯不在其等頂部具有反應區域之微鏡構 SEM影像。The residence time of the nucleotide acid analog in the observed volume during the nanocapsule action produces a signal pulse 112 as indicated by one of the symmetry associated with the retention effect. Once incorporated, the machine number, such as the A-track Λ ' in Figure 1B, releases the label attached to the polyphosphate component of the labeled nucleoside 20 201106032 acid analog. When the next appropriate nucleotide analog, such as nucleotide 110, is contacted with the complex, it is also incorporated, resulting in one of the T traces of Figure 1B corresponding to signal 114. By monitoring the role of the base in the primary stock, as shown by the basic complementarity of the template sequence, a long sequence of information about the template can be obtained. Furthermore, in order to obtain, for example, the amount of sequence information desired for a wide range of applications for genetic sequencing in research and diagnostics, higher throughput systems are desirable. For example, to enhance the sequencing throughput of a system, multiple complexes are typically monitored, with each complex sequenced a different template sequence. Where the genome is sequenced or other large DNA components are sequenced, the templates will typically comprise overlapping fragments of the genomic DNA. By sequencing each fragment, a contiguous sequence can then be combined from the overlapping sequence data of the fragments. In a preferred aspect, an array of various composites is provided on a substrate. The arrayed composite may be provided in an optically or structurally confined configuration such as a zero mode waveguide, or the like may form a pattern pattern on a surface. Optionally, the elements can be randomly placed on a surface, but undergo a calibrated array illumination or detection function whereby only the complex within an array pattern of the surface is monitored. For the purposes of this discussion, both configurations are referred to herein as monitoring functions of array composites and the like. Overall Several different mechanisms have been used previously to achieve multiplex analysis and even simultaneous multiplex analysis of discrete reaction zones. Figure 2 illustrates several of these methods. For example, in a simple implementation, an array of discrete reaction regions is arranged to cover a large number of such discrete regions of a wide illumination beam as the so-called "flood" illumination. It is illustrated in Fig. 2A, in which the solid circle 204 refers to a reaction zone or other zone disposed on the substrate 202, which is intended to be monitored, and the dotted line 2〇6 illustrates the boundary of the illumination zone. As shown, the single illumination zone is applied indiscriminately to a large or possibly all discrete reaction zones. Depending on the density of the reaction zone on a particular substrate, the floodlighting may include the use of a conventional laser beam or, in some cases, a beam-expanding optical element, by illuminating a larger amount with a single integrated beam or spot The reaction area to provide the desired multiplex. Although illumination is widely provided on a wide range of substrates on which one of several reaction zones is disposed, floodlighting may have an inconsistent illumination intensity of the illuminated area. In particular, the illumination intensity at the center of a particular beam tends to be large and attenuated at the edges. Thus, different reaction zones are typically illuminated differently depending on where they are in the illumination spot. Moreover, because the entire substrate range corresponding to the point is illuminated, it may result in inefficient use of the applied radiation, such as wasting light in the non-reactive area of illumination, and thus applying more radiation than is necessary. Moreover, the floodlighting can exhibit adverse effects of excessive illumination, such as excessive power consumption, reflected light being reflected, spontaneous fluorescence of the substrate and other optical components of the system, heating, and the like. In other methods, as shown in Figure 2B, an illumination beam is scanned through a substrate to illuminate a plurality of reactive sites or regions on the substrate. In particular, as indicated by the arrows, a relatively small illumination spot 208 is repeatedly moved through the surface of substrate 202 to illuminate each reaction zone, such as zone 204, respectively. Typically, such scanning systems employ conventional scanning systems such as galvanometers, rotating mirrors 22 201106032 or rotating prisms to direct light beams through a substrate surface over time. Although this specialized system is particularly effective for static systems, such as the search for an end point of an analysis other than in the case of very slow reactions, it is not particularly suitable for monitoring the progress of the reaction over time. In particular, because the illumination beam only occasionally pats each location for a relatively short period of time, it is unable to instantly illuminate and monitor one of the reaction zones in which a relatively rapid reaction occurs. In other methods, a calibrated illumination profile is used to preferentially illuminate multiple reaction sites simultaneously. For example, as shown in FIG. 2C, in a calibrated illumination mode, an illumination beam is linearized to provide an illumination line that simultaneously illuminates a plurality of discrete collinear regions on a substrate (see, for example, US2007/ International Patent Application No. 003, 570, the entire disclosure of which is hereby incorporated by reference in its entirety in the entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire entire all By using a plurality of beams, or splitting a single beam before or after linearization, such as by passing the beam through a diffraction grating, a plurality of parallel illumination lines, such as illumination lines 210, can be generated to illuminate a substrate. Multiple columns of common-line reaction regions. This calibrated illumination reduces the waste of illumination by not illuminating the substrate space between the illumination lines, and thus reduces many of the issues associated with the excessive illumination previously discussed. However, the space between the collinear reaction areas, such as in a particular column, is still illuminated, creating an associated problem of wasted lighting and increased noise. In a further refinement, as shown in Figure 2D, the calibrated illumination pattern uses an array of illumination points, or an array of illumination points, such as illumination points 212, of a single discrete reaction region. By illuminating only the space that requires illumination, such as being located in and/or near the reaction zone, it further enhances the signal to noise ratio and is superior to the linear illumination profile in terms of efficiency. Several optical configurations can be used to produce the type of calibration illumination profile, including the use of a lens array that focuses individual illumination beams into multiple array illumination points, first splitting a single beam into a single column. Beams and then orthogonally directional diffraction gratings that split each of the beams into an orthogonally directed array of additional beams, converting a single beam into a grid array comprising illumination points such as a substrate A diffractive optical element of any of the different calibrated illumination profiles (see, for example, U.S. Patent Application Serial No. 12/15, filed on May 9, 2008, and PCT/US2008/05953, each of which This article is hereby incorporated by reference in its entirety for all purposes. The system selectively includes a confocal or spatial filter' within the string of optical elements to further limit the effects of reflected light or emitted fluorescent light outside of the focal plane of the system, such as those unrelated to the reaction area. Figure 3 illustrates an example of this system. As shown, system 30A includes a reaction array such as a zero mode waveguide array 302 having an array of discrete reactive regions disposed thereon. In the case of a zero mode waveguide array, a large number of zero mode waveguides are typically provided on the substrate in an array of columns and rows. The reactants to be explored for a particular analysis are provided in different ZMWs. For example, in combination with ZMW, a nucleotide or nucleoside required to include a template nucleic acid sequence, a complementary primer sequence, a nucleic acid polymerase, and a primer extension is provided in combination with ZMW. A sequence-complex of the _ reaction mixture of the acid analog (see Figure 1). The ultra-high density and ZMW array can be supplied from 1000 ZMW per square centimeter to 1,000,000 ZMW per square centimeter or more. Therefore, at any one time, the analysis is from 100, 1000, 3000, 5000, 10,000, 20,000, 50,000, 1 〇〇, 〇〇〇 or 1 million in a single 24 201106032 analytical system or even on a single substrate. The reaction occurring in 1 million or more ZMW or other reaction zones may be suitable as needed. As shown, the system includes an excitation radiation source such as laser 304 for exciting one of the fluorescent reactants in the reaction zone. An array of optical elements 306 is delivered to the ZMW array or substrate 302 from the excitation radiation of the laser 304. The string of optical components also collects the fluorescent signals from the different ZMWs on the array and transmits the signals to a detector such as the EMCCD 330. The optical element string 306 includes a multiplexed component, such as a diffractive optical element (d〇E) 308 (also referred to as a holographic optical element or HOE) that converts a single excitation beam into a plurality of individual excitation beams, such The individual excitation beams will calibrate an array of illumination points corresponding to the ZMW 302 position on the array. The plurality of beam beams are selected to allow the illuminating light to pass through and reflect the dichroic mirror 316 from the fluorescent light of the array 302. Prior to passing through the dichroic mirror 316, the illumination beam may pass through one of the confocal filters 312, possibly paired with a pair of focusing lenses, such as lenses 310 and 314, to focus the beams through the confocal pinhole. The excitation light passing through the dichroic mirror 316 is then focused on the plane of the array 302 in a calibration mode via the objective lens 318. The fluorescent signal from array 302 is then collected by objective lens 318 and transmitted to dichroic mirror 316, which reflects the fluorescent signal toward detector 330. The signal from the discrete ZMW on the array is then passed through a spatial filter such as confocal reticle 322 to reduce background noise such as photoluminescence from the spontaneously focused or scattered light from the focal plane. Confocal reticle 322 typically Also associated with a pair of focusing lenses such as lenses 320 and 324. The signals then direct the spectrally-dispersive optical elements, such as the molded prism 326', in a manner that allows for the differentiation of different fluorescent states based on the incident position on the detector by way of the difference 25 201106032. The differentially guided signal component is then directed through an additional focusing optics, such as focusing lens 328, and finally to the EMCCD detector 330. As shown, the position of the specific signal on the detector indicates (1) the originating ZMW in the array, and (2) the spectral characteristics of the signal component, which is used to identify, for example, the person in the extended reaction towel. A type of fluorescently labeled nucleotide analog. It has been described that the use of the above system simultaneously calibrates the effects of thousands or tens of thousands of ZMWs in an array of illumination, and as the demand for multiplex increases, the ZMW dense array on the array provides a precise illumination effect. The difficulty of the ability also increases with UMW crosstalk due to higher levels of intensive illumination (the signals from neighboring ZMW are contaminated when they emerge from the array) and the reduction in signal-to-noise ratio. II. Multiplex Mode Although the above system is suitable for providing multiplexed multiplexed optical systems, it would be appropriate to increase the multiplex capability of the system by improving the specific system. The present invention provides methods, systems, and assemblies for monitoring a greater number of arrayed composites on a substrate. For example, the application of the U.S. Patent Application No. 12/151,979, issued on May 9 For example, the nucleic acid sequencing complex method, the money 丨 赖 赖 赖 至 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 离散 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 As shown, the systems optionally also include a confocal reticle to enhance the signal to noise ratio from the detection. The system of the present invention is used to further enhance the multiplex of the entire system via a variety of approaches. Thus, while the system of the present invention can be used to provide multiplex analysis of 10, 100, 1000, 5000, etc. discrete reaction zones on a substrate, in a particularly preferred aspect the invention will be used to provide more than 5000 discrete Reaction zone, over 10,000 discrete reaction zones, over 20,000 discrete reaction zones, over 50,000 discrete reaction zones and even more than 100,000 discrete reaction zones and up to 1, 〇〇〇, 〇〇〇 or more Multiplex analysis of multiple discrete reaction zones. In addition to the number of shears of the reaction zone that can be analyzed by the system of the present invention, it will be appreciated that in some cases, the configuration densities of such reaction zones may be higher than previously used, via the advantages provided by the present invention. For example, it is possible to provide and observe high-density discrete reaction regions without excessive interference or other problematic issues. The density may be, for example, 1000, 10,000, 100,000, 1,000,000, 10,000,000 or more reaction zones per square centimeter. The density of the observed volume can be increased to the diffraction limit of the observed light used, which can be as low as 250 nm for some of the wavelengths expected in such applications. At this interval, up to 16e9 observation volumes per square centimeter can be seen in a square array, and slightly more in a hexagonal dense array. This diffraction limit can be exceeded by the use of near-field optics, such that its limitations are only determined by the actual size of the limitation, and can be as small as 5 nanometers. A 100 nm spacing in a square array results in a density of lolO per square centimeter. Furthermore, the multiplex analysis system 27 201106032 is substantially simultaneous with respect to the number of areas monitored. "Substantially simultaneous" means analyzing the desired number of regions over a period of 1 to 5 (preferably 1 to 2) photographic frames. For the purposes of the system of the present invention, typically about 1 per cent. Capture a photographic frame from about milliseconds to about every 10 milliseconds (or frame rate from about 100 Hz to about 1000 Hz) 'by taking into account a substantially simultaneous analysis' of the analysis of such multiplexed areas should be from about 1 millisecond to Approximately 10 milliseconds of time occurs. As a result, one of the systems providing the desired multiplex analysis, such as observing at least one of a plurality of locations within a window from 1 millisecond to about 10 milliseconds, will be referred to as substantially simultaneous, Even though the analysis is performed at two different points in time within the window. In some cases, a slower frame rate can be used, which will increase the time period during which the second event can occur 'and from the perspective of the camera at this time It appears that it is still substantially simultaneous. A frame rate of, for example, 10 Hz to 100 Hz, 10 Hz to 5 Hz, 10 Hz to 20 Hz, such as about 15 Hz can be used. It will be understood that this occurs in the millisecond range, such as from 1 millisecond to about 500 milliseconds, 1 〇. Milliseconds to about 1 〇〇 The sampling rate of seconds, etc., can be regarded as substantially simultaneous. Synergistic optical components significantly increase the multiplex capacity in the analysis of discrete reaction regions on the substrate, subject to several limitations. For example, in systems that monitor glory signals from single molecule reactions, concentrating optical elements typically use high numerical aperture objectives with relatively small fields of view. Therefore, the increased multiplex typically requires a denser reaction zone so that the material __ objective (4) is derived from a greater number of signals in those areas. However, the denser reaction areas on a substrate create a series of additional resolution issues, including, for example, a string between regions 28 201106032. Figure 4 illustrates optical crosstalk. As shown, an array of reactive regions (e.g., 404, 410, and 412) on substrate 402, such as zero mode waveguide 404, is provided on a substrate. The fluorescently monitored reaction occurring in the regions is illuminated and a fluorescent signal associated with the reaction is emitted. As indicated by the solid arrow 406 and the dashed arrow 408 from adjacent reaction regions 410 and 412, respectively, 'the omnidirectional emission profile of the signal causes the signal from the adjacent region to interfere with each other', especially when the regions are on the substrate When it becomes more dense. This "crosstalk" limits the ability of the reaction zone to be intensive for analysis. In accordance with certain aspects of the invention, reinforced optics are used to enhance the efficiency of signal collection. One of the optical means provides for the addition of focusing optics for minimizing optical interference between reaction zones and, in many applications, the use of objectives having a larger field of view. By reducing the likelihood of crosstalk, the ability to intensify the reaction zone can be enhanced. At the same time, the area in which these areas are arranged can be enlarged by changing the objective lens with a higher field of view. In addition to reducing crosstalk, the focusing optical element of the present invention can also increase the amount of detected light by redirecting light into a singer, which is otherwise impossible in the case of unreversed Detector. By redirecting the light, for example, one of the collected light sources is the same as the uncorrected condition but has a lower numerical aperture to allow for, for example, a larger field of view. It is important to have a large field of view for the purposes of the method contemplated in the present invention, for example, to allow for simultaneous observation of tens of thousands of illuminating or fluorescing regions. Optionally, by directing the light, more light can be collected using the same numerical aperture. As described in more detail in Japanese Patent Application No. 29 201106032, the focusing optical element of the present invention can also increase the level of illumination by directing illumination light into an array such as a reaction zone on a zero mode waveguide array. Micromirror Arrays In one aspect, the present invention provides an array of shaped micromirrors, wherein each micromirror system is associated with a pupil limit, and in particular, a shaped micromirror that is incorporated into the same substrate containing the optical confinement An array. Figure 5A illustrates an example of a shaped micromirror array as in the present invention. As shown, the full substrate 502 includes an array of reaction regions disposed on a surface thereof, such as zero mode waveguides 504-514. The conical or parabolic mirror 516_526 is integrated into the underlying transparent substrate 528 and its configuration redirects the incident light to the ZMW' in the array or focuses the emitted light from the ZMW in the array. In particular, the conical or parabolic mirror is typically comprised of a reflective material such as a metal layer such as aluminum, silver, gold, chromium, etc., and is fabricated into the underlying substrate to provide the mirror surface. As shown in Fig. 5B, the fluorescent signal 53 emitted from the reaction in the ZMW is redirected or focused by a mirror such as a parabolic or conical mirror to increase the collection efficiency of the signals. As shown herein, for example, the omnidirectional light from the reaction zone entering the substrate is redirected, thereby making it easier to measure. In some cases, as will be explained herein, at least the light can be partially collimated. Furthermore, for each reaction zone or ZMW, the mirror structure reduces or eliminates crosstalk between ZMw within the substrate itself. In addition to reducing the disturbances, it will be understood that the enhanced gain and/or attack rate due to the redirection or focusing of the emitted light also increases the sensitivity of the system. Similarly, the shaped optical components are also used to focus incident illumination such as light 532 onto the reaction zone, such as ZMW 504-514. For devices incorporating such conical mirrors, an optional configuration may also be employed. For example, the core region of a zero mode waveguide can extend into the underlying substrate. This example is illustrated in Figure 5C, in which the reaction zone 55〇 extends beyond the cover layer 552 and into the underlying substrate 554, which in one case allows the signals reflected by the mirror 556 to be more efficiently transmitted to and from the egress reaction. Area 550. Additional components, such as light blocking regions or damper assemblies', including, for example, a reticle 558, may additionally be included within the overall configuration to further enhance the optical properties of the mirror system. Although the mirror system described herein is referred to as a "conical," or "parabolic, mirror, it will be appreciated that the integrated reflective focusing optics assembly is characterized by its ability to provide a reflective component within the substrate, by virtue of The light emitted from the reaction zone and redirected through the substrate enhances the detection of light, regardless of its exact shape. In some cases, at least the light emitted from the reaction zone is partially collimated. The shaping mirror of the present invention thus redirects light from a portion of the light from the substrate to a detector or to an optical component that directs light from the substrate to a string of optical elements of a detector Part. The focusing mirror may include shapes other than a parabolic configuration, such as a conical mirror configuration, a segmented conical mirror configuration, a truncated conical mirror configuration, a partial parabolic mirror configuration, a ladder mirror configuration > Mirror configuration, etc., provided that the structures redirect light, for example by partial collimation to enhance the detection of light emitted from the reaction zone or light entering the substrate through the reaction zone. In many cases, the mirrors will have cylindrical symmetry. The shape of the mirror may be a pseudo-cylinder such as a pyramid, a wedge, a ridge, an inverted prism, a bell, or a frustum thereof. When the mirror 31 201106032 has multiple sides, such as when it contains a truncated cone or a truncated cone, the mirror can have a suitable number of sides. For example, when the mirror packs a __ pyramid, the pyramid may have 3, 4, 5'6, 7, 8, 9, 1 〇, 1 12 or more sides. The shaping mirrors of the present invention are typically micromirrors, meaning that the mirrors are small, typically having dimensions of a few microns or tens of microns. In some cases, the term micro-reflector is also used to refer to a micro-mirror. The size of the wearing surface of the mirrors can be about 0. From 1 micron to about 100 microns, from about 1 micron to about 5 microns or from about 2 microns to about 20 microns. Although the size of the mirror of the present invention is from several micrometers to several tens of micrometers, the crown mirror of the present invention may be larger in some cases, for example, from about 1 inch to about 1 mm or more. Moreover, while illustrated with the provision of a reflective material such as a metal layer within the substrate itself, it will be understood that other reflective configurations can be employed without the use of a metal layer. For example, the constructs may be composed of materials of different refractive indices to provide a reflective interface that exhibits the same function as the metal layer described elsewhere in this case. For example, a self-refractive-index region travels through an interface into a region having a lower refractive index, and if the incident angle of the light exceeds a predetermined value (critical angle)', it will typically be completely internally reflected. In this manner, the reflective structure of the present invention can be produced by appropriately adjusting the refractive index of the material of any shape of the shape, 毋; using a reflective layer. In some cases, the shaped reflective construction of the present invention comprises a conical flat reflector. Both theoretical and experimental results show that the efficiency in the _-reflector version is significantly better than that of the non-reflective (four) substrate. In some cases, the conical step structure has (4), because it can be accommodated (4) by a variety of methods. For example: 32 201106032 The taper of the wall can be controlled by controlling the geometry of the photoresist and by lithographic conditions. The geometry of the photoresist can be controlled, for example, by controlling the focus/exposure conditions of the photoresist to control the topology of the photoresist, or by grayscale lithography. The shape can also be controlled by controlling the #刻条件', for example, controlling the amount of surface passivation or by ash I5 white etch. The conical mirror substrate of the present invention typically comprises a truncated cone configuration. The wall of the frustoconical region of the conical mirror substrate may be straight or may include some curvature. Figure 6 illustrates an example of three conical configurations. Figure 6A shows a conical mirror configuration in which the configuration of the mirror comprises a truncated cone of a straight conical configuration, which is disposed in a substrate layer below a reaction zone or reaction zone, such as a zero mode waveguide. 63 〇 to redirect light entering or leaving the reaction zone. Figure 6B shows a segmented conical configuration having a lower conical region 604 and an upper conical region 606. A reaction region 640 is disposed on the β-Hui structure. In this embodiment, the sidewall of the lower circular region 604 has a first angle with respect to the normal to the substrate, and the sidewall of the upper tapered region 606 has a relative to the substrate normal. Two angles, wherein the second angle is greater than the first angle. In some cases, the wall of the upper zone will have an angle relative to the normal that is less than the lower zone. As shown in Fig. 6C, the conical configuration can have a lower truncated cone region 608 and its wall perpendicular to the upper cylindrical region 610 of the substrate. A reaction zone 650 is disposed on the configuration. The configuration having the profile of Figure 6C can be adapted to produce a high density array. For example, it has a cylindrical top and / conical lower configuration that has a basic dimension that will generally be smaller than a single truncated conical configuration with the same sidewall angle. In some cases, if the basic size of the construction is small, more construction will be placed in a particular area. The reflection structure shown in Fig. 6 is displayed in such a manner as to protrude from a bottom layer 33 201106032. The bottom transparent layer can be a planar substrate such as a molten vermiculite wafer having a protruding configuration on its upper surface. The configuration of Figure 6 will typically have a reflective coating or a reflective region adjacent the wall of the configuration to enhance the internal reflection of light. For example, the protruding structure can be coated with a reflective layer, by filling a region between the protruding structures with a reflective material, or by using a medium having a lower refractive index outside the protruding structure to excite internal reflection. A reflective surface of the shaped substrate is provided. Although the illustration of Fig. 6 means that the reaction zone is located at the center of the top of the conical structure, in some cases, the reaction zone may not be located in the center. Manufacturing tolerances may cause the reaction area to deviate from the center position. Moreover, in some cases, for example, to receive a higher level of illumination, or for example when the illumination or detection optics are disposed at an angle that deviates from the normal of the particular reaction zone, an off-center reaction zone can be produced. The micro-mirror array can be combined for high density of ZMW arrays as described above. The micromirror density associated with the reaction zone can be, for example, from 1000 ZMW per square centimeter to 1,000,000 ZMW per square centimeter or more. At any one time, using the micromirror array described herein, analyze 100, 1000, 3000, 5000, 10,000, 20,000, 50,000, 100,000 or 1 million, 1 in a single analysis system or even on a single substrate. The reaction that occurs in thousands or more of the 214/^ or other reaction zones may be suitable as needed. Figure 7 shows a conical configuration of one of the micromirrors used in the present invention. The truncated conical structure as shown in Fig. 7 constitutes a one-piece structure extending over the transparent substrate. The truncated cone may be cylindrically symmetrical, having a substantially circular top surface having a diameter A, a substantially circular bottom having a diameter C, and a semi-high diameter 34 201106032 being D. The height of the truncated cone is B. The wall of the cone is substantially straight and has an angle e with respect to the normal to the surface. The side walls of the load cone configuration shown herein are substantially straight, but the walls may be non-flat and still be used to redirect the light intensity described herein. In some cases, the method used to create the conical configuration will result in self-leveling until, for example, shame having a f-thumb shape, in other cases, incorporating non-straight walls to enhance collection efficiency. In many cases, the method used to create the protruding configuration will result in a surface having protrusions, ridges, or other defects on the sidewalls that are offset from the flat profile. In some cases, it is desirable to have a flat profile for increasing the anti-ship density on the substrate. For example, a curved wall such as a paraboloid may have a bottom diameter that is larger than a straight side cone. By using a construction with a smaller diameter at the bottom, a higher density can be achieved in some cases. An exemplary conical configuration of the present invention having the profile shown in Figure 7 having a top diameter A between about (U micron and 1 micron, such as between 1 and 20 microns, or between Between 2 microns and 1 inch. In some cases, the top diameter A of the truncated cone is between about 丨 microns and about 5 microns. In some cases, the diameter is between 2 microns and 4 microns. The exemplary inconspicuous conical configuration of the present invention has a sidewall angle θ between about 2 and 4 $, between about 5 and 35, between about 1 〇 and 3 。. Between or between about μ and about 26. The resulting conical configuration will be, for example, located at the top of the conical crucible. [5 The central reaction zone is redirected by light. The exemplary construction will at least The light emitted by the reaction zone is redirected to an angle of about 22. 5 conical structure. In an exemplary embodiment of the invention, the height B of the conical 35 201106032 construction is between about 0. Between 2 microns and about 100 microns, between about 10 microns and about 10 microns, or between about 2 microns and about 8 microns or between about 3 microns and about 6 microns. In some cases, it is desirable to control the ratio of the height of the truncated cone to the diameter of the bottom (B/C). In an exemplary embodiment, the ratio of B:c is between about 1:3 and about 3:1 and the range is between about 1:2 and about 2:1, and between about 1. 5:1 to about 1:1. Between 5 or between about h2:1 to about 1:12. Those skilled in the art will appreciate that the choice of A, Θ and B will determine the bottom width (: > as described above, in order to increase the density of the optical construction of the present invention, the parameters are controlled whereby the bottom width C is small enough to allow The increased density can be suitable for the needs. The bottom diameter C can be between about 0. Between 2 microns and about 〇〇 microns, between about 1 micron and about 10 microns, or between about 2 microns and about 8 microns or between about 3 microns and about 6 microns. An exemplary conical configuration of the present invention has a top diameter A between about micron and about 5 microns, a height B between about 2 microns and about 8 microns, and a sidewall angle of about 10. It is about 3 baht. between. An exemplary conical configuration of the present invention will have a reaction zone disposed at the top portion of the cone, typically about the center of the material. The reaction zone lies as a zero mode waveguide comprising an aperture disposed through a reflective cover on the top surface of the conical structure. The aperture may have a diameter of from about 3 nanometers to about 3 inches. Figures 8(A) and 8(B) show two exemplary configurations of the shaping mirror of the present invention. Such configurations can be produced, for example, by the development of microfabrication for use in a +conductor process and methods for producing MEMS devices such as the luminescence (4) and (4) actors. Fig. 8(A) shows an array of a projection substrate 850 having a reflective coating 830 disposed on a top surface of a transparent substrate 8A having a top surface thereof on its 36 201106032. The protruding structure 850 is a shaped micromirror that redirects the illumination light entering the reaction zone 840 and/or redirects the light emitted by the reaction into the detection optical element disposed below the bottom surface 880 of the transparent substrate 800. Reaction zone 840 is a zero mode waveguide that is comprised, for example, of a nanometer aperture extending through a cover layer 820 disposed on top of the micromirror construction. In some cases, the reaction zone 840 will extend into the transparent substrate. A reflective layer 830 is disposed on the outer sidewall of the micromirror to enhance the reflectivity of the walls. In some cases, cover 820 and reflective layer 830 will be the same material, such as aluminum. The region 810 above the transparent surface can contain a liquid medium containing the sample and/or reactants that can diffuse into and out of the reaction zone. In some cases, all of the reaction zones in the array will be in contact with the same solution in zone 810. In some cases, barriers will be included between the reaction zones to reduce or prevent diffusion between the reaction zones. Fig. 8(B) shows an optional configuration of one of the shaping mirrors of the present invention. Fig. 8(B) illustrates a case where the transparent substrate 802 includes the protruding structure 852, and the entire substrate is substantially planar due to the flattening layer 862. A reaction zone 842 is disposed on the protruding formation 852, for example, in the form of an aperture through a cover layer 822. A reflective layer 832 can be disposed over the protruding features 852 to enhance reflectivity. In some cases, reflective layer 832 is not used, such as when planarization layer 862 comprises a reflective material. The planarization layer may comprise a hard material such as an inorganic material such as a spin-on glass or tantalum nitride. The flattening layer may comprise a metallic material such as aluminum. The planarization layer can be a soft material such as a polymeric material such as an organic polymer such as polyimine 37 201106032 or a Wei polymer. For example, the sample and test (4) can be introduced into the reaction zone 842 via a liquid medium located in the region above the substrate 8i2. The moon-permeable substrate may comprise an inorganic material, an organic material or a composite material having both organic and inorganic materials. The material is typically a rigid material that maintains the reaction zone in a fixed position during observation. The preferred material is Shi Xishi (4) (4), such as when making a semiconductor or MEMSlkH: to create a micromirror array. The transparent substrate may also comprise an inorganic oxide material and glass. The transparent substrate material can be a non-homogeneous material such as a material having multiple layers. In some cases, for example, the transparent substrate may comprise a dielectric stack 4. Transparent polymeric materials can also be used. Typical desires are transparent materials that exhibit low levels of spontaneous fluorescence. Suitable transparent polymers include methyl propyl polymer, such as pMMA, polycarbonate, cycloolefin polymer, styrene polymer, fluoropolymer, polyester, polyether ketone, polyether oxime, polyfluorene Imine or a mixture thereof. The reflective layer on the outside of the protruding structure in the transparent layer may comprise a metallic material such as H gold, silver, chromium or a mixture thereof. The reflective layer may also comprise a reflective organic polymer such as a composite comprising reflective particles dispersed in a polymeric material. The cover layer is an opaque or reflective material. The cover layer can be a metal such as aluminum, copper, gold, silver, chromium, titanium or a mixture thereof. In some embodiments, the reflective layer and the cover layer comprise the same material. The micromirror of the present invention enhances the collection efficiency of light from the reaction region on the substrate to be superior to the collection efficiency obtained in the absence of a mirror configuration. The etendue efficiency of an optical system is typically affected by the numerical aperture 38 201106032 diameter of the collection system. The micromirror of the present invention can be enhanced by having a specific numerical aperture such as 0. The amount of light collected by a detection system of the numerical aperture of 5 is about 1%, 20%, 30%, 40%, 50%, 75%, 90% more than the amount of light collected without the micromirror. 2 times, 3 times, 5 times, 10 times or more. The numerical aperture of the detection system can be, for example, from 0. 1 to 0. 9. In some cases, the numerical aperture is between about 〇. 2 and 0. Between 5 The micromirror configuration also increases the illumination level of the reaction zone from an illumination source. For example, the level of illumination can be increased to be 20%, 30%, 40%, 50%, 75%, 90%, 2x, 3x, 5x, 10x or more higher than the illumination level without the micromirror. many. In one aspect, the present invention produces a mirror configuration whereby the illumination light is constructively interfered and thereby increases the intensity of light in the reaction zone. Light can interfere with two or more light waves added or superimposed to create a new wave pattern. For example, waves that are related or coherent with each other may interfere, for example because they are from the same source or because they have the same or nearly the same frequency. For example, with the mirror construction of the present invention, incident light can be redirected from the sidewall of the structure, whereby light redirected from different portions of the sidewall interacts within the mirror volume, causing constructive or destructive interference. . Since the redirected light comes from the same source, the parts of the light redirected will tend to have substantially the same frequency. Constructive or destructive interference of light in a given area depends on whether the light is in phase (constructive) or out of phase (destructive). By controlling the geometry of the micromirror construction and the nature of the illumination, it is possible to control areas of constructive or destructive interference. Electromagnetic model chemical can be used by input mirror construction and illumination light parameters. 39 201106032 Measured areas of constructive interference, such as finite time domain difference (FDTD) or finite element method (FEM). We have determined that for a conical configuration in which the top diameter is similar to the beam diameter in terms of diameter, the field strength in a local region such as the reaction region can be increased to 10 times that in the absence of constructive interference. In some cases, the size of the local area with high strength may be about 0. 25 to about 1 wavelength. It may be advantageous to increase the diameter of a beam to be the same as the size of the micromirror in terms of enhancing illumination. In some cases, the mirror construction has a top surface' such as a truncated cone having a planar top surface, and the top mask has a diameter. In such cases, the ratio of the top surface diameter of the systems to the beam diameter of the incident light is from about 1:5 to about 5:1, from about 1:3 to about 1:3, from about 1:2 to about 2:1 or about 1:1. 5 to about 1. 5:1. Figure 9 shows an illustration of one micromirror configuration illuminated with collimated light. The different shades in the micromirror configuration in the figure represent different light intensities. The figure shows several high intensity regions adjacent to the top of the micromirror, with a high intensity region occurring in the reaction zone at the top center of the mirror configuration. By constructing the micromirror structure in such a way that constructive interference occurs in the reaction zone, the light intensity in the reaction zone can be increased above that obtained in the absence of the micromirror. Constructive interference can be used to increase the light intensity of the reaction zone, which is about 3〇%, 4〇%, 50〇/〇, 75%, 2 times, 3 higher than the intensity of the same illumination light without the micromirror construction. Times, 5 times, 1 time, 15 times, 20 times, 3 times or more than 30 times. Fabrication of Micromirror Arrays The micromirror arrays of the present invention can be fabricated by a variety of methods. In terms of the manufacturing aspect of the array, an array of structures such as the projections of the structure 40 201106032 is fabricated on a transparent substrate. The array of structures can be fabricated by molding, stamping, embossing, processing, etching, or other suitable methods. A preferred way of fabricating the micromirror array of the present invention involves the use of microfabrication methods such as semiconductor or MEMS process methods, which have been highly developed for the fabrication of, for example, integrated circuits. Similar methods have been used to create MEMS (Micro Electro Mechanical Systems) for a variety of applications including inkjet printers, accelerometers, pressure transducers, and displays such as digital micromirror displays (DMDs). The microfabrication method can be applied to a large substrate such as a wafer, which can be subsequently cut into a variety of devices to allow multiple devices to be fabricated at one time. In one aspect, the invention uses such methods to fabricate a micromirror array of a transparent substrate such as a glass such as molten vermiculite. The method of the present invention employs a photoresist method, such as photoresist, to define structural elements on a transparent substrate or other layer. The method is etched to produce a three-dimensional configuration including the reaction region and the micromirror configuration. The temple method is used to add a layer on the substrate. Other semiconductor processes such as ashing, polishing, release, and stripping also produce micromirror configurations of the present invention as described in more detail below. The transparent substrate can be any suitable rigid material. The transparent material may comprise, for example, an inorganic oxide material such as Shishi. A preferred material is molten vermiculite. In one aspect, the invention is a method for fabricating a substrate comprising an array of reaction regions associated with a micromirror configuration incorporated by a method, the method comprising the steps of: a) providing a top surface a transparent substrate; b) patterned and etched on the transparent substrate to form an array of protruding structures having a top and a side; a deposition of a covering material 'by this 41 201106032 the top of the protruding structure comprises a cover; sentence formation By covering one of the aperture arrays 'by the top inclusions/apertures of each of the protruding structures; and e) depositing a reflective deposition material 'where the sides of each of the protruding structures comprise a reflective layer; whereby the array of protruding structures comprises A micromirror array, the aperture at the top of each protruding configuration comprises a zero mode waveguide. This method involves the fabrication of both the reaction zone and the micromirror configuration. More specifically, one of the set methods first involves fabricating a reaction zone', e.g., in the form of an array of apertures, and then fabricating a micromirror configuration. Another set of methods involves first fabricating a micromirror structure on a transparent substrate and then fabricating a reaction zone. In order to fabricate the arrays of the present invention, it may be useful to combine different methods for different shapes for different dimensional requirements. For example, the method of the present invention can be used in a 193 nm lithography process for fabricating a reaction zone, and an I-line lithography process for fabricating micromirror structures. This is not a typical production method because the substrate is sent from one production facility to another in the middle of the process. FIG. 10 to FIG. 18 illustrate an exemplary method of the present invention for fabricating an array of reaction regions, each The reaction zone is associated with a micromirror optical element in a transparent substrate. These exemplary methods are not an exhaustive list of methods that constitute the invention. Each of these methods involves a different set of steps. In some cases, a set of steps of one method can be combined with a set of steps of another method to produce a substrate of the present invention. For example, the fewer steps used in the fabrication of the micromirror construction in one method can be combined with the steps used to fabricate the aperture. In addition, the description provided may not include all the steps that will be included. For example, in many cases, ashing, purifying, removing photoresist, rinsing, and drying steps not described herein, 42 201106032, will in many cases be incorporated into the methods of the present invention. Although the steps in the method show that the particular layer is directly above the other layers as appropriate, the method comprises the inclusion of an intermediate layer between the layers, but is not described herein. For example, in the case of a variety of prior methods, for example, between the layers under the photoresist and the photoresist, a pour layer or an anti-reflective coating such as BARC is included. It should be understood that the method can be entered. Unless otherwise stated, the order of the steps of the method can be changed as appropriate. In some cases, the methods provide a step of engraving the number of layers, such as simultaneously passing through the metal cover layer and the transparent substrate, or simultaneously through a protective layer, a gold cover layer, and a transparent substrate. Although the method generally shows that the layers are similar to each other, in some cases, individual U-shirts and etching steps are performed in each layer. For example, when etching through a metal layer and a transparent substrate, in some cases, the desired first deposition - photoresist and patterning at the first photoresist to etch the metal layer, and deposition and second light A pattern is resisted to etch the transparent substrate. In some cases, multiple etching steps can be performed in a manner that provides, for example, a segmented conical configuration. For example, the first 4 method of ignorance and surname can button the metal layer and extend directly downward into the transparent substrate perpendicular to the surface to form a cylindrical structure; and the first lithography and etching method are opposite The angle at the surface normal creates a side wall that forms a lower conical configuration. In this manner, a mirror configuration such as that shown in Fig. 6C can be fabricated by using a plurality of etching steps. Fig. 10 illustrates a semiconductor manufacturing process for explaining the fabrication of the micromirror optical 7L device and a zero mode waveguide array which causes the micromirror device to be fabricated first and the ZMW to be fabricated in a subsequent step. As shown in step I, a transparent substrate layer 1000 is first coated with a 43 201106032 photoresist layer 1002. The photoresist is then exposed and developed in step II to provide the desired pattern 1004 of the mirror array. In step III, for example, a dry etch transfer method is used to transfer it to the underlying substrate to produce a negative relief 1006 of the mirror array. In the method described herein, an array of mirrors having a parabolic configuration is fabricated. In some cases, providing a smoothing step after the transfer step may be desirable to mitigate potential optical aberrations from the rougher etched surface. The smoothing step can include, for example, a gentle etching step to provide smoothing of the surface, such as a lower piezoelectric paste etch and/or deposition of a smooth layer material on the transferred surface. Examples of such smoothing layers include, for example, an index matching type material such as a Si0x or Si〇2 layer, which may be subjected to a conventional conformal deposition method such as a low pressure chemical gas deposition (LPCVD) process, plasma strengthening. A CVD process (pecvd), etc., on which the temple is deposited. After the transfer step III, a layer of reflective material 1 〇〇 8 is deposited on the patterned substrate in step IV. In a particularly preferred aspect, the reflective material is a metallic coating, such as aluminum or chromium, which is deposited on the patterned surface via a metallization process such as evaporation or sputtering to define the reflective surface of the mirror array. In some cases, a conformal deposition method such as a base is used. <Six, the role of 'to complete the role of the temple or metallization. After the metallization step, in step V, a fill layer or planarization layer 1010 is deposited on the reflective layer 1008. This step can use a variety of filler materials, including additional metal layers (or continuous metal layers), inorganic materials such as ruthenium, ruthenium dioxide, polymeric materials, semiconductor materials, and the like. In a particularly preferred aspect, a stone layer is deposited as a fill layer' and preferably the layer comprises cerium oxide or other glass material. The fabrication of the glass-filled layer can be accomplished by several conventional methods, including the use of spin-on glass materials such as sesquiterpene oxide, or vapor deposition and subsequent oxidation via a ruthenium-filled layer on the substrate. The upper surface is then removed in step VI, such as via surface grinding, polishing or etching, or a combination thereof, to expose one of the openings 1012 in the top portion of the parabolic mirror. A metal cap layer 1014 is then deposited in step vπ to define a ZMW with an integrated parabolic mirror on the upper surface of the ΖΜλν core 1016 having an aperture such as an optical communication through which it is configured and constructed with the parabolic mirrors. Array. Figure 11 shows another exemplary method of fabricating the integrated reaction region and micromirror of the present invention from a transparent substrate. In the step (1), a photoresist 112 such as a photoresist is deposited on the transparent substrate 1100 of the top surface of the cover layer 1110. The photoresist is patterned and developed to produce an array of features 1125. The cover layer 1110 is etched in step (II) to produce an array of reaction regions 1140 such as through the aperture of the cover. The array of reaction regions 1140 can also be fabricated by other methods such as those described herein, such as by using a sacrificial post or by etching the cover layer by an e-beam. In the step (III), a protective layer 1150 is deposited on the substrate. In step (IV), one of the features/resistors for defining the mirror structure 1160 is deposited and patterned. In step (V), a single or multiple etching steps are used to create a micromirror configuration shown here as a cone. The photoresist is then removed to expose the protective layer. In step (VI), a reflective layer is deposited, for example, by de-plating or evaporation of metal 1170. In step (VII), a photoresist for defining the top of the mirror structure 1180 is deposited and patterned. In step (VIII), the metal portion not covered by the photoresist is engraved to expose the underlying protective layer. 45 201106032 Then remove the beta layer to open the aperture. The resulting array has a reaction zone comprised of aperture layers that pass through the cover, each aperture layer being disposed on top of a mirror construction. An exemplary exemplary method of the present invention is shown in Figure 12. Steps (1) and (II) use the photoresist 1220' to fabricate an array of apertures 1240 in the cover layer 121 of the top of the transparent substrate 1200. The array of reactive regions 1240 can also be fabricated by other methods such as those described herein, such as by using a sacrificial post or by etching the cover layer with an e-beam. In step (111), the temple is used to define a photoresist of the micromirror structure 126, and to make a pattern. In step (IV), the geometry of the photoresist and the etching conditions are controlled to produce a body having a trapezoidal sidewall of a micromirror configuration, which is illustrated herein as having a conical configuration of substantially planar sidewalls. A reflective layer is deposited in step (V), such as in the form of a metal layer 1270. A photoresist 1280 is deposited and patterned in step (vi) to expose the area above the photoresist region on the top structure of the micromirror. In the step (νπ), the exposed metal is etched, and then the photoresist is removed to expose the aperture, thereby producing an array of each of the reaction regions associated with the micromirrors. Figure 13 shows another exemplary method of the present invention. First, steps (1) and (II) use photoresist 1320 to fabricate an array of apertures 1340 in a cover layer 顶部3ι〇 on top of transparent substrate 1300. The array of reaction regions 1340 can also be fabricated by other methods such as those described herein, such as by using a sacrificial post or by etching the cover layer with an e•beam. Then, a protective layer 13s is deposited in the step (m). In step (IV), a photoresist is formed to define the micromirror structure 1360 and a pattern is created. In step (7), the __ method is used to fabricate a micromirror configuration having a trapezoidal sidewall, and the anti-corrosion and protective layer is removed. In step (VI), a photoresist 1 1380 is produced on top of the micromirror structure by deposition, patterning and removal of light 46 201106032, and metal 1370 is deposited in step (VII) to A reflective layer is formed on the micromirror. In step (VII), the photoresist is removed, the photoresist column and the metal deposited on top of it are removed, thereby creating an array of reaction regions associated with the micromirrors. In another exemplary method shown in Fig. 14, steps (1) and (II) use photoresist 1420 to fabricate an array of apertures 1440 in cover layer 141A on top of transparent substrate 1400. Then, in step (III), a sacrificial layer 1450 is deposited. A preferred sacrificial layer comprises tantalum or niobium. In step (IV), a photoresist is formed to define a photoresist and pattern of the micromirror structure 1460. In step (v), an etching method is used to fabricate a micromirror configuration having trapezoidal sidewalls, and a pulling method is used to open the edges of the sacrificial layer on top of the micromirrors. In step (VI), metal 1470 is deposited as a reflective layer of the micromirror. Sputtering can be one of the methods suitable for the metal deposition step because it produces an effective sidewall covering effect without covering the sidewalls of the sacrificial layer. In step (VII), releasing the sacrificial layer' also removes the photoresist and metal layer on top of the sacrificial layer. It may be advantageous to use a wet release method in releasing the sacrificial layer by allowing diffusion of the release material through the access holes, in some cases creating bubbles that help to effectively remove the photoresist and metal layers on the top of the sacrificial layer. In some cases, sonication and wet release methods are used. The method produces an array of reaction zones each associated with a micromirror on a transparent substrate. Figure 15 shows an alternative method of the invention. In step (1), a photoresist is formed on the transparent substrate 1500 to define a photoresist of the micromirror 1510 and to make a pattern. The micromirror construction is then fabricated in a transparent substrate 47 201106032 1500 using, for example, a reactive ion etching method. In step (111), a metal reflective layer 1520 is deposited over the micromirror structure. In step (IV), a planarization layer 1530, such as a spin-on glass or PECVD oxide, is deposited. The thickness of the planarization layer may be, for example, 8 to 10 μm. The planarization layer can be a hard material such as spin-on glass or can be a soft planarization layer. The soft planarization layer can be, for example, a spin-on UV curing type organic polymer such as Summers J91 or SK9. When the planarization layer comprises a hard material, the planarization is typically performed, for example, by chemical mechanical polishing (CMP) as illustrated in step (V). When the planarization layer comprises a soft material such as a UV-curing polymer, then oxygen etching can be used after UV curing to etch away the top region of the spin-on polymer to the top of the micromirror, which is similar to polishing effect. The polishing or oxygen etching step exposes the top of the micromirror construction. In step (VI), a metal cap layer 1540 is deposited on the surface. In step (VII), a photoresist for defining one of the apertures 1550 and patterning are deposited. The cap layer is etched in step VIII to form a reactive region 1560, and the photoresist is removed to produce an array of reaction regions each associated with a micromirror on a transparent substrate. Figure 16 shows yet another alternative method of the present invention. In the step (1), a photoresist is formed on the transparent substrate 1600 to define a photoresist and a pattern is formed. The micromirror construction is then fabricated in a transparent substrate 1600 using, for example, a reactive ion etching process. In step (ΠΙ), a metal reflective layer 1620 is deposited over the micromirror structure. In step (IV), a soft planarization layer 1630 such as a polymeric layer is deposited. The soft planarization layer can be, for example, a spin-on UV curing type organic polymer such as Summers J91 or SK9. In the step (V), the photoresist for defining the reaction region 1640 is deposited and fabricated. FIG. 48 201106032 2 In the step (νι), a deep surname is performed, which penetrates the soft planarization layer ι63〇, the metal layer 162G' To form a reaction region 166G. In step (VII), the photoresist and the soft planarization layer are removed, thereby producing an array of reaction regions 166A associated with each other on the transparent substrate. Fig. 17 and Fig. 18 show an alternative method of the present invention using a small column (nano column) formed from a layer deposited on a transparent substrate such as a fused stone. The nanocolumn is formed on the surface and removed later to produce the reaction zone of the present invention. The nanocolumn can be formed from any suitable material of the layer. Preferred materials for forming the nanocolumn are polycrystalline germanium (p〇ly_Si) or polycrystalline germanium (P〇ly-Ge). For example, polycrystalline germanium can be deposited on a transparent substrate by low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (pECVD) or solid phase crystallization (SPC) of amorphous germanium. Other methods described herein, such as those described above, incorporate the use of a nano column such as a polycrystalline nano column to produce a reaction zone. While referring to some of the methods used for nanopillars made of polycrystalline germanium, it should be understood that such methods can be fabricated using other suitable materials. In the method shown in Figure 17, in step (1), an array of polycrystalline stellite columns is produced on top of a transparent substrate, for example by depositing a polycrystalline layer on the transparent substrate. The photoresist is patterned and patterned in the photoresist to define a nano-pillar and to etch away unwanted polysilicon regions to produce the nano-pillar. In the step (II), a photoresist for forming a micromirror structure and a pattern are formed. The etching of the transparent substrate is performed in the step (ΠΙ) to produce a micromirror structure having trapezoidal sidewalls. In step (IV), a thick metal is deposited, thereby burying the area between the nanocolumn and the filled micromirror structure. In step (V) 49 201106032 two cases:: planarize the metal layer by μ 'by exposing the beta column of the nano column and then removing the exposed nano column in step (f) to generate the reaction = domain - Array. In Qing Shicai, the metal system (4) is the surface of the reflector on the wall of the cover layer and the micromirror structure. In some cases, the thick metal layer can be thermally managed, because the metal layer produced can have a relatively high heat transfer. coefficient. Figure 8 shows an alternative method using a nanocolumn to create an array of reaction zones. In step (I), an array of polycrystalline spine is produced on the top of the transparent substrate, such as by depositing a polycrystalline layer on the transparent substrate and depositing light in the light. The side case is blocked to define the nano-pillar and the money to remove the unwanted polycrystalline fracture area to produce the nano-pillar. In step (π), the memory is used to form the micro-mirror structure - the photoresist and the pattern. In the step (10), the (four) action of the transparent substrate, for example, reactive ion beam (4), is performed to generate a micromirror structure. In the step (IV), the metal layer is killed, whereby a metal coating layer is formed on the micromirror top structure, and a reflective layer is formed on the side of the micromirror structure. In step (V), a planarization layer such as a spin-on glass is deposited to fill the area between the micromirror configurations. In step (VI), a planarization or polishing step is performed to planarize the planarization layer and the metal layer on the top structure of the micromirror and expose the nanopillar structure. In step (VII), the nanocolumn structure is removed to create an array of apertures or reaction regions each associated with a micromirror configuration. Figure 19 shows a method of forming a reaction zone of the present invention, e.g., a nanometer-sized aperture, using a sacrificial layer. The method illustrated in Fig. 19 can be incorporated or combined with any suitable method described herein, including the method of 50 201106032, etc., in the above-described 10th to 18th, and the (4) array of trees and micro-tracks. In the step_cloth, the "=" is applied on the side of the transparent substrate, and the hard mask layer 192 is coated on the side of the sacrificial material. The sacrificial material may be, for example, polycrystalline or polycrystalline germanium. The hard coat material may be, for example, an oxide or nitride 'such as 11 oxide or nitrogen cut. During the subsequent (d) step, the hard coat material should have acceptable selectivity for the sacrificial material. In step (II), a hard mask is engraved using a lithography method. In step (10) and step (IV), the sacrificial layer is fine. Using a controlled over-acting _ as shown in step (IV) to produce a -specific base appears to form a sacrificial column. Base etching can be performed whereby the diameter of the hard coat layer mask or other surface dimensions (W1) is 1.1 to 3 times the diameter of the sacrificial layer (Wp). In some cases, the Wi/Wp system is between about 15 and about 25. In some cases, the Wi/Wp system is between about 6 and about 22. The size of the basement can be, for example, between about 1 nanometer and about 300 nanometers, between about 50 nanometers and about 200 nanometers, or between about 70 nanometers and about 15 nanometers. A metal cover 1930 is then deposited over the surface. The cover deposition is carried out by one of sputtering, whereby the metal is deposited on a transparent region below the region where the hard mask extends beyond the sacrificial layer. In step (VI), the sacrificial column is removed, for example, by dissolving the sacrificial material preferentially to expose the reaction zone 1940. When the sacrificial material is tantalum and the metal is covered with aluminum, an aqueous oxidant can be used which will dissolve the tantalum without significantly removing the aluminum. The transparent substrate can be etched to form the micromirror configuration in a single step or in multiple steps. In some cases, a series of photolithography and surname steps can be used to form the mirror configuration. Although the steps of the method are added to 51 201106032 using multiple etching steps, in some cases the series of steps can be used to provide more control over the construction of the micromirrors. In some cases, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 20, 30 or more steps may be used. Figure 2 shows an exemplary method of using multiple lithography and etching steps. For the method described in Fig. 20, the substrate 2000 was coated with a photoresist, patterned, and etched 9 times. The first layer is etched, for example, to create a cylindrical configuration having one of the lowest layer diameters. Subsequent patterning of the respective photoresist layers is then performed to have a circular shape having a diameter slightly smaller than the previous layer. The result of this multiple step method is an array of constructs 2010, which is defined by an insecticide. In some cases, this approach will result in having one of a series of terraces 2020 defined by layer 2010. If desired, the walls of the structures can be smoothed, such as by wet or dry etching, to partially or completely smooth the terrace structure. The construction can be applied in a reflective manner, and the associated reaction regions can be fabricated as described above before or after the micro-mirror configuration is formed by multiple etching steps. The structure 2010 described in FIG. 20 is shown in the form of a different relative straightening sidewall (stepped), but multiple etching steps can be used to fabricate a curved wall, a segmented conical configuration, a parabolic configuration, etc. The construction of any arbitrary shape. The multiple etching step <Incorporate any combination of isotropic, anisotropic or grayscale etching steps. While the method produces a cylindrically symmetric configuration, it will be appreciated that the multiple step method can also be used to create configurations having other symmetries that are not cylindrically symmetric. In some cases, the method of the present invention uses a resist to use a lithography boundary to create a structure. The resists can be, for example, a stop or an e-beam resist. The photoresists can be developed using ultraviolet light, deep ultraviolet light, G-line, H-line, 52 201106032 ι-line or other suitable wavelength or wavelength set. The type of photoresist used, and therefore the type of instrument configuration used in the method, will depend on the size of the body being produced. In many of the methods described herein, 'higher resolution anti-contact agents and equipment will be used to produce a pore size corresponding to the reaction volume' wherein the pore size can range from 10 nm to 500 nm, and the resolution is lower. The photoresist is associated with an instrument configuration to produce a micromirror' which can have a physical size of from 1 micron to 20 microns. A variety of anti-surname agents are known in the art, and many are available from companies such as Rohm and Haas and Shipley. The photoresist used in the method of the present invention may be a negative or positive photoresist. When referring to one of the methods of using a negative photoresist, it should be understood that a suitable positive photoresist can also be used when feasible, and vice versa. Chemical amplification can also be used when appropriate to increase the sensitivity of the photoresist. Removal of the photoresist and cleaning, rinsing, ashing and drying of the substrate can be carried out as appropriate and as taught in the art. In some cases, photolithographic tools for reaction zones (e.g., ZMW) utilize a photolithographic exposure tool that produces a structure having a size of from about 1 nanometer to about 1 nanometer. The system includes, for example, an AMSL XT1250 exposure tool. An etching method is used in some aspects of the invention to create a three-dimensional shape in a transparent substrate or in other layers to constitute, for example, an optical element such as a micromirror or lens, or a reaction volume such as a nanometer aperture. The etching method used will depend on the type of material used, the size of the body, and the photoresist system. In some cases, wet-type or wet chemical engraving is used. Electrochemical etching can also be used. In some embodiments, plasma etching or reactive ion etching (RIE) is used as a residual method. For example, when the desired structure has a high aspect ratio structure, deep reactive ion etch 53 201106032 (DRIE) can also be used. It is also possible to use, for example, dry vapor phase inoculation with bismuth difluoride. When suitable for producing the construction of the present invention, bulk micro-working or surface micromachining can be used. The etching used in the method of the present invention can be a gray scale etching effect. The conditions of the photoresist forming action and the etching action are controlled to produce a sidewall having a desired geometry such as having a desired sidewall angle to function as a micromirror. Some methods of the invention involve the deposition of a reflective layer or a cover layer. The deposition of the reflective layers can be accomplished by wet methods including spin coating on the layer from the solution, or by gas phase methods. Suitable methods include electroplating, sputter deposition, physical vapor deposition, evaporation, molecular beam epitaxy, atomic layer deposition, and chemical vapor deposition. Metal can be used as the reflective layer and the cover layer. Suitable metals include gold, nickel, aluminum, chromium, titanium, platinum, and silver. The reflective and/or cover layer may comprise aluminum which may be deposited by sputtering, for example using a commercial sputtering tool available from CVC, Novellus or MRC Corporation. When the layers are deposited during the method of the present invention, in some cases, the layers are processed prior to moving to the next step in the process. For example, the deposited layer can be annealed, planarized, cleaned, passivated, or lightly etched to enhance its properties. In some methods of the invention, a protective or sacrificial layer is deposited. The protective layer may be a polymeric layer or may be an inorganic layer. Suitable protective or sacrificial layers include germanium (Ge) and amorphous germanium (a-Si). A protective layer can be used to create the features described herein. The type of material used for the protective or sacrificial layer can be selected for its selective reactivity, such as for selective reactivity with wet chemical etchants. For example, in the case of 2011 060 矽 矽 铝 铝 , , 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 2011 Mirror construction. In some methods, a pull-out method is used. The pull-out method usually involves closing the edge of the body from the layer to reduce the size of the body. A wet chemical reduction agent selectively reacting with a layer having exposed edges can be used. In some cases, a layer of gas is used to open the layer. Some methods use a polishing step to remove a surface area from the substrate. Suitable methods include chemical mechanical polishing or chemical mechanical planarization (CMP). Some methods of the present invention incorporate a planarization layer. The method used to deposit the planarization layer will depend on the type of material used. The planarizing layer can be a hard material such as an inorganic material such as tantalum nitride; it can be a metal material such as sinter; or it can be a soft material such as a polymeric material such as an organic or bismuth polymer. The planarization layer can be a glass, such as a ruthenium dioxide material. In some cases, the planarization layer comprises a spin-on glass such as a silicate, phosphonium or decane material. Suitable spin-on glass materials are available, for example, from Honeyewell Corporation. The planarization layer can comprise, for example, a glass that is doped with other agents to control its melt properties, such as boron-phosphorus-tellurate glass (BPSG). Suitable polymeric planarizing materials include, for example, polyimine. The array of the present invention can be incorporated into an analytical system for use in analyzing multiple reactions occurring in the reaction zone of the array. The array described herein typically has 55 201106032 with a fluid-receivable reaction zone from the top and is accessible for optical analysis from the bottom. Thus the array is typically incorporated into a container and one of the reaction mixtures to be explored is introduced into the container. In some cases, the individual reaction zones are in contact with a volume of fluid, which may have, for example, a plurality of nucleic acid template molecules that can be analyzed, and which may have nucleotides, cofactors, and reactions for performing the assay. Other additives. The container containing the array can be placed in an instrument with appropriate optical components, computer control, and data analysis systems. The container containing the array will be secured within the instrument whereby reaction conditions such as vessel temperature and atmospheric conditions of the container can be controlled. The atmospheric conditions of the vessel may include the composition of the gas above the sample, such as humidity and other gas species such as oxygen levels. Integrated Lens Arrays As with integrated parabolic mirrors, lens arrays can be fabricated using a variety of conventional techniques, including, for example, semiconductor fabrication methods, micromolding of polymeric materials, and the like. For example, as part of the fabrication method for the integrated mirror described above, an etching method such as reactive ion etching can be used to fabricate the lens arrays. Optionally, as mentioned above, the variable ion implantation method can be used to change the refractive index of the substrate assembly while defining the lens with an existing substrate. As will also be appreciated, additional optical elements that provide enhanced light collection for light from the reaction zone can also be fabricated in the substrate. For example, the ion implantation method described above can be used to define a diffraction grating that is directly used for each of the different reaction regions in the substrate. In an optional aspect, the conical or parabolic mirror is replaced (or in some cases amplified) by a lens array that at least partially collimates or focuses the fluorescent signals transmitted to and/or from the substrate. Figure 21 illustrates this type of lens array. As shown, 56 201106032 general assembly 2100 again includes a zero mode waveguide, such as ZMW 2102-2112, disposed in an array on a first surface 2114 of a transparent substrate 2116, and the reactions contemplated therein are performed therein. A micro or nano lens, such as an array of lenses 2118-2128, is disposed on the opposite (or rear) surface 2134 of the transparent substrate 2116. As shown, the lenses are of the same size and are arranged in a pitch with the ZMW array whereby each ZMW has its own mating lens. Like the mirror array of Figure 5B, the light from the ZMW is redirected, for example by collimating the lens portion associated with it, and collecting and analyzing the light to monitor the reaction to be explored. While such lenses are less effective than parabolic mirror construction in eliminating crosstalk in the substrate, they provide additional benefits in terms of cost and ease of manufacture, and in some cases can be used to replace or assist in such integrated mirrors. As shown, the lens array can be integrated into the underlying transparent substrate. Alternatively, the lens array can be fabricated separately and bonded to the underlying substrate to provide the same or similar results. Although illustrated with a single layer lens disposed on the back surface of the array, it will be understood that the lens array can be composed of multiple lens layers in different regions on each addressed substrate, or the limb regions on the substrate can be combined to provide Optical work. Further, although the lens protruded from the rear surface of the transparent substrate, in some cases, the lens can be integrated in the transparent substrate. For example, the lens can be assembled into the underlying substrate at the appropriate position by providing a change in refractive index of the substrate at the locations. The lens manufacturing as described can be used to embed individual lenses in a substrate using micro-jade technology to provide birefringence. Preferably, the portions of the substrate act as lenses by creating an index of refraction in the art portion of the substrate. The refractive index of the substrate, such as a glass substrate, can be varied by several means, such as including ion implantation methods. In addition to the lens or lens array, the diffraction grating or other optical functionality can be similarly assembled into the underlying substrate. Since the signal from the substrate is at least partially collimated by the focusing optics, the need for a field of view, high numerical aperture objective lens is reduced, and a wider field of view, lower NA objective lens can be used, which typically gives system cost and availability. Advantages 'Because the less rigid objective lens can be used. In addition, heterogeneous materials used to fabricate higher magnification objectives can cause an increase in photoluminescence of the objective lens itself when exposed to excitation radiation. Furthermore, because the lower magnification objective is used, the spacing provided between the objective lens and the substrate can be greater than that typically provided when using a high numerical aperture objective lens. This additional spacing allows for the insertion of additional optics between the substrate and the concentrating objective. The component 'as appropriate dichroic mirror. In at least one exemplary embodiment, a dichroic mirror is provided between the substrate and the objective lens to permit separation of illumination light from the collection objective and other collection optics components. By further separating the excitation path from the detection path, and in particular by providing a collecting objective lens that does not see the excitation light, the spontaneous fluorescence or light caused by the excitation illumination through the objective lens in the light collecting path can be completely eliminated. Glowing. One of the optical devices is illustrated in Figure 22A. As shown, the whole system 2200 includes a substrate 2202 on which a plurality of reaction regions 2204 are disposed. Also incorporated into the substrate (as shown) or in the form of individual components, including, for example, focusing optics for collimating optical signals from the reaction zone and/or focusing illumination effects on the reaction zone (as described above) Integrated micromirror or lens). The system also includes an excitation source 2206 or a plurality of excitation sources to provide excitation light to the substrate 22〇2. The excitation light is guided to the substrate via a dichroic mirror 2208 58 201106032 located between the collection objective 2210 and the substrate 2202. By separating the excitation light from the collecting objective lens 2210, the problem associated with the spontaneous fluorescence of the collecting objective lens 2210 can be avoided, and spontaneousness can be one of the important causes of noise. Prior to the reflection of the dichroic mirror 2208 to the substrate 2202, additional operations, such as spectroscopic, beam shaping, tears, waves, etc., will typically also be experienced. For example, excitation light can pass through a multiplex optical element, such as a diffractive optical element (DOE) 2212, to provide a plurality of individual beamlets; and through focusing optics for focusing the beamlets onto the focal plane of the substrate. The signals emitted by the objective lens 2214 are collected by the dichroic mirror 2208 and by the collecting objective lens 2210 by virtue of their different spectral characteristics from the excitation light. As previously indicated, when the signal portion from the substrate has been collimated by the focusing optics, the collecting objective can have a significantly lower multiple, such as from a field of view of a system for a non-focusing optical element of less than 3 mm. A 60X (numerical aperture 〇9) or higher objective lens, changed to a 4X with a field of view of 45 mm or more (numerical aperture is 0. 28) or a lower magnification objective while still providing sufficient sensitivity for single molecule analysis. As with the system shown in Fig. 2, the collected signals can then be spectrally separated, for example, by 稜鏡2216, and further focused, for example, via lens η", or prior to detection by detector 222. Other operations. An additional or optional illustration of one of the dichroic mirrors and illumination paths of the substrate is shown in Figure 22B. As shown, the dichroic mirror layer 22〇8 is sandwiched between two 稜鏡225稜鏡 and 2252 The interface between the excitation radiation from an illumination source such as laser 22〇6 is directed to dichroic mirror 2208. As shown, the excitation radiation is first reflected by the back surface of substrate 22 20112 59 201106032 as indicated by the solid arrows. The excitation light is then reflected by the dichroic mirror 2208 toward the substrate and the reaction region disposed thereon, such as the reaction region 2204 in Figure 22A. The fluorescent signal emitted from the reaction region can then enter the objective lens 2210 through the dichroic mirror 2208. And entering the remaining portion of the string of optical elements and the detection system as shown in Fig. 22A. By providing the total dichroic mirror element in a direction perpendicular to one of the optical paths as shown in Fig. 22B, instead of 22A is relative to the The tilt of the orientation reduces the amount of optical aberration introduced into the space of the objective lens, and the optical aberration may reduce the image quality on the detection plane or the confocal plane depending on the situation. Time multiplexing On the one hand, multiplexing can be achieved by multiplexing a given system, such as exposing different areas at different times, to assist or replace the other multiplexed solutions described above. The system can include switching between different groups of regions at a relatively low speed, which is similar to a scanning method, such as lighting and/or detecting in different regions at different times, wherein different timings are different for different regions. Not critical. Optionally, and with reference to a portion of the 'analysis time of the present invention, the multi-task is ordered at a sufficient frequency for a particular analysis, and substantially simultaneously acts and/or detects in different regions. From the aspect of the photographic frame capture rate, the detection in different regions is simultaneous, as explained previously. This aspect of the invention is illustrated in Figure 23 in a schematic manner. If not, a first illumination mode is provided on a substrate 2300 that illuminates a first subset 23〇2 of the reaction zone 231〇 at a first time point, such as time t-o. Then, the time t-b is switched to the illumination area 23, and the difference is 60 201106032 t=〇 and t=1 are temporally sufficient subset 2304. For the purposes of the present invention, the proximity is such that the switching frequency is sufficient, whereby the two illumination modes are substantially simultaneous. Although the color display is in the dichotomous mode between (4) and (10), a variety of different illumination modes, such as the present invention, can be illuminated and switched during the desired time period to provide substantially simultaneous illumination of different regions. . For example, random or arbitrary selections or subsets of blocks, columns, portions or regions may be illuminated separately in substantially the same manner. In at least one implementation, the time multiplex system of the present invention illuminates different regions on a substrate using a light switching assembly that selectively and rapidly switches between two or more different optical paths. For example, the illumination path in the first aspect of the analysis system includes a switchable directional mirror located within the optical path to switch the direction of the excitation illumination to a different location on the substrate. In more complex systems, a programmable mirror array that can quickly direct the calibration illumination to different portions of a substrate, such as a digital optical processor (DLp), can be used. Other dynamic switching components, such as a MEms shutter array, a spatial light modulator such as LCDSLM, can be selectively cut off for the optical path of the subset or even individual beamlets. Figure 24 illustrates an example of such a lighting control. As shown, illumination path 2400 includes an excitation radiation source, such as laser 2402. The s-ray laser is directed through a suitable multiplex optical element, such as one or more diffractive optical elements, gratings, etc., such as DOE 2404, to produce a desired illumination mode for one of the multiplexes. The calibration illumination mode is directed to a mirror 2406' that selectively directs a portion of the illumination pattern through the remaining string of optical elements, such as dichroic mirror 2410 and objective lens 2408, to be incident on the substrate 514 61 201106032. Part of it. The fast switching of the mirror results in high frequency illumination for different areas on the substrate 2414 at different times, but is preferably within the frame capture period of the detection system. In the best case, the operating frequency of the switching system exceeds the incident rate of the device in the system, such as the frame capture rate of the camera. In particular, switching at least 1 time, and preferably at least 2 times, in an H-frame capture event, and in some cases, for example, switching at least 3 times, 4 times, 5 times or more more often During the time of the frame, the switching process should be substantially undetectable and unobservable for the detection system. For example, for a still camera with a frame rate such as from 1 〇〇 to 1000 Hz, the switching frequency of the detection system should be at least 100 Hz, 200 Hz, ι Ηζ, 2 〇〇〇 Hz or even higher. As will be appreciated, in some cases, individual beamlet dynamic modulation can be used to adjust the relative intensities of individual beamlets or beamlets to be caused by other aberrations in the optical system, such as vignetting. The variation across the substrate is adjusted. As will be understood, while referring to the different portions of the multiplexed beam of the multiplexed optical component 2404 being transferred to the substrate 2414 in an intermittent manner, in some cases, the switching component may simply redirect the multiplex beam to the substrate 2414. Partial injuries to further enhance multiplex. For example, in some cases, multiplex optical component 2404 can produce an illumination mode that illuminates a subset of the first regions, such as subset 2302 in FIG. The switching function then directs the same mode of calibration illumination to an additional subset of regions, such as region 2304 in Figure 23. Thus, the multiplex optical element can be selectively designed to provide the same function, such as illuminating reaction zones in every other column or row. The switching optics 62 201106032 is then configured to reflect the beam to the reaction zone of the illumination intermediate column or row during half of the period. C. Search activity Although many aspects of the invention are directed towards increasing the total number of reaction zones that undergo illumination and/or detection for the analytical reaction, in some cases, a large number of reaction zones may be initially examined, followed by only active An effective multiplex is achieved by performing a subsequent illumination and/or detection of a subset of such regions showing signs of reaction to be explored. Thus, in yet another aspect, the increased multiplex is applied only to the active area on a substrate. In fact, the method examines the large reaction area, but only continues to analyze a small subset. Thus, while an initial review is performed for a high multiplex, the final analysis is performed on a subset of the reaction regions that may not even require a significantly smaller multiplex factor. The resulting analysis effectively increases multiplexing, which means that the level of analytical processing in the relevant areas is high and there is no need to maintain high multiplex analysis throughout the analysis period. As a result, the required energy input may be lower than the direct high multi-work mode, with gain in signal noise ratio and the like. Figure 25 graphically illustrates an overview of the previously mentioned methods. As shown, 2502 - substrate 2500 with a large number of potential reaction regions is first extensively reviewed to identify active reaction regions (as indicated by solid circles 25〇4). The broad illumination effect may be in the form of a unified flood illumination of the entire substrate or a significant portion thereof, or may be in the form of a scan analysis for different regions to identify those exhibiting actives. Optionally, a calibration illumination can be similarly used to identify the active region 2504. Once identified, the optical system is then configured to illuminate only those regions exhibiting activity, causing only illumination and thus monitoring of signals from such regions (as indicated by the dashed line 63 201106032 circle 2506). The selective illumination material (iv) a plurality of different optical systems or components such as 'as with the time multiplexed illumination method described above, can quickly configure the programmable mirror array' to illuminate only the exact region selected on a particular substrate. Similarly, an LCD mask having an addressable pixel used in a conventional flat panel LCD display can be used to selectively illuminate a desired area on a substrate. The components can be configured as described with respect to mirror 2 in Figure 24 to selectively orient the beamlets that are directed to the base-dependent region. In particular, by providing an LCD reticle, individual pixels can be selectively addressed such that they are turned on or off for excitation illumination, such as the transmission of a small beam, thereby controlling the beamlets that are ultimately incident on the substrate. The number and mode. In addition to providing controllable reticle illumination in different areas on the substrate, the above methods can also be used to adjust the illumination intensity of different areas on a substrate. In particular, by modulating light passing through different mask elements, the intensity of light received by the substrate can be modulated. The above modulation is particularly useful for the inhomogeneity of the calibration illumination caused by other optical components, such as the inhomogeneity caused by variations in multiplexed optical components such as DOE. In particular, a gray scale mask can be fabricated or programmed in the case of a programmable spatial light modulator to adjust for any predetermined inhomogeneity/consistency between the nominal illumination effects of the different illumination regions. In particular, one of the illumination profiles of a particular optical path can be taken to take samples, including multiplexed optical components, and a spatial light 5-variant or reticle is configured based on the mode to properly correct for deviations, such as reducing brighter illumination. The strength of the point. D. Enhanced multiplexed illumination effect 64 201106032 In other aspects, the present invention provides enhanced multiplex illumination effects using a plurality of illumination sources in combination with one or more diffractive elements to illuminate a large number of discrete reaction zones. In such cases, two, three, four, five, ten or more laser beams can be directed through one or more diffractive optical elements to create a plurality of illumination points on a substrate. When, for example, a diffractive optical element is used to provide a discrete beam of light to illuminate a large number of discrete regions on a substrate, to ensure adequate power delivery to a large number of illumination regions, it is typically desirable to increase the power applied to the system. In the case of ultra high multiplex systems, the individual lighting sources used to carry out this are not commercially viable due to cost and availability. For example, in a particular exemplary application, a single illumination source beam is split into small beams that provide about 5 microwatts per square micrometer. To achieve this same illumination power for 80,000 discrete points, it means that a single illumination beam will have about 500 megawatts. In addition to laser issues, the diffractive optical elements typically produce a beam pattern that has reasonable beam uniformity over a relatively small field of view. However, when it is desired to expand the field of view, the non-uniformity of the illumination pattern will be excessive for some applications. Thus, a significant change in illumination intensity across illumination points would be expected when the multiplexed illumination scale, for example, expands by one or more. Thus, in one aspect, the present invention provides a plurality of illumination sources and/or source beams directed through one or more diffractive elements to provide an easily accessible, low power illumination source and a high cross-illumination field of view uniformity. Ultra-high multiplex lighting effect. In a first aspect, a plurality of illumination beams are directed through a single diffractive element at different angles to provide an output illumination mode that reflects the plurality of beams and an angular variation in the end of the beam. This is illustrated graphically in Figure 26. As shown, the plurality of illumination beams, shown by solid line 2602 and dashed line 26〇4, are directed to diffractive optical element 26〇6 at different angles as indicated by angle 2608. The pattern produced by the illumination "small beam" 2610 emitted by the DOE of each of the originating beams is directed to the substrate 2612 in its own mode (as illustrated by the centroids and hollow dots on the substrate 2612), each of which The mode is offset by a function of one of the angular differences between the two ends of the beam. In addition to using multiple illumination source beams, the present invention contemplates the use of multiple diffractive elements, wherein each diffractive element receives a subset of the originating illumination beams to produce a correlation mode. ; the higher multiplex mode emitted by the & self-single-diffractive element may provide excessive variation in the entire larger field of view. 'The illumination mode may be provided using a subset of the -specific substrate-regions. A plurality of diffractive elements, whereby the presence of the (four) cents is kept in a relatively small field of view' and thus does not exceed the range for the desired application. An example of such a system is illustrated in Figure 27A. In particular, as shown, a multiplexed diffractive optical element 27A6 is provided which includes a single integrated component or a plurality of connected diffractive optical elements 27()4. A plurality of originating beams (e.g., emitted from a plurality of illumination sources such as a solid line, a short dashed line, and a long dashed line) are directed to the multiplexed DOE 2706, wherein each beam is directed at an angle that is slightly offset from the other beams. DOE. As shown, a polyhedron, waveguide array, fiber bundle or other optical component can be included to redirect each beam to its appropriate hall assembly. In addition, the individual coffee components in the array can be angularly skewed to provide the best direction for the emitted beamlets to pass through the remaining string of optical elements. 66 201106032 The beam pattern produced by each of the DOE elements 2704 within the self-integrating DOE 2706 is then transmitted through an array of optical elements (as shown by lenses 2708, 2710 and objective lens 2712) that focus the beam onto a substrate 2714. As shown, the different beams are focused' to provide their illumination modes to different subsets of the substrate. For example, as shown in the schematic development of the beam, the interlacing of the illumination modes from each component 2704 can be used to provide multiple offsets, but interleaved with the illumination pattern on substrate 2714, as shown in Figure 26. Optionally, different beamlet patterns can be directed to completely different regions on the substrate, such as quadrants, to illuminate individual substrate regions. Figure 27 illustrates several d〇e component configurations for achieving high multiplexing, including five DOE array components (a) and (d), seven array components (b) and (e), and nine DOE arrays. Components (c) and (1). Depending on the level of multiplex and the desired lighting mode, other configurations are also possible. In a preferred aspect, each DOE component in an array will be configured to have a different skew angle, except that the incident beams are evenly divided into a large number of beamlets in the illumination mode in angular space. For example, with respect to the nine-sided DOE assembly illustrated in Figure 27B, each of the DOE components or faces in the array can provide a small beam of 100x100 from a single incident beam. They can have a uniform spacing such as a space between 乂 and ey. 1 milliradian (mrad). The skew angle of the first DOE (#1) is, for example, 5 milliradians in one direction, and the second DOE (#2) will be skewed by 5 milliradians in both θχ and one angular space, the third DOE (#3) ) will be skewed at 0y angle space by 5 milliradians and so on. As will be understood and as mentioned above, each D〇E can be used to convert - or multiple illumination beams into a beamlet mode of the steering substrate. 67 201106032 E. The multiplex detection function is similar to the multiplex mode of applying the illumination side of the analysis system, and assists or as an alternative to the methods, the present invention also provides enhanced multiplex on the collection side of the system, such as The optical path of the signal transmitted from the reaction area on the substrate is collected and detected. In particular, at least one limitation on the potential of ultra high multiplex systems is the ability to measure signals transmitted, for example, from larger and larger discrete areas on a substrate. As will be appreciated, the actual limits expected for signal detection from different regions on a substrate at any one time will be limited by the number of elements or detector elements in a particular detection system. In particular, a particular detector pixel will only detect the number ' and in the absence of other considerations' incident on the signal from one source and from another source or combination source will not be discernible. However, as will be understood that the actual limitation of each pixel with a signal is that it is not completely feasible in itself. Because of the signal detection on multiple pixels, a large amount of different information, such as spectral information, array position, etc., is provided (see, for example, 2007). US Patent Application Publication No. -0206187, International Patent Application Publication No. WO2007/095119, and U.S. Patent Application Serial No. 12/134,186, filed on Jun. 5, 2008, the entire disclosure of each of All of the objects are hereby incorporated by reference in its entirety into this application. Similarly, separating the signal components on the array helps to avoid signal cross-contamination. In view of these and other considerations, it would be advantageous to optimize the efficient use of the detector array area in the multiplex mode. In a first example, a signal transmitted from an array of one of the reaction zones on a substrate can be directed to a different array of detector arrays or even different detector arrays to best detect Measure signals from highly multiplexed substrates. In a related example, different signal components from individual signal sources can be directed to different detectors. Optionally or additionally, just as the time switching effect of the illumination, the signals transmitted from different regions of a substrate may be separated in time, but in other cases directed at the same, overlapping, adjacent to a substrate at different times. Or discrete parts. Again, by applying the switching action at a frequency that exceeds and preferably significantly exceeds the slowest signal period, the time separation will provide seamless detection of the desired signal event. In addition, by synchronizing the signal analysis process with the switching action, a particular location on the substrate can be easily assigned to a signal event, even when the signals are incident on the same location of a detector array. As will be understood, the same or similar components can be used for optical switching of the signal direction, as discussed in the Switching Actions section of the Calibration Lighting Mode. In addition, it will be appreciated that the previously discussed LCD reticle can be used similarly for the concentrating path to selectively turn the concentrating path on and off for signals from discrete reactive regions or subsets of reactive regions. By using the "work sharing" detector in the detection area between different signal sources, although at different points in time, the number of sources detected by a single detector or a group of detectors can be multiplied by more than unused. One method of time multiplexing. In a related aspect and as mentioned above, an individual substrate comprising a plurality of discrete reaction regions can be divided into a plurality of different sub-FOVs, each of which can be directed to a different detector to Compliant with high multiplex 69 201106032 substrate. In order to monitor these sub-fields efficiently and simultaneously, it is preferable to maintain a single optical element string that achieves the monitoring method. Thus, in one aspect, the invention provides an optical system that illuminates one of a plurality of reaction zones on a substrate, but directs signals from each of the plurality of subsets of the reaction zone to different detectors. An example of an optical system for collecting fluorescent signals from different regions of a substrate and transmitting them to one of the different detectors is illustrated graphically in Figure 28. As shown, the system 2800 includes a substrate 2802 having a plurality of discrete reaction zones or regions to be explored thereon or therein. The substrate 2802, and particularly the region for analysis, is disposed on the front focal plane of the objective lens 2804. The objective lens 2804 and the barrel 2806, as shown, image the region of interest to be located in the posterior focal plane of the barrel 2806 and near the intermediate image plane of the reflective mask 2808. The reflective mask 2808 splits the image and reflects the subset toward the detector 2810 and a portion toward the detector 2812. The reflection point of the reflective reticle is typically provided in the focal plane, thereby not causing a loss of fidelity. Also shown is that each of the detection paths includes spectrally separated optical elements such as focusing lenses 2814 and 2816 and 2818 and 2820, respectively, and dispersive optical elements for color separation, such as 稜鏡2822 and 2824, respectively. Although it is described in the form of dividing the image into two separately detected images, 'the configurable reflector or other imaging optics will be understood to divide the image into more image components, such as 2, 3, 4, 8 , 16 or more discrete image components, each of which can be directed to a different detector. As mentioned previously, the imaging can be done in several ways. For example, in a simple aspect, the reflective reticle 2808 can comprise, for example, a wind turbine mirror having a separate deflection 70 201106032 = wherein each surface reflects one of the images in a different detection path. Optionally, a micro- or micro-mirror array is used, such as as a reverse hood 28G8' to direct images of each sub-field to different detection paths having an array of polyhedral surfaces that are projected in a desired direction y. . As will be understood, the subject can be configured as in the present invention to divide the image into a plurality of discrete constituent images. In the -related system, the different signal components from different signal sources on the substrate can be differentially directed to different controllers. In particular, in the n(4) secret shown in Fig. 22, a plurality of signal components are spatially separated by the dispersive optical element 2216, and imaged on the detector 2220. In the case of ultra-high multiplexed array substrates, the ability to spatially separate images of different signal components becomes more difficult when different signal sources are closely placed on the array, as there are not enough detector areas available. The substantially overlapping portion of the contained component 'and its etc. that begin to map to the detector array is broken. By directing different signal components to different detectors, a denser source of signals can be imaged on the substrate. It is illustrated graphically in Figure 29A. In particular, as shown in schematic image 2902, four signal components, such as spectrally unique and separated fluorescent signal images 2904-2910, are imaged on a portion of a debt detector array. By directing a subset of the signal components, such as signals 29〇4/ 2906 and 2908/2910, to different detectors, it is possible to efficiently image nearly twice the number of signal sources such as ZMW without worrying about signal overlap. The number of detectors, such as the number of signal sources that can be imaged by the two detectors, provides the ability to image up to two more sources. In the preferred case, however, the additional spacing required to distinguish adjacent sources may be 71 201106032 such that there is a large separation between signal components from different sources. Therefore, the number of imageable sources may not completely follow the number of detectors. A pictorial illustration of the optical system for performing the above-described detection multiplexing is provided in Fig. 29B. In the system shown, the excitation optics of the system are arranged similarly to the system shown in Figure 22, such as having a two-color galvanometer between the objective lens and the substrate. In particular, as shown, system-wide 2950 includes one or more excitation sources, such as lasers 2952 and 2954. As with all such systems, the number of excitation sources can vary depending on the needs of the particular application, such as the excitation wavelength of the different fluorophores used in the system, and the wavelength of the laser used. In the illustrated exemplary system, the dichroic mirror 2956 transmits light and reflection from the laser 2954 by directing the two excitation sources (illumination sources) to the dichroic mirror 2956 and combining them in the same optical path. The light beam from the laser 2952 is thereby collinear. Again, in the system shown, the excitation beam is directed to an additional dichroic mirror 2958 that acts to reflect the excitation light toward the substrate 2960 while transmitting fluorescent signals having different wavelengths to the collection objective 2962. As shown, the signal is first reflected from the surface of another component, such as a separate mirror in the string of optical elements or the back surface of the substrate, as described above in FIG. The spectrally separated signal component from substrate 2960 is emitted as a fluorescently labeled different reagent and then passed through dichroic mirror 2958 and objective lens 2962. The signal component is then separated into spectrally separated signal components by a dispersive optical element such as a dovetail 2964' (as indicated by the solid and dashed arrows from the self-twisting 2964). The signal component is then directed to a subset of the dichroic mirror 2966' which reflects the signal component and transmits another set of 72 201106032 signal components. Each of the different subsets of signal components is then imaged on detector 2968 or 2970. As indicated above, although the display has two detectors, it will be appreciated that additional detectors can be used to integrate other sub-images of the signal component, such as via the use of additional dichroic mirrors, each having a different orientation. The four signal components of the detector. F. Glass Filled Object Area In one aspect, the present invention provides an improved optical system having a glass filled optical space. As described herein, an optical element system for analyzing, for example, an array of reaction regions having a fluorescent reagent for analyzing a chemical reaction, generally has an illumination optical element incident on the reaction region, and detecting a reaction occurring in the reaction region. Light collecting optics. In some cases, a dichroic mirror element that acts as a mirror for light, such as illumination wavelength, but transmits light of the emission wavelength, can be used to control the illumination action and the optical path of the collection system. In some cases, the use of a self-standing dichroic mirror can cause optical aberrations and loss. A shaped optical block is incorporated in the present invention having an embedded dichroic mirror element between the illumination optics and the sample and between the collection optics and the sample. The refractive index of the optical element block will typically exceed the refractive index of air. By having a higher refractive index, a larger portion of the emitted light can be collected by a detecting optical element having a specific aperture. In addition, by using a dichroic mirror embedded in the optical block, the deflection angle and the cone angle of the light incident on the block are reduced, and in some cases, the spectral performance of the dichroic mirror and the sensitivity of the system are increased. . An exemplary implementation of a system comprising one of the shaped optical element blocks 73 201106032 is shown in Figure 30. Illumination (excitation) optics 3030 directs the illumination trousers through face 3001 into optical block 3A. In the illustrated embodiment, the surface 3002 is coated with a reflective material to enhance the internal reflection effect to reflect the illumination light. The illumination light is then reflected from the embedded dichroic mirror element 3010 and passed through the face 3〇〇2 into a substrate 3020 that can include a plurality of reaction zones. The reaction of the reaction zone can be measured by detecting a fluorescent event. The emitted light 3045, such as fluorescent light from the reaction zone, enters the block via a surface such as 耵 and is transmitted through the dichroic mirror element 3〇1〇. In the illustrated embodiment, substrate 3020 is optically coupled to optical block 3000 to minimize reflection losses and aberrations. The emitted light then exits the shaped optical block via face 3〇〇3 and into collecting optical element 3040. While the third diagram shows the reflected illumination light and the transmitted light, in some embodiments, the embedded gas octagonal mirror will transmit illumination light and reflect the emitted light. The face of the shaped optical element block through which the illumination light and the collected light are transmitted may be planar, and may be at an angle that maximizes optical performance. For example, the faces may be fabricated perpendicular to the string of associated optical elements. In this way, the chief ray can act as a thick, non-tilted plate for the purpose of correcting aberrations. In some embodiments, one or more sides of the shaped optical element block can be curved to provide a lens function by redirecting light through the face. The faces can be curved in a concave or convex manner as desired to redirect the illumination or the emitted light. It is generally expected that the optical component block will contain a significant portion of the optical path length of the system. That is, the optical path distance through the optical element block will be at least 5 G/〇, at least 60%, at least 7 Q of the total distance between the illumination optical element and the substrate or between the collection optical element and the substrate. %, at least 8Q%, at least 9%, at least 95%, at least 98%, at least 99% or higher. In some cases, the illumination optics, substrate, filament optics, or any combination of the above are combined with the (4) optical component block having a refractive index localized to the air. For example, a fluid or an oil-yield having a refractive index closely matched with (4) an optical block or another component can be used, so that the (iv) component is light-contracted. The dichroic mirror 7 is embedded in the shaped optical element block, and the dichroic mirror 7L is shown in the block (4) block optical system, and there is usually little or no _ between the dichroic mirror element and the optical block. . In some cases, the dichroic mirror can be molded or (d) into the shaped optical component block. In other cases, the chromonic mirror element can be coupled to the optical block of the portion, such as a fluid, oil or polymeric material. The x-shaped dry 7G block is made of a material that is transparent at the wavelength to be explored. This block can for example be made of a vitreous oxide material. The gas block may, for example, comprise a dioxotomy. Additives to adjust the refraction of the block (4) its quality. In some cases, the Wei block has a wavelength of about U and about 5. The refractive index between G can be needed by the merchant. The refractive index can be, for example, at or about 1. 3 and about 25 gates' = mouth to about Μ and 2. Between 0. In some cases, the high refractive index _ = desired 'in some cases, the higher refractive index material will tend to have a high self-light level. Therefore, we have found that materials with a radiance are particularly suitable. This block has a low level of spontaneous glory in the analysis used, which is usually desirable. The dry land will be used, for example, at about 4. . Nano to about 7. . Nano Fan Gu: Can 2: 75 201106032 can use infrared light and ultraviolet light. The wavelength of the illumination light is usually different from the wavelength of the emitted light. III. EXAMPLES Example 1: Parabolic mirror substrate A substrate having a zero mode waveguide disposed through a metal layer was deposited which was deposited on a parabolic body defined in a glass substrate. These devices were fabricated in accordance with the method described in Figure 10 and the accompanying description, except that subsequent substrate planarization (steps V-VII) was not performed. A hole through the metal layer for defining the zero mode waveguide core is fabricated using a focused ion beam (FIB etching method) to define a core having a diameter of about 12 nanometers. Figure 31A shows the resulting construction of the self-constructed metal layer side image using scanning electron microscopy (SEM). An open-mode zero mode waveguide core located at the center of the center of the construction is visible. Also provided is a comparison substrate having no parabolic or other reflective configuration as shown in Fig. 31B having a zero mode waveguide having a cross-sectional dimension approximately the same in a planar metal layer disposed on a planar glass substrate. The test reflection construct operates on the transmission of light to or from a zero mode waveguide core. A fluorescent dye is deposited on the open side of the two different waveguide structures, and both illuminate with the same excitation illumination and detect the emitted fluorescent light. Based on the average fluorescence intensity of the zero-mode waveguide from one of the two comparison substrates, it is found that the waveguide provided on the reflective structure provides a fluorescent signal six times higher than that of the conventional ZMW, and shows the whole system of light collection. Increased efficiency. Case 2: Theoretical Simulation of Conical Mirror Substrate 76 201106032 The various component molding configurations described in Figures 3 and 3, respectively, are used. For example, with regard to the straight conical configuration illustrated in Figure 32, the dimensions are set as follows: dl = 1. 25 microns; d2 = 5 microns and h = 4. 69 microns. This mode assumes an objective lens for collecting light emitted from the substrate/reflector assembly, having a numerical aperture of 〇5 and a maximum concentrating angle of +/- 20 degrees with respect to the optical axis, thereby providing from 70 to The angle of collection of 110 degrees with respect to the bottom surface of the substrate. Based on the conical pattern shown in Figure 32, the angle of the light reflected from the cone is from 43. 58 degrees (0〇 to 1〇5. 52 degrees (0&). For the two segmented conical reflectors shown in Figure 33, the dimensions are set to 疋. Dl-1. 25 microns; d2 = 3 microns; d3 = 5 microns; hl = 1 micron; and h2 = 3. 69 microns. In this case, the angle of the light reflected and emitted by the reflector is between 82. 3 7 degrees (0tr) to 116. The range of 06 degrees (0br). For the above two types of structures, the photon collection efficiency of an objective lens using a light collecting objective lens having a numerical aperture of 0 95 is estimated to be about 70%, as compared with a substrate not including a reflector assembly, as shown in FIG. 31B. The collection efficiency of a zero mode waveguide disposed on a transparent substrate layer is about 42%. This analysis predicts improved optical performance due to the inclusion of micromirrors, but the analysis is essentially a ray tracing analysis that does not include diffraction or wave effects and thus does not provide a complete picture of the desired performance. Analysis by a vector tool has also been performed which confirms the optical enhancement from the micromirror construction of the present invention. Example 3: Fabrication of an array of nano-reactive regions on a micromirror structure using a sacrificial column A 500 nm polymorph was deposited on the molten vermiculite by LPCVD at 350 °C. Using a 248 nm stepper, Lam re-engraving machine, width adjustment from 300 nm to 120-150 nm, etching 77 201106032 in the polycrystalline crucible. Using a 248 nm stepper and a Cultura (Centura) MXP etching machine for mirror etching. The array is then annealed at 6 以 to reduce spontaneous fluorescence and degassing organic contaminants, then Aluminium evaporation and de-covering (removal of polysilicon) in a wet solution (3 01 3 /. hydrogen peroxide) without attacking the aluminum on the mirror surface. SEM micrograph shown in Figure 34 The figure shows a portion of an array of reaction zones with associated micromirrors. Figure 35 shows a single micromirror configuration with a reaction zone at the top. Figure 36 shows a nanoscale reaction zone at the top of a micromirror configuration. The fourth example: using a sacrificial layer and a hard coat layer to fabricate a nano-scale reaction zone, sequentially coating a melting layer with a polysilicon layer and a hard mask layer such as hafnium oxide or tantalum nitride. a stone substrate. The photolithography method is used to define a ring-shaped array having a diameter of about 380 nm, and the hard mask is etched to produce a hard mask disk having a '''s spoon shape. The mysterious 4 size is used. Then 3% is used. Controlled over-etching of one of aqueous hydrogen peroxide, The layer is engraved to create a pillar of about 2 nanometers in diameter below the hard mask disk. The etching rate can be controlled by adjusting the conditions and materials. For example, when using an undoped polysilicon The etching rate of 3〇% aqueous hydrogen peroxide at 5〇t is about 460 nm/min; and when doped polysilicon is used, the etching rate of 3〇% aqueous hydrogen peroxide at room temperature is about 200 nm/min. Aluminium having a thickness of about 1 nm was sputtered on the surface. Heating was used to about 5 Torr. (: The above aqueous hydrogen peroxide was removed, and the polycrystalline column was removed. SEM micrograph confirmed A hole having a diameter ranging from 200 nm to 250 nm is produced in an aluminum film on the molten vermiculite. Example 5: Conical micromirror structure produced on a molten vermiculite substrate Fig. 37 shows Scanning electron micrograph of an exemplary cone 78 201106032 micromirror structure formed on a molten vermiculite substrate. The top diameter of the conical structure of Fig. 37(A) was measured to be about 2·40 μm and the bottom The diameter is about 4. 90 microns. The top diameter of the conical configuration of Figure 37(B) was measured to be approximately 1. 68 microns, and the bottom diameter is about 4. 18 microns. Other micromirror configurations (not shown) made on the molten vermiculite substrate have a top diameter/bottom diameter/height determined to be: 1. 30/3. 96/3. 45 microns, 1. 49/4. 17/3. 184 ruined rice, 1. 68/4. 34/3. 30 microns, 2. 79/5. 27/3. 36 microns, 2. 55/5. 60/3. 23 microns, 2. 66/5. 38/ 3. 72 microns. Figure 37 (C) shows a conical micromirror configuration coated with aluminum having a zero mode waveguide aperture through the top of the micromirror construction. Figures 38(A) to (E) show SEM micrographs of a conical configuration produced on a molten vermiculite substrate. The configuration of Fig. 38 corresponds to the configuration after, for example, the step (II) of the method described in Fig. 15. Figure 39 shows an SEM micrograph of a section of a micromirror having an aperture at the top. The structure shown in Fig. 39 corresponds to a configuration formed after the step (VIII) of the method described in Fig. 15. In this configuration, the micromirror structure is surrounded by a planarization layer such as a spin-on glass. Figure 40 shows an array of micromirror structures that are formed on a dazzling stone substrate and have apertures on top of each other. Case 6: Gain measurement of conical micromirror construction Measurements were made to determine the amount of gain produced using a micromirror configuration coupled to a zero mode waveguide. A substrate was prepared from molten vermiculite (FuSi) having a ZMW structure on top of the micromirror and a comparable ZMW structure on the planar portion of the substrate. Figure 41 shows a schematic illustration of the experimental setup in which the light system 79 201106032 is transmitted through the ZMW configuration on the substrate. Light transmitted through the ZMW structure is collected by an optical component string and detected on a CCD camera. The optical detection system has a numerical aperture of 0. 5. Table 1 lists representative measurements of the ZMW structure from the flat area of the substrate and the intensity detected from the ZMW structure on top of the micromirror structure. Calculate the gain from these measurements to be approximately 5. 9. It will be appreciated that the measured gain will depend on the numerical aperture of the collection optics. Table 1: Measuring the gain from the micromirror construction Flat 2530. 99 2793. 91 2529. 38 2858. 07 2912. 31 3086. 77 mirror 15141. 68 20340. 43 19348. 46 16883. 04 13092. 21 13952. 95 gain 5. 9 Example 7: DNA sequencing in a reaction zone on a micromirror from a 500 μm thick fused vermiculite (FuSi) substrate, micromirror structures each having a single zero-mode waveguide aperture on its top surface were prepared. An array. Contact lithography with resist reflow and 3 micron deep reactive ion etching (RIE) 80 201106032 followed by annealing with 4 〇〇 °C by sputtering silver smoothing to form micromirrors in the substrate structure. A 200 nm aluminum layer was then applied to the surface. The aluminum layer was patterned and etched to create a 120 nm diameter ZMW at the center of the top of the adjacent micromirror configuration. Excessive etching is used whereby the ZMW aperture extends into the molten vermiculite (FuSi) substrate by about 50 nm. The top diameter of a representative micromirror structure was determined to be about 3. 4 microns and the bottom diameter is about 6. 8 microns. For example, Eid et al., in the journal Science, No. 323, pp. 133-138 (2009), is similar to Lundquist et al. in the journal "Optics Letters", No. 33, page 1026 (2008). The numerical aperture is 0. One of the three optical systems performs a single molecule sequencing reaction. Figure 42 shows a two-color sequencing reaction on a linear template of one of the blocks with the bases c and G, for one of the data collected for intensity versus time, which is borrowed as described by Eid et al. Recognized by dyes labeled with nucleotides 647G and 555C. The dark spikes in Figure 42 correspond to 647G, while the lighter spikes correspond to 555c, exhibiting nucleic acid sequencing using a zero-mode waveguide with a micromirror array. As described by Eid et al., four-color sequencing can be performed in a similar manner. Although a few details are set forth with respect to the purpose of the description, it is understood that several variations may be known or understood by those skilled in the art. For the sake of the extent of this case, all the reference readings and specifications mentioned in this disclosure are hereby incorporated by reference in their entirety. BRIEF DESCRIPTION OF THE DRAWINGS Figures 1A-B illustrate, by way of illustration, one exemplary nucleic acid sequencing method that can be used in the section of the 201106032. Figure 2 illustrates, in a schematic manner, an optional illumination strategy for monitoring an illuminated discrete reaction zone on a substrate. Figure 2A illustrates a floodlighting mode in a graphical manner. Fig. 2B illustrates the illumination effect of a scanning mode in a schematic manner. Figure 2C illustrates a linear illumination mode in a graphical manner. The 2D diagram graphically illustrates a target point array illumination mode. Figure 3 illustrates, in a diagrammatic manner, a system for performing confocal multiplexed illumination and monitoring of discrete reactive regions on a substrate. Figure 4 illustrates the potential "crosstalk" issues associated with high multiplex systems in a graphical manner. 5A, 5B, and 5C illustrate, in a schematic manner, the use of a shaped mirror as a substrate for a focusing optical element to effectively collect light from the reaction area on the substrate and effectively illuminate the reaction area. Figure 5C graphically illustrates one configuration having a reaction region extending into the micromirror and illustrating the inclusion of an optical component such as a filter in the micromirror configuration. Figures 6A, 6B and 6C illustrate three alternative conical micro-reflector (micro-mirror) configurations in a schematic manner. Fig. 7 is a schematic view showing a truncated conical micromirror structure having a prescribed size. 8A-B illustrate, in a schematic manner, a micromirror configuration that can be fabricated, for example, using methods such as lithography and etching. Figure 9 is a graphical representation of how micromirror construction can enhance illumination intensity by constructive interference. 82 201106032 Figures 10 through 18 illustrate, by way of illustration, a method of making a substrate having an integrated focusing mirror with a matching reaction zone. The Fig. 19 diagram illustrates, in a schematic manner, a method for producing a reaction region by using a base button method to produce a sacrificial column. Figure 20 is a diagrammatic view of one method of forming a shaped micromirror structure on a transparent substrate using a plurality of lithography and etching steps. Fig. 21 is a schematic diagram showing a substrate having an array of reaction regions and a lens array, wherein the lens redirects light emitted from the reaction region. The 苐22A-B diagram graphically illustrates the use of a large field of view (f〇v) objective illumination and detection system to accommodate intervening optical components. Figure 23 is a graphical representation of the time-multiplexed illumination method for discrete areas on a substrate. Figure 24 is a diagrammatic representation of one of the systems for time multiplex illumination and detection for performing reactions in discrete regions of a substrate. Figure 25 is a graphical representation of the ‘search activity, multiplex analysis method. Figure 26 is a diagrammatic representation of a multiplexed illumination optical component string. The 2 7 A-B diagram graphically illustrates an additional multiplexed illumination path for the system of the present invention. Figure 28 is a diagrammatic representation of one of a plurality of detection arrays for use in the high multiplex analysis system of the present invention. Figures 29A-B illustrate, by way of illustration, a system using multiple detection arrays, wherein each array receives a subset of signal components # from each source. 83 201106032 The drawings illustrate a shaped optical component block of the present invention in a schematic manner. Figure 31 shows a parabolic micro-reflector configuration (3rd) and a planar planar distorted (8)_) M image. Figure 32 illustrates the dimensional components used to mold a conical micro-reflector. Figure 33 shows the size component of the molded-segmented conical micro-reflector. The illustrator is not in the SEM image of an array of reaction regions on the top of the micromirror formed in the substrate. Figure 3, . The page does not have an SEM image of the micromirror configuration of one of the reaction zones at its top. Figure 36 shows the SEM image of the reaction zone on top of the micromirror structure. Figure 37 shows an SEM image of a conical micromirror structure formed in a dazzling stone stone substrate. Fig. 3 shows an SEM image of the conical micromirror structure formed in the molten vermiculite substrate. Figure 39 shows an SEM image of the cross section of the micromirror structure located in the planarization layer and having a reaction zone at the top. Figure 40 shows a micromirror SEM image with a reaction zone at the top.

第41圖‘,、、貝示用於测量來自微鏡構造的增益之—實驗沒 置的圖式說明。 °X 第42圖顯示自微鏡構造上的零模式波導反應區域所得 84 201106032 之雙色DNA定序資料。 【主要元件符號說明】 102.. .固定化複合物 104.. .虛線 106.. .零模式波導 108.. .核苷酸 110.. .核苷酸 112.. .訊號脈衝 114.. .訊號 202.. .基板 204.. .反應區域 206.. .虛線 208.. .照明點 210.. .照明線 212.. .照明點 300.. .系統 302.. .零模式波導陣列 304.. .雷射 306.. .光學元件串 308.. .繞射光學元件(DOE) 310.. .聚焦透鏡 312.. .共焦濾光鏡 314.. .聚焦透鏡 316.. .分色鏡 318.. .物鏡 320.. .聚焦透鏡 322.. .共焦光罩 324.. .聚焦透鏡 326.. .楔形棱鏡 328.. .聚焦透鏡 330.. .EMCCD偵測器 402.. .基板 404…零模式波導 406…實線箭頭 408.. .虛線箭頭 410.. .反應區域 412.. .反應區域 502.. .全基板 504.. .零模式波導 506.. .零模式波導 508.. .零模式波導 510.. .零模式波導 512.. .零模式波導 514…零模式波導 516.. .圓錐形或拋物面鏡 518.. .圓錐形或拋物面鏡 85 201106032 520.. .圓錐形或拋物面鏡 522.. .圓錐形或拋物面鏡 524.. .圓錐形或拋物面鏡 526.. .圓錐形或拋物面鏡 528.. .透明基板 530.. .螢光訊號 532…光 550.. .反應區域 552.. .覆蓋層 554.. .基板 556…鏡 558.. .光罩 600.. .直筒圓錐形構造 604.. .下圓錐區 606.. .上圓錐區 608.. .下截圓錐區 610.. .上圓柱區 630.. .零模式波導 640.. .反應區域 650.. .反應區域 800.. .透明基板 802.. .透明基板 810.. .區域 812.. .基板 820.. .覆蓋層 822.. .覆蓋層 830.. .反射塗層 832.. .反射層 840.. .反應區域 842.. .反應區域 850.. .突出構造 852.. .突出構造 862.. .平坦化層 880.. .底面 1000.. .透明基板層 1002.. .光阻 1004.. .圖案 1006.. .負凸紋 1008.. .反射性材料層 1010.. .填充層或平坦化層 1012.. .開口 1014.. .金屬覆蓋層 1016…零模式波導核心 1100.. .透明基板 1110.. .覆蓋層 1120.. .光阻 1125.. .形體 1140.. .反應區域 86 201106032 1150.. .保護層 1160.. .鏡構造 1170.. .金屬 1180.. .鏡構造 1200.. .透明基板 1210.. .覆蓋層 1220.. .光阻 1240.. .反應區域 1260.. .微鏡構造 1270.. .金屬層 1280.. .光阻 1300.. .透明基板 1310.. .覆蓋層 1320.. .光阻 1340.. .孔徑 1350.. .保護層 1360.. .微鏡構造 1370.. .金屬 13 80...光阻柱狀物 1400.. .透明基板 1410.. .覆蓋層 1420.. .光阻 1440.. .孔徑 1450.. .犧牲層 1460.. .微鏡構造 1470.. .金屬 1500.. .透明基板 1510.. .微鏡 1520.. .金屬反射層 1530.. .平坦化層 1540.. .金屬覆蓋層 1550.. .孔徑 1560.. .反應區域 1600.. .透明基板 1610.. .微鏡 1620.. .金屬反射層 1630.. .平坦化層 1640.. .反應區域 1660.. .反應區域 1900.. .透明基板 1910.. .犧牲材料 1920…硬質光罩層 1930.. .金屬覆蓋 1940.. .反應區域 2000.. .基板 2010.. .構造 2020…階地 2100.. .總裝置 87 201106032 2102…零模式波導 2104…零模式波導 2106…零模式波導 2108…零模式波導 2110…零模式波導 2112…零模式波導 2114…第一表面 2116…透明基板 2118.. .透鏡 2120.. .透鏡 2122.. .透鏡 2124.. .透鏡 2126.. .透鏡 2128.. .透鏡 2134.. .對向(或後)表面 2200.. .全系統 2202.. .基板 2204.. .反應區域 2206…激發光源/雷射 2208.. .分色鏡 2210.. .集光物鏡 2212.. .繞射光學元件(DOE) 2214.. .物鏡 2216.. .稜鏡/分散性光學元件 2218.. .透鏡 2220.. .偵測器 2250…稜鏡 2252.. .棱鏡 2300.. .基板 2302…第一子集 2304…不同的子集 2310…反應區域 2400…照明路徑 2402.. .雷射 2404.··繞射光學元件(D0E) 2406…鏡 2408.. .物鏡 2410.. .分色鏡 2414.. .基板 2500.. .基板 2502.. .潛在反應區域 25〇4…實心圓/活性反應區域 2506.. .虛線圓 2602…實線 2604.. .虛線 2606.. .繞射光學元件 2608.. .角度 2610…照明小射束 88 201106032 2612.. .基板 2700.. .雷射 2704.. .繞射光學元件 2706.. .多工繞射光學元件 2708.. .透鏡 2710.. .透鏡 2712.. .物鏡 2714.. .基板 2800.. .系統 2802.. .基板 2804.. .物鏡 2806.. .筒鏡 2808.. .反射光罩 2810.. .偵測器 2812.. .偵測器 2814.. .聚焦透鏡 2816.. .聚焦透鏡 2818.. .聚焦透鏡 2820.. .聚焦透鏡 2822.. .稜鏡 2824.. .稜鏡 2902.. .示意影像 2904.. .螢光訊號影像 2906··.螢光訊號影像 2908.. .螢光訊號影像 2910.. .螢光訊號影像 2950.. .全系統 2952.. .雷射 2954.. .雷射 2956.. .分色鏡 2958.. .分色鏡 2960.. .基板 2962.. .集光物鏡 2964.. .楔形稜鏡 2966.. .分色鏡 2968.. .偵測器 2970.. .偵測器 3000.. .光學區塊 3001.. .面 3002.. .面 3003.. .面 3010.. .分色鏡元件 3020.. .基板 3030.. .照明(激發)光學元件 3035.. .照明光 3040.. .集光光學元件 3045.. .光 3070.. .區域 89Fig. 41 is a schematic illustration of the experiment, which is used to measure the gain from the micromirror configuration. °X Figure 42 shows the two-color DNA sequencing data obtained from the zero-mode waveguide reaction region on the micromirror structure. [Main component symbol description] 102.. . Immobilized composite 104.. . dotted line 106.. . zero mode waveguide 108.. nucleotides 110.. nucleotides 112.. . signal pulse 114.. Signal 202.. .substrate 204.. .Reaction area 206.. .Dash line 208...illumination point 210.. illumination line 212.. .illumination point 300.. .system 302.. zero-mode waveguide array 304. .. Laser 306.. Optical element string 308.. Diffractive optical element (DOE) 310.. Focusing lens 312.. Confocal filter 314.. Focusing lens 316.. Dichroic mirror 318.. Objective lens 320.. Focusing lens 322.. Confocal reticle 324.. Focusing lens 326.. Wedge prism 328.. Focusing lens 330.. EMCCD detector 402.. 404...zero mode waveguide 406... solid arrow 408.. dashed arrow 410.. reaction zone 412... reaction zone 502... full substrate 504.. zero mode waveguide 506.. zero mode waveguide 508. Zero mode waveguide 510.. zero mode waveguide 512.. zero mode waveguide 514... zero mode waveguide 516.. conical or parabolic mirror 518.. conical or parabolic mirror 85 201106032 520.. . conical Or parabolic mirror 522.. Conical or parabolic mirror 52 4.. Conical or parabolic mirror 526.. Conical or parabolic mirror 528.. Transparent substrate 530.. Fluorescence signal 532... Light 550.. Reaction area 552.. . Cover layer 554.. Substrate 556... Mirror 558.. reticle 600.. Straight conical configuration 604.. Lower cone area 606.. Upper cone area 608.. Lower truncated cone area 610.. Upper cylinder area 630.. Zero mode waveguide 640.. Reaction zone 650.. Reaction zone 800.. Transparent substrate 802.. Transparent substrate 810.. Area 812.. Substrate 820.. Cover layer 822.. Cover layer 830.. Reflective coating 832.. Reflective layer 840.. Reaction zone 842.. Reaction zone 850.. Projection 852... Projection 862.. Flattening layer 880.. .. . Transparent substrate layer 1002.. photoresist 1004.. pattern 1006.. negative relief 1008.. reflective material layer 1010.. filling layer or planarization layer 1012.. opening 1014.. Metal Cover 1016... Zero Mode Waveguide Core 1100.. Transparent Substrate 1110.. Cover Layer 1120.. Photoresist 1125.. Shape 1140.. Reaction Area 86 201106032 1150.. Protection Layer 1160.. Mirror construction 1170.. metal 1180.. mirror construction 1200.. Substrate 1210.. cover layer 1220... photoresist 1240.. reaction area 1260.. micromirror structure 1270.. metal layer 1280.. photoresist 1300.. transparent substrate 1310.. 1320.. . Photoresist 1340.. .Aperture 1350.. .Protective layer 1360.. .Micromirror construction 1370..Metal 13 80...Photoresist column 1400...Transparent substrate 1410.. Layer 1420.. . Photoresist 1440.. Aperture 1450.. Sacrificial layer 1460.. Micromirror construction 1470.. Metal 1500.. Transparent substrate 1510.. Micromirror 1520.. Metal reflective layer 1530 .. . Flattening layer 1540.. Metal cover 1550.. Aperture 1560.. Reaction zone 1600.. Transparent substrate 1610.. Micromirror 1620.. Metal reflective layer 1630.. Flattening layer 1640.. .Reaction zone 1660.. Reaction zone 1900.. Transparent substrate 1910.. Sacrificial material 1920... Hard mask layer 1930.. Metal cover 1940.. Reaction zone 2000.. . Substrate 2010.. Construction 2020... Terrace 2100.. Total device 87 201106032 2102... Zero mode waveguide 2104... Zero mode waveguide 2106... Zero mode waveguide 2108... Zero mode waveguide 2110... Zero mode waveguide 2112... Zero mode waveguide 2114... First surface 2116 …through Bright substrate 2118.. lens 2120.. lens 2122.. lens 2124.. lens 2126.. lens 2128.. lens 2134.. opposite (or back) surface 2200.. . full system 2202 .. substrate 2204.. reaction area 2206... excitation source/laser 2208.. dichroic mirror 2210.. collecting objective 2212.. diffractive optical element (DOE) 2214.. objective lens 2216..稜鏡/Dispersive Optical Element 2218.. Lens 2220.. Detector 2250...稜鏡2252.. Prism 2300.. Substrate 2302...First Subset 2304...Different Subset 2310...Reaction Area 2400... Illumination path 2402.. Laser 2404. · Diffractive optical element (D0E) 2406... Mirror 2408.. Objective lens 2410.. Dichroic mirror 2414.. Substrate 2500.. . Substrate 2502.. Potential reaction zone 25〇4...filled circle/active reaction zone 2506..dotted circle 2602...solid line 2604..dotted line 2606..diffractive optical element 2608.. angle 2610...illuminated beamlet 88 201106032 2612 .. substrate 2700.. laser 2704.. diffractive optical element 2706.. multiplexed diffractive optical element 2708.. lens 2710.. lens 2712.. objective lens 2714.. substrate 2800. . System 2802.. .Substrate 2804.. Objective lens 2806.. .Cylinder 2808.. Reflective Shield 2810.. Detector 2812.. Detector 2814.. Focusing Lens 2816.. Focusing Lens 2818.. Focusing Lens 2820.. Focus Lens 2822.. .稜鏡2824.. .稜鏡2902.. . Illustrated image 2904.. . Fluorescent signal image 2906··. Fluorescent signal image 2908.. . Fluorescent signal image 2910.. . Fluorescent signal Image 2950.. .Full system 2952.. .Laser 2954.. .Laser 2956.. .Dichroic mirror 2958.. .Dichroic mirror 2960.. .Substrate 2962.. .Collecting objective lens 2964.. .Wedge稜鏡 2966.. . Dichroic mirror 2968.. Detector 2970.. Detector 3000.. . Optical block 3001.. . Surface 3002.. Surface 3003.. . Surface 3010.. Mirror element 3020.. substrate 3030.. illumination (excitation) optical element 3035.. illuminating light 3040.. collecting light element 3045.. light 3070.. .

Claims (1)

201106032 七、申請專利範圍·· h種包含一微鏡陣列之基板,其中各微鏡包含一種零模 式波導。 ' 2. —種微鏡陣列,其包含: a) 具有包含一形體陣列的一上表面之一透明基 板,其中該形體的頂部與側面包含一反射塗層及 b) 延伸通過該反射塗層之一孔徑陣列,其中各形體 ,頂部包含至少-孔徑,其中自孔徑陣列所發出的光係 藉由該形體陣列的壁上之反射塗層而改向。 3. 如申請專利範圍第2項之微鏡陣列,其中該形體包含拋 物面、圓錐或角錐。 4. 如申請專利範圍第2項之微鏡陣列,其中該形體包含截 圓錐。 5·如申請專利範圍第2項之微鏡陣列,其中該形體包含頂 部直徑介於約0.5微米與約5微米之間之截圓錐。 6.如申請專利範圍第2項之微鏡陣列,其中該形體包含頂 直徑介於約1.5微米與約4微米之間之戴圓錐。 7·如申請專利範圍第2項之微鏡陣列,其中該形體包含頂 部直徑介於約2微米與約3微米之間之截圓錐。 8.如申請專利第2項之微鏡陣列,其中該形體包含距 垂直面的側壁角度介於5。與4〇。之間之戴圓錐。 9·如申請專韻圍第2項之微鏡陣列,其中該形體包含距 垂直面的側壁角度介於10。與3〇。之間 瓜一種配置在具有-頂面與-底面之―透截日^板的一頂 90 201106032 面上之零模式波導的陣列,其中該透明基板包含一微鏡 陣列,其中各微鏡將發射自零模式波導的光改向離開該 透明基板的底面。 11. 一種用於測量零模式波導陣列中的分子事件之系統,其 包括: a) —種配置在具有一頂面與一底面之一透明基板 的一頂面上之零模式波導的陣列,其中該透明基板包含 將光改向至零模式波導之一微鏡陣列;及 b) —照明系統,其經由該透明基板的底面將照明光 束提供至零模式波導; 其中該系統之構形係使得微鏡與照明光束交互作 用,致使照明光束經歷建設性干擾,藉此在零模式波導 的光強度相對於其他照明區域而言係增加。 12. —種用於製造包含一微鏡陣列之一基板之方法,其中各 微鏡係與一種零模式波導配套,其包括: a) 提供具有一頂面之一透明基板; b) 在透明基板製作圖案與蝕刻,以形成具有頂部與 側面的突出構造之一陣列; c) 澱積一覆蓋材料,致使該突出構造的頂部包含一 覆蓋; d) 形成通過該覆蓋之一孔徑陣列,致使各突出構造 的頂部包含一孔徑;及 e) 澱積一反射性澱積材料,致使各突出構造的側面 包含一反射層;藉此該突出構造陣列包含一微鏡陣列, 91 201106032 及在各突出構造頂部之孔徑包含一種零模式波導。 13. 如申請專利範圍第12項之方法,其中在透明基板製作圖 案與蝕刻之步驟b),係在澱積覆蓋材料與形成孔徑陣列 之步驟c)與d)之後進行。 14. 如申請專利範圍第12項之方法,其中澱積覆蓋材料與形 成孔徑陣列之步驟c)與d),係在透明基板製作圖案與蝕 刻之步驟b)之後進行。 15. 如申請專利範圍第12項之方法,其中該透明基板包含一 種石夕石式材料。 16. 如申請專利範圍第12項之方法,其中該透明基板包含熔 融石夕石。 17. 如申請專利範圍第12項之方法,其中該覆蓋材料包含 鋁。 18. 如申請專利範圍第12項之方法,其中該反射性澱積材料 包含鋁。 19. 如申請專利範圍第12項之方法,其中該透明基板的蝕刻 作用包含一種反應性離子触刻方法。 20. 如申請專利範圍第12項之方法,其中該突出構造包含圓 錐、角錐或拋物面形狀。 21. 如申請專利範圍第12項之方法,其中該突出構造包含截 圓錐。 22. 如申請專利範圍第21項之方法,其中該截圓錐的頂部直 徑係介於1微米與10微米之間。 23. 如申請專利範圍第12項之方法,其中該基板上的突出構 92 201106032 造數目係介於1,〇〇〇與1,〇〇〇,〇〇〇之間。 24. 如申請專利範圍第12項之方法,其中該基板上的突出構 造數目係介於10,〇〇〇與500,000之間。 25. 如申請專利範圍第12項之方法,其步驟包括: a) 提供一透明基板,其具有一頂面及在其上配置具 有一孔徑陣列之一金屬覆蓋層; b) 在該覆蓋層上澱積第一光阻; c) 在第一光阻製作圖案,以產生剩餘光阻區域之一 陣列,各剩餘光阻區域包括包含一孔徑之一金屬覆蓋層 區域, d) 蝕除該覆蓋層及透明基板之區域,藉此形成一突 出構造陣列,藉此包含金屬覆蓋層區域之該等剩餘光阻 區域係位於突出構造的頂部; e) 澱積一金屬澱積層,藉此該金屬澱積層係與位於 突出構造頂部的金屬覆蓋層區域接觸; 〇澱積第二光阻; g) 在第二光阻製作圖案,以暴露位於突出構造頂部 的金屬澱積層區域;及 h) 處理來自步驟(g)的構造,以移除金屬澱積層的 暴露區域。 26. 如申請專利範圍第12項之方法,其步驟包括: a) 提供一透明基板,其具有一頂面及在其上配置具 有一孔徑陣列之一金屬覆蓋層; b) 在該金屬覆蓋層上澱積一保護塗層; 93 201106032 C)在該保護層上澱積第一光阻; d) 在第一光阻製作圖案,以產生剩餘光阻區域之一 陣列,各剩餘光阻區域包括包含一孔徑之一金屬覆蓋層 區域; e) 蝕除保護層、覆蓋層及透明基板之區域,藉此形 成一突出構造陣列,藉此包含金屬覆蓋層區域之該等剩 餘光阻區域係位於突出構造的頂部; f) 移除第一光阻; g) 澱積一金屬澱積層,藉此該金屬澱積層係與位於 突出構造頂部的金屬覆蓋層區域接觸; h) 澱積第二光阻; i) 在第二光阻製作圖案,以暴露位於突出構造頂部 的金屬澱積層區域;及 j) 處理來自步驟⑴之構造,以移除金屬澱積層的暴 露區域及移除該保護塗層。 27.如申請專利範圍第12項之方法,其步驟包括: a) 提供一透明基板,其具有一頂面及在其上配置具 有一孔徑陣列之一金屬覆蓋層; b) 在該金屬覆蓋層上殿積一犧牲層; c) 在該犧牲層上澱積第一光阻; d) 在第一光阻製作圖案,以產生剩餘光阻區域之一 陣列,各剩餘光阻區域包括包含一孔徑之一金屬覆蓋層 區域, e) 蝕除犧牲層、覆蓋層及透明基板之區域,藉此形 94 201106032 成一突出構造陣列,藉此包含金屬覆蓋層區域之該等剩 餘光阻區域係位於突出構造的頂部; f) 移除第一光阻; g) 處理該基板,以將該犧牲層後拉; h) 澱積一金屬澱積層,藉此該金屬澱積層係與位於 突出構造頂部的金屬覆蓋層區域接觸; i) 處理來自步驟(h)之構造以釋出犧牲層,藉此移 除該犧牲層上之部份金屬澱積層及暴露該等孔徑。 28. 如申請專利範圍第27項之方法,其中該犧牲層包含鍺或 石夕。 29. 如申請專利範圍第12項之方法,其步驟包括: a) 提供一透明基板,其具有一頂面及在其上配置具 有一孔徑陣列之一金屬覆蓋層; b) 在該金屬覆蓋層上澱積一保護層; c) 在該保護層上澱積第一光阻; d) 在第一光阻製作圖案,以產生剩餘光阻區域之一 陣列,各剩餘光阻區域包括包含一孔徑之一金屬覆蓋層 區域, e) 蝕除保護層、覆蓋層及透明基板之區域,藉此形 成一突出構造陣列,藉此包含金屬覆蓋層區域之該等剩 餘光阻區域係位於突出構造的頂部; f) 移除第一光阻與保護層; g) 在至少部份覆蓋金屬覆蓋層區域的突出構造頂 部上,產生一柱狀陣列; 95 201106032 h) 澱積一金屬澱積層,藉此該金屬澱積層係與位於 突出構造頂部的金屬覆蓋層區域接觸;及 i) 處理來自步驟(h)之構造以移除柱狀陣列,藉此 移除一部份的金屬澱積層。 30. 如申請專利範圍第12項之方法,其步驟包括: a) 提供具有一頂面之一透明基板; b) 在該透明基板上澱積第一光阻; c) 在第一光阻製作圖案,以產生剩餘光阻區域之一 陣列; d) 蝕除透明基板區域,藉此形成一突出構造陣列, 藉此該等剩餘光阻區域係位於突出構造的頂部; e) 移除第一光阻; f) 在該透明基板上澱積一金屬澱積層; g) 在該金屬澱積層上澱積一硬式塗層; h) 將硬式塗層的表面拋光,以暴露透明基板對應於 突出構造頂部之部份; i) 澱積一金屬覆蓋層; j) 在該金屬覆蓋層上澱積一光阻;及 k) 在該光阻製作圖案及蝕刻該覆蓋層,以在該突出 構造的頂部產生一孔徑陣列。 31. 如申請專利範圍第12項之方法,其中將如申請專利範圍 第1項之步驟c)與e)合併,其包括: a) 在該透明基板上澱積一犧牲層; b) 藉由選擇性地蝕刻該犧牲層而產生一奈米柱陣 96 201106032 列; C)在透明基板製作gj案與細彳,以形成具有頂部與 側面的突出構造之其中該奈米柱係配置於該突 出構造的頂部上; d) 在步驟c)所產生的構造上澱積一金屬層; e) 在该金屬層上;殿積一平坦化層; D將該平坦化層平坦化,以暴露該突㈣造頂部上 的金屬層及暴露該奈米柱;及 g)處理該基板以移除奈米柱’藉此在突出構造的頂 部上形成一孔徑陣列。 处如申請專利範圍第31項之方法,其中該犧牲層包含錯或 〇 33.如申請專利㈣項之方法,其中該平坦化層包含一 種PECVD氧化物或旋塗式玻璃。 34·如申請專利範圍第31項之方法,其中該平坦化作用包括 CMP 〇 %·如申請專利範圍第31項之方法,其中該金屬層包含銘。 36.—種用於測量一種零模式波導陣列中的分子事件之方 法,其包括: a) 提供配置於具有一頂面與一底面之—透明基板 的-頂面上之零模式波導的-陣列,其中該透明基二包 含將光改向至零模式波導之一微鏡陣列;及 b) 以照明光束之-陣列,經由該透明基板的底面照 明該零模式波導; _ 97 201106032 其中該照明光束與微鏡交互作用,致使照明光束經 歷建設性干擾,藉此在零模式波導的光強度相對於無建 設性干擾情況下之強度而言係增加。 37. —種用於分析一種單分子的一性質之方法,其包括: a) 將具有光學特性的一種單分子配置於一種零模 式波導之孔徑内,其中該零模式波導係與一微鏡光耦 合; b) 以照明光照明該零模式波導; c) 以一偵測器收集自零模式波導發出的光,其中藉 由微鏡將所發出的光之至少一部份改向至偵測器;及 d) 使用所收集之發出的光,以測定該單分子的一性 質。 38. 如申請專利範圍第37項之方法,其中該零模式波導與微 鏡係各納入一個單基板中。 39. 如申請專利範圍第38項之方法,其中該基板包含各與一 微鏡光耦合之零模式波導的一陣列。 40. —種用於測定一模板核酸分子的序列資訊之方法,其包 括: a) 將一種聚合酶酵素、一種模板核酸分子及一種引 子配置於一種零模式波導内,其中該零模式波導係納入 亦包令—微鏡之一基板中; b) 提供用於聚合作用之核苷酸、試劑及輔因子,其 中該用於聚合作用之核苷酸、試劑及輔因子係可取用聚 合酶酵素,其中聚合酶酵素、模板核酸分子、引子或核 98 201106032 苷酸中之至少一者包含一光學標記; C)提供該聚合酶酵素藉由納入核苷酸而合成一增 長的核酸股之條件; d) 以照明光照明該零模式波導,以與該光學標記交 互作用; e) 以一偵測器收集自零模式波導發出的光,其中藉 由微鏡將所發出的光之至少一部份改向至偵測器;及 f) 使用所收集之發出的光,以測定有關該模板核苷 酸的序列資訊。 41. 一種用於觀測自一基板發出的光之光學系統,其包括: a) 具有嵌入其中的一種分色鏡元件與至少一個第 一面、一個第二面及一個第三面之一種定形光學區塊; b) 配置用以將照明光經由第一面而送入光學區塊 之照明光學元件,其中該照明光自所嵌入的分色鏡元件 反射、通過第二面而至包含一發光元件之一基板上;及 c) 配置用以收集發光元件所發出的光之集光光學 元件,其中該發光元件所發出的光通過第二面、通過分 色鏡元件、離開第三面及進入該集光光學元件。 42. 如申請專利範圍第41項之光學系統,其中該照明光係自 該定形光學區塊的一面内反射至該分色鏡元件上。 43. 如申請專利範圍第42項之光學系統,其中該光係自第二 面反射。 44. 如申請專利範圍第41項之光學系統,其中該第一、第二 及第三面實質上為平面。 99 201106032 45. 如申請專利範圍第41項之光學系統,其中該發光元件包 含一種榮光元件。 46. 如申請專利範圍第41項之光學系統,其中該基板包含反 應區域之一陣列,其中之至少一部份包含一發光元件。 47. 如申請專利範圍第46項之光學系統,其中該基板包含一 種ZMW陣列。 4 8.如申請專利範圍第41項之光學系統,其中該照明光學元 件包含至少一雷射與一照明光學元件串。 49. 如申請專利範圍第41項之光學系統,其中該集光光學元 件光學系統包含將所發出的光送至一偵測器之一種集 光光學元件串。 50. 如申請專利範圍第41項之光學系統,其中該光學區塊包 含折射率介於1.3與2.5之間之一種材料。 51. 如申請專利範圍第41項之光學系統,其中該光學區塊包 含二氧化碎或氟化I弓。 52. —種光學偵測系統,其包括: 在其上配置有多個空間分離式反應區域之一基板; 位於反應區域與光學系統之間的聚焦光學元件,用 於將來自各分離式反應區域的光學訊號至少部份準 直;及 一光學系統,其位置係用於將激發照明引導至配置 在該基板上之多個分離式反應區域及自配置在該基板 上之多個分離式反應區域接收所發出的光學訊號。 5 3.如申請專利範圍第5 2項之光學偵測系統,其中該聚焦光 100 201106032 學70件係集成進入該基板或與之連接。 Μ:申=範圍第52項之光學侦測系統,其中該聚焦光 干凡I 3集成進人該基板之多個反射光學元件,各反 射光學元件的位置係將來自基板上之一個分離的個別 反應區域之光至少部份準直。 Μ.如申請專利範圍第54項之光學勤以統,其中該反射光 學元件係選自抛物面鏡、圓錐形鏡、分段式圓錐形鏡、 截圓錐形鏡、部分拋物面鏡、梯形鏡及角錐形鏡。 56.如申請專利範圍第52項之光學偵喝統,其中 學元件包含集成進入該基板或與之連接之多個透鏡元 件,該多個透鏡元件巾之各者的位置係將來自基板上之 一個分離的個別反應區域之光至少部份準直。 57.如申請專利範圍第52項之光學彳貞測系統,其中該多個空 間分離式反應區域係以每平方公分至少1〇〇,〇〇〇個分離 式反應區域之密度配置在該基板的表面上。 58.如申請專利範圍第52項之光學偵測系統,其中該光學系 統之構形係將激發照明引導至基板上之至少丨〇,〇〇〇個分 離式反應區域及分別接收來自該基板上之至少10,000個 分離式反應區域的光學訊號。 59·如申請專利範圍第52項之光學偵測系統,其中該光學系 統之構形係將激發照明引導至基板上之至少50,〇〇〇個分 離式反應區域及分別接收來自該基板上之至少50,000個 分離式反應區域的光學訊號。 60.如申請專利範圍第52項之光學偵測系統,其中該光學系 101 201106032 統之構形係將激發照明引導至基板上之至少100,000個 分離式反應區域及分別接收來自該基板上之至少 100,000個分離式反應區域的光學訊號。 61.如申請專利範圍第52項之光學偵測系統,其中該光學系 統包括其位置係用於收集來自基板上的分離式反應區 域之光學訊號之一物鏡’及位於基板與物鏡之間之一分 色鏡,該分色鏡可透射來自基板的光學訊號及反射激發 照明,致使激發照明並非實質上傳送通過該物鏡。 62 —種分析一基板上的多個離散式反應區域之方法,其包 括: 提供具有多個分離式反應區域在其上之一基板; 提供集成進入該基板或與之連接之t焦光學元 件,該聚焦光學元件分別將來自各分離式反應區域之光 學訊號至少部份準直; 照明多個分離式反應區域’以產生與該反應區域中 的一反應相關聯之光學訊號;及 將藉由該聚焦光學元件準直的光學訊號傳送至一 偵測器,以偵測該訊號。 63. —種分析系統,其包括. 具有多個空間離散式反應區域配置於其上之一基 板; 一激發照明源; 一光學偵測器陣列; 一光學元件串,其包括. 102 201106032 包含將來自激發照明源的一光束轉換為多個照明 點之多工光學元件之—多工照明路徑,及用於將照明點 交替地導向多個訊號來源的第一部份及多個反應區域 的至少一個第二部份之切換光學元件;及 用於收集自多個反應區域發出的訊號之一訊號收 集路徑,及將該訊號引導至光學偵測器陣列上之空間上 離散的位置。 64. 一種分析系統,其包括: 具有多個空間離散式反應區域配置於其上之一基 板; 一激發照明源; 一光學偵測器陣列;及 一光學元件串,其包括: 包含將來自激發照明源的—光束轉換為多個照明 點之多工光學元件之—多工照明路徑,及將照明點引導 至多個反應區域;及 用於收集自多個反應區域發出的訊號之一訊號收 集路徑,其中該訊號收集路徑包含切換光學元件,其係 用於將來自反應區域的第—部份之訊號引導至光學债 測器陣列上之第—部份的空間上離散位置,及將來自反 應區域的第二部份之訊號引導至該偵測器陣列上之第 二部份的空間離散式區域。 種用於分析-基板上的高多工反應區域之系統,其包 括: 103 65. 201106032 具有多個離散式反應區域在其上之一基板; 用於偵測與離散式反應區域相關聯的光學訊號之 一偵測系統,其包括: 至少第一與第二偵測器;及 一光學元件串,其構形係將與基板上的第一組離散 式反應區域相關聯之光學訊號引導至第一偵測器,及將 與基板上的第二組離散式反應區域相關聯之光學訊號 引導至第二偵測器。 66. —種自一基板的多個離散式反應區域分析所欲探討的 一反應之方法,其包括: 提供具有第一組反應區域配置於其上之一基板; 辨識少於第一組反應區域的全部及展現所欲探討 的反應之反應區域的一子集;及 僅在反應區域之該子集監控所欲探討的反應。 104201106032 VII. Patent Application Range·· h A substrate comprising a micromirror array, wherein each micromirror comprises a zero mode waveguide. 2. A micromirror array comprising: a) a transparent substrate having an upper surface comprising an array of shaped bodies, wherein the top and sides of the shaped body comprise a reflective coating and b) extending through the reflective coating An array of apertures, wherein each of the features, the top portion comprises at least an aperture, wherein light emitted from the array of apertures is redirected by a reflective coating on the walls of the array of features. 3. The micromirror array of claim 2, wherein the body comprises a paraboloid, a cone or a pyramid. 4. The micromirror array of claim 2, wherein the body comprises a truncated cone. 5. The micromirror array of claim 2, wherein the body comprises a truncated cone having a top diameter between about 0.5 microns and about 5 microns. 6. The micromirror array of claim 2, wherein the body comprises a wearing cone having a top diameter between about 1.5 microns and about 4 microns. 7. The micromirror array of claim 2, wherein the body comprises a truncated cone having a top diameter between about 2 microns and about 3 microns. 8. The micromirror array of claim 2, wherein the body comprises a side wall angle of 5 from a vertical plane. With 4 〇. Wear a cone between the two. 9. If applying for a micromirror array of item 2 of the special rhyme, wherein the shape includes a side wall angle of 10 from the vertical plane. With 3 〇. An array of zero-mode waveguides disposed on a top surface of a 90 201106032 surface having a top surface and a bottom surface, wherein the transparent substrate comprises a micro mirror array, wherein each micromirror will emit The light from the zero mode waveguide is redirected away from the bottom surface of the transparent substrate. 11. A system for measuring molecular events in a zero mode waveguide array, comprising: a) an array of zero mode waveguides disposed on a top surface of a transparent substrate having a top surface and a bottom surface, wherein The transparent substrate includes a micromirror array that redirects light to a zero mode waveguide; and b) an illumination system that provides an illumination beam to the zero mode waveguide via a bottom surface of the transparent substrate; wherein the configuration of the system is micro The mirror interacts with the illumination beam, causing the illumination beam to experience constructive interference whereby the light intensity in the zero mode waveguide is increased relative to other illumination regions. 12. A method for fabricating a substrate comprising a micromirror array, wherein each micromirror system is associated with a zero mode waveguide comprising: a) providing a transparent substrate having a top surface; b) providing a transparent substrate Patterning and etching to form an array of protruding structures having top and sides; c) depositing a cover material such that the top of the protruding structure includes a cover; d) forming an array of apertures through the cover, resulting in protrusions The top of the structure includes an aperture; and e) depositing a reflective deposition material such that the sides of each of the protruding structures comprise a reflective layer; whereby the array of protruding structures comprises a micromirror array, 91 201106032 and at the top of each of the protruding structures The aperture includes a zero mode waveguide. 13. The method of claim 12, wherein the step b) of patterning and etching the transparent substrate is performed after the steps c) and d) of depositing the cover material and forming the aperture array. 14. The method of claim 12, wherein the depositing the cover material and the steps c) and d) of forming the aperture array are performed after the step (b) of patterning and etching the transparent substrate. 15. The method of claim 12, wherein the transparent substrate comprises a stone material. 16. The method of claim 12, wherein the transparent substrate comprises a molten stone. 17. The method of claim 12, wherein the covering material comprises aluminum. 18. The method of claim 12, wherein the reflective deposition material comprises aluminum. 19. The method of claim 12, wherein the etching of the transparent substrate comprises a reactive ion lithography method. 20. The method of claim 12, wherein the protruding structure comprises a cone, a pyramid or a paraboloid shape. 21. The method of claim 12, wherein the protruding structure comprises a truncated cone. 22. The method of claim 21, wherein the truncated cone has a top diameter between 1 micrometer and 10 micrometers. 23. The method of claim 12, wherein the number of projections on the substrate is between 1, 〇〇〇 and 1, 〇〇〇, 〇〇〇. 24. The method of claim 12, wherein the number of protruding structures on the substrate is between 10 and 500 and 500,000. 25. The method of claim 12, the method comprising the steps of: a) providing a transparent substrate having a top surface and having a metal cover layer having an array of apertures disposed thereon; b) on the cover layer Depositing a first photoresist; c) patterning the first photoresist to produce an array of remaining photoresist regions, each remaining photoresist region including a metal cap layer region including a hole, d) etching the cap layer And a region of the transparent substrate, thereby forming an array of protruding structures whereby the remaining photoresist regions comprising the metal cap layer regions are on top of the protruding structures; e) depositing a metal deposition layer whereby the metal deposition layer Contacting a metal cap layer region on top of the protruding structure; depositing a second photoresist; g) patterning the second photoresist to expose a metal deposition layer region on top of the protruding structure; and h) processing from the step ( g) Construction to remove exposed areas of the metal deposition layer. 26. The method of claim 12, the method comprising the steps of: a) providing a transparent substrate having a top surface and having a metal coating layer having an array of apertures disposed thereon; b) being in the metal cladding layer Depositing a protective coating thereon; 93 201106032 C) depositing a first photoresist on the protective layer; d) patterning the first photoresist to produce an array of remaining photoresist regions, each remaining photoresist region including Having a metal cap layer region of a hole diameter; e) etching away the protective layer, the cap layer, and the region of the transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer region are located a top portion of the structure; f) removing the first photoresist; g) depositing a metal deposition layer, whereby the metal deposition layer is in contact with the metal cap layer region at the top of the protruding structure; h) depositing a second photoresist; i) patterning the second photoresist to expose the metal deposition layer region on top of the protruding structure; and j) processing the structure from step (1) to remove the exposed region of the metal deposition layer and removing the protective coating27. The method of claim 12, the method comprising the steps of: a) providing a transparent substrate having a top surface and having a metal cover layer having an array of apertures disposed thereon; b) being in the metal cladding layer a sacrificial layer is deposited on the upper chamber; c) depositing a first photoresist on the sacrificial layer; d) patterning the first photoresist to produce an array of remaining photoresist regions, each of the remaining photoresist regions including an aperture a metal cap layer region, e) etching away the sacrificial layer, the cap layer, and the region of the transparent substrate, whereby the shape 94 201106032 is formed into a protruding structure array, whereby the remaining photoresist regions including the metal cap layer region are located in the protruding structure Top; f) removing the first photoresist; g) processing the substrate to pull back the sacrificial layer; h) depositing a metal deposition layer, whereby the metal deposition layer and the metal coating layer on top of the protruding structure Area contact; i) processing the structure from step (h) to release the sacrificial layer, thereby removing portions of the metal deposition layer on the sacrificial layer and exposing the apertures. 28. The method of claim 27, wherein the sacrificial layer comprises 锗 or Shi Xi. 29. The method of claim 12, the method comprising the steps of: a) providing a transparent substrate having a top surface and having a metal cover layer having an array of apertures disposed thereon; b) a metal cover layer thereon Depositing a protective layer thereon; c) depositing a first photoresist on the protective layer; d) patterning the first photoresist to generate an array of remaining photoresist regions, each remaining photoresist region including an aperture a metal cap layer region, e) etching away the protective layer, the cap layer, and the region of the transparent substrate, thereby forming an array of protruding structures, whereby the remaining photoresist regions including the metal cap layer region are at the top of the protruding structure f) removing the first photoresist and the protective layer; g) producing a columnar array on top of at least a portion of the protruding structure covering the metal cladding region; 95 201106032 h) depositing a metal deposition layer, thereby The metal deposition layer is in contact with the metal cap layer region on top of the protruding structure; and i) processing the structure from step (h) to remove the columnar array, thereby removing a portion of the metal deposition layer. 30. The method of claim 12, the method comprising the steps of: a) providing a transparent substrate having a top surface; b) depositing a first photoresist on the transparent substrate; c) fabricating the first photoresist Patterning to produce an array of remaining photoresist regions; d) etching away the transparent substrate regions, thereby forming an array of protruding structures whereby the remaining photoresist regions are at the top of the protruding structure; e) removing the first light f) depositing a metal deposition layer on the transparent substrate; g) depositing a hard coating on the metal deposition layer; h) polishing the surface of the hard coating to expose the transparent substrate corresponding to the top of the protruding structure a portion; i) depositing a metal cap layer; j) depositing a photoresist on the metal cap layer; and k) patterning and etching the cap layer to produce a cap layer on top of the protruding structure An array of apertures. 31. The method of claim 12, wherein the steps c) and e) of claim 1 are combined, comprising: a) depositing a sacrificial layer on the transparent substrate; b) Selectively etching the sacrificial layer to produce a nano column array 96 201106032 column; C) fabricating a gj case and a fine crucible on the transparent substrate to form a protruding structure having a top and a side, wherein the nanocolumn is disposed in the protrusion a top portion of the structure; d) depositing a metal layer on the structure produced in step c); e) on the metal layer; depositing a planarization layer; D flattening the planarization layer to expose the protrusion (d) forming a metal layer on the top and exposing the nano column; and g) treating the substrate to remove the nanocolumn' thereby forming an array of apertures on top of the protruding structure. The method of claim 31, wherein the sacrificial layer comprises the method of claim 4, wherein the planarization layer comprises a PECVD oxide or a spin-on glass. 34. The method of claim 31, wherein the planarizing comprises CMP 〇 %, as in the method of claim 31, wherein the metal layer comprises the inscription. 36. A method for measuring molecular events in a zero mode waveguide array, comprising: a) providing an array of zero mode waveguides disposed on a top surface of a transparent substrate having a top surface and a bottom surface Wherein the transparent base two comprises a micromirror array that redirects light to a zero mode waveguide; and b) illuminates the zero beam of the light beam through an array of illumination beams; _ 97 201106032 wherein the illumination beam Interacting with the micromirrors causes the illumination beam to undergo constructive interference, thereby increasing the intensity of the light in the zero mode waveguide relative to the intensity without constructive interference. 37. A method for analyzing a property of a single molecule, comprising: a) disposing a single molecule having optical properties in an aperture of a zero mode waveguide, wherein the zero mode waveguide is coupled to a micromirror Coupling; b) illuminating the zero-mode waveguide with illumination light; c) collecting light emitted from the zero-mode waveguide by a detector, wherein at least a portion of the emitted light is redirected to the detector by the micromirror And d) using the collected emitted light to determine a property of the single molecule. 38. The method of claim 37, wherein the zero mode waveguide and the micromirror system are each incorporated in a single substrate. 39. The method of claim 38, wherein the substrate comprises an array of zero mode waveguides each optically coupled to a micromirror. 40. A method for determining sequence information of a template nucleic acid molecule, comprising: a) disposing a polymerase enzyme, a template nucleic acid molecule, and a primer in a zero mode waveguide, wherein the zero mode waveguide is incorporated Also included in the substrate of the micromirror; b) providing nucleotides, reagents and cofactors for polymerization, wherein the nucleotides, reagents and cofactors used for polymerization may be polymerase enzymes, Wherein at least one of a polymerase enzyme, a template nucleic acid molecule, a primer or a nucleus 98 201106032 glycoside comprises an optical label; C) providing a condition for the polymerase enzyme to synthesize a growing nucleic acid strand by incorporating a nucleotide; d Illuminating the zero mode waveguide with illumination light to interact with the optical mark; e) collecting light emitted from the zero mode waveguide by a detector, wherein at least a portion of the emitted light is changed by the micromirror Going to the detector; and f) using the collected light to determine sequence information about the template nucleotide. 41. An optical system for observing light emitted from a substrate, comprising: a) a shaped optic having a dichroic mirror element embedded therein and at least one first side, a second side, and a third side Blocking; b) illuminating optical element configured to feed illumination light through the first side into the optical block, wherein the illumination light is reflected from the embedded dichroic mirror element, passes through the second side to include a light emitting element And a light collecting optical element configured to collect light emitted by the light emitting element, wherein the light emitted by the light emitting element passes through the second surface, passes through the dichroic mirror element, exits the third surface, and enters the Light collecting optics. 42. The optical system of claim 41, wherein the illumination light is reflected from one side of the shaped optical block onto the dichroic mirror element. 43. The optical system of claim 42, wherein the light system is reflected from the second side. 44. The optical system of claim 41, wherein the first, second and third faces are substantially planar. 99. The optical system of claim 41, wherein the illuminating element comprises a glory element. 46. The optical system of claim 41, wherein the substrate comprises an array of one of the reaction regions, at least a portion of which comprises a light-emitting element. 47. The optical system of claim 46, wherein the substrate comprises a ZMW array. 4. The optical system of claim 41, wherein the illumination optics comprises at least one laser and a string of illumination optics. 49. The optical system of claim 41, wherein the concentrating optical element optical system comprises a string of collecting optical elements that delivers the emitted light to a detector. 50. The optical system of claim 41, wherein the optical block comprises a material having a refractive index between 1.3 and 2.5. 51. The optical system of claim 41, wherein the optical block comprises a oxidized or fluorinated I bow. 52. An optical detection system comprising: a substrate having a plurality of spatially separated reaction regions disposed thereon; a focusing optical element between the reaction region and the optical system for separating the respective reaction regions The optical signal is at least partially collimated; and an optical system is positioned to direct excitation illumination to a plurality of separate reaction regions disposed on the substrate and a plurality of separate reaction regions disposed on the substrate Receive the emitted optical signal. 5 3. The optical detection system of claim 5, wherein the focused light is integrated into or connected to the substrate. Μ: The optical detection system of claim 52, wherein the focused light is integrated into the plurality of reflective optical elements of the substrate, and the positions of the reflective optical elements are from a separate individual on the substrate. The light in the reaction zone is at least partially collimated.如. For example, the optical component of claim 54 is selected from the group consisting of a parabolic mirror, a conical mirror, a segmented conical mirror, a truncated conical mirror, a partial parabolic mirror, a trapezoidal mirror and a pyramid. Shape mirror. 56. The optical sensor system of claim 52, wherein the learning component comprises a plurality of lens elements integrated into or connected to the substrate, the positions of each of the plurality of lens component towels being from the substrate Light from a separate individual reaction zone is at least partially collimated. 57. The optical metrology system of claim 52, wherein the plurality of spatially separated reaction regions are at least 1 angstrom per square centimeter, and the density of one of the separated reaction regions is disposed on the substrate. On the surface. 58. The optical detection system of claim 52, wherein the optical system is configured to direct excitation illumination to at least 丨〇 on the substrate, one separate reaction region, and receive from the substrate, respectively. Optical signals of at least 10,000 separate reaction zones. 59. The optical detection system of claim 52, wherein the optical system is configured to direct excitation illumination to at least 50 of the substrate, one separate reaction region, and receive from the substrate, respectively. Optical signals of at least 50,000 separate reaction zones. 60. The optical detection system of claim 52, wherein the optical system 101 201106032 is configured to direct excitation illumination to at least 100,000 separate reaction zones on the substrate and receive at least the substrate from the substrate. Optical signal of 100,000 separate reaction zones. 61. The optical detection system of claim 52, wherein the optical system comprises an objective lens for collecting optical signals from a separate reaction region on the substrate and one of the substrate and the objective lens. A dichroic mirror that transmits optical signals from the substrate and reflected excitation illumination such that the excitation illumination is not substantially transmitted through the objective lens. 62. A method of analyzing a plurality of discrete reaction regions on a substrate, comprising: providing a substrate having a plurality of discrete reaction regions thereon; providing a t-focus optical component integrated into or coupled to the substrate, The focusing optics respectively collimate at least a portion of the optical signals from the separate reaction zones; illuminating the plurality of discrete reaction zones to generate optical signals associated with a reaction in the reaction zone; and The optical signal that is focused by the focusing optics is transmitted to a detector to detect the signal. 63. An analysis system comprising: a substrate having a plurality of spatial discrete reaction regions disposed thereon; an excitation illumination source; an optical detector array; an optical component string, comprising: 102 201106032 a multiplexed illumination path from a multiplexed optical component that converts a source of illumination to a plurality of illumination points, and at least a first portion and a plurality of reaction regions for alternately directing illumination points to a plurality of signal sources a second portion of the switching optics; and a signal collection path for collecting signals from the plurality of reaction regions and directing the signals to spatially discrete locations on the array of optical detectors. 64. An analysis system comprising: a substrate having a plurality of spatial discrete reaction regions disposed thereon; an excitation illumination source; an optical detector array; and an optical component string comprising: Illumination source—a multiplexed optical component that converts light into multiple illumination points—a multiplexed illumination path that directs illumination points to multiple reaction zones; and a signal collection path that collects signals from multiple reaction zones The signal collection path includes a switching optical element for directing the signal from the first portion of the reaction region to a spatially discrete portion of the first portion of the optical debt detector array, and from the reaction region The second portion of the signal is directed to a spatially discrete region of the second portion of the detector array. A system for analyzing a high multiplex reaction region on a substrate, comprising: 103 65. 201106032 a substrate having a plurality of discrete reaction regions thereon; for detecting optical associated with a discrete reaction region A signal detection system comprising: at least first and second detectors; and an optical element string configured to direct optical signals associated with the first set of discrete reaction regions on the substrate to A detector and an optical signal associated with the second set of discrete reaction regions on the substrate are directed to the second detector. 66. A method for analyzing a plurality of discrete reaction regions from a substrate to be discussed, comprising: providing a substrate having a first set of reaction regions disposed thereon; identifying less than the first set of reaction regions All of the subsets of the reaction zones showing the reactions to be explored; and only the subset of the reaction zones monitor the response to be explored. 104
TW099102410A 2009-07-07 2010-01-28 Ultra-high multiplex analytical systems and methods TWI525353B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22362809P 2009-07-07 2009-07-07
US12/567,526 US8247216B2 (en) 2008-09-30 2009-09-25 Ultra-high multiplex analytical systems and methods
PCT/US2009/005319 WO2010039199A2 (en) 2008-09-30 2009-09-25 Ultra-high multiplex analytical systems and methods

Publications (2)

Publication Number Publication Date
TW201106032A true TW201106032A (en) 2011-02-16
TWI525353B TWI525353B (en) 2016-03-11

Family

ID=44814195

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099102410A TWI525353B (en) 2009-07-07 2010-01-28 Ultra-high multiplex analytical systems and methods

Country Status (1)

Country Link
TW (1) TWI525353B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112965164A (en) * 2021-02-24 2021-06-15 中国科学院苏州生物医学工程技术研究所 Zero-mode waveguide device and preparation method thereof
US11226291B2 (en) 2017-03-16 2022-01-18 Sony Corporation Biological substance analysis method, biological substance analyzer, and biological substance analysis system

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI673516B (en) * 2018-01-11 2019-10-01 國立臺灣大學 Illumination apparatus and system for multiplexed multi-depth confocal microscopy

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11226291B2 (en) 2017-03-16 2022-01-18 Sony Corporation Biological substance analysis method, biological substance analyzer, and biological substance analysis system
CN112965164A (en) * 2021-02-24 2021-06-15 中国科学院苏州生物医学工程技术研究所 Zero-mode waveguide device and preparation method thereof

Also Published As

Publication number Publication date
TWI525353B (en) 2016-03-11

Similar Documents

Publication Publication Date Title
AU2009300369B2 (en) Ultra-high multiplex analytical systems and methods
TWI692633B (en) Arrays of integrated analytical devices
US8335029B2 (en) Micromirror arrays having self aligned features
US20210124115A1 (en) Arrays of integrated analytical devices and methods for production
US9945781B2 (en) Analytical devices having dichroic prism arrays
US8143601B2 (en) Nanoscale imaging via absorption modulation
TW201727141A (en) Arrays of optical devices comprising integrated bandpass filters and methods of production
TWI525353B (en) Ultra-high multiplex analytical systems and methods
US20220331798A1 (en) Flowcells with linear waveguides
Shivashankar et al. Biomolecular recognition using submicron laser lithography
CN117642514A (en) Array of integrated analytical devices with reduced scale cell wells
Moiseev Spectral self-interference fluorescence microscopy and its applications in biology
Csáki et al. Localization of laser energy conversion by metal nanoparticles: basic effects and applications
Meade Development of a DNA multiplexing system utilizing encoded porous silica photonic crystal particles