TW201034059A - Ion implanted substrate having capping layer and method - Google Patents

Ion implanted substrate having capping layer and method Download PDF

Info

Publication number
TW201034059A
TW201034059A TW098146476A TW98146476A TW201034059A TW 201034059 A TW201034059 A TW 201034059A TW 098146476 A TW098146476 A TW 098146476A TW 98146476 A TW98146476 A TW 98146476A TW 201034059 A TW201034059 A TW 201034059A
Authority
TW
Taiwan
Prior art keywords
substrate
gas
ion implantation
cover layer
porous cover
Prior art date
Application number
TW098146476A
Other languages
English (en)
Other versions
TWI469190B (zh
Inventor
Agua Borniquel Jose Ignacio Del
Tze Poon
Robert Schreutelkamp
Majeed Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201034059A publication Critical patent/TW201034059A/zh
Application granted granted Critical
Publication of TWI469190B publication Critical patent/TWI469190B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Description

201034059 六、發明說明: 【發明所屬之技術領域】 本發明之實施例係關於在基材植入離子以形成離子植 入區〇 【先前技術】
離子植入區形成於基材上以改變基材區域材料之能帶 隙程度。例如,將硼離子、磷離子、砷離子和其他材料 植入矽或化合物半導體材料中以形成半導體區域。在另 一實例,離子被植入於包含石英、三五族化合物(例如: 砷化鎵)之基材,以形成太陽能面板之光電單元 (photovoUaiccell)。又一實例中,離子被植入於包含氮 化鎵之基材以形成顯示面板之發光二極體(LED )。 然而,在某些離子植入製程,很大比例之植入離子在 離子植入製程期間或後續的製程中蒸發或揮發。舉例言 之,植入離子之擴散與揮發可能於離子植入製程完成後 實行之退火製程發生。又―實例中,包含石英晶圓之基 材的離子植入區㈣火以便更均勻分佈^區中之離 子、電活化植入物’並移除晶格之缺陷。該退火製程可 藉由加熱基材至溫度至少、約95(rc來執行。然而,於退 火製程期間施加之熱量可能造成植入之離子從基材揮 發,特別是針對淺接面中之高離子濃度。 基於上述原因和其他缺失以及儘管發展不同離子植入 4 201034059 方法與結構’更進-步改良離子楂入之技術仍亟待尋求 【發明内容】 在-離子植入方法中,基材放置於製程區,而離子植 入基材之一區中以形成離子植入區。多孔覆蓋層沈積於 離子植人區上方。於退火製程期間,將基材退火以揮發 至少百分之八十上覆於離子植入區之多孔覆蓋層。中間 產物包括基材、基材上之複數離子植入區、以及覆蓋該 等離子植入區之多孔覆蓋層。 【實施方式】 如第1A、帛1B圖所示,用於半導體、太陽能面板、 LED及其他應用之基材4()之製造製程中,複數離子植入 區44a,b在基材上形成。基材4〇可以是諸如下述任一者 或多者的材料:氧切、碳切、晶㈣、應變石夕碎 • 鍺、摻雜或非摻雜複晶矽、摻雜或非摻雜矽晶片、摻雜 梦—錯、坤化鎵、氮化鎵、玻璃、藍寶石(sa卯心)和 石英的。基材40可具有不同尺寸,例如:基材4〇可為 一直徑200或300毫米之圓形晶片或矩形或方形面板。 植入於離子植入區44a,b的離子45係視基材40之應 用舉例而s,藉由植入n型與p型換雜劑至包含石夕晶 片之基材40,離子植入區44ab可用以形成電晶體之閘 極及/或源極汲極結構或積體電路晶片。植入矽時,形成 201034059 Π型摻雜劑之合適離子45係 已3例如至少下述一者: 鱗、石中、録及其組合。適人开> 出〇存丨丨 〇7成Pi摻雜劑之離子45係 包3例如至少下述Hi、嫁、銘、銦、梦及其 組合。是以,當P型導電摻雜劑(如:们在相鄰於先 則已換雜η型摻雜劑(如:珅或碟)之另—離子植入區 (圖未顯不)的離子植入區44a h始λ # . 匕*4a,b植入於矽時,沿著該 二區域界面形成-P_n接合。離子可植入於選定之劑量 參 程度’例如:1x10 丨 4at〇ms/cm3 至 1χ1〇 丨 7at_/cm3。 在植入製程中,將基材40置於製程區46,並且基材 溫度維持在約25。〇至約40(TC之間。引入製程氣體至製 程區46,以提供欲植入之離子源物種。製程氣體亦可包 含揮發性物種,例如:氟及/或氫。舉例來說,製程氣體 可以含有包含砷、硼、磷之氟化物及/或氫化物等離子植 入氣體。離子植入氣體可以包含,例如,ASF3、AsH3、 B2H6、BF3、SiH4、SiF4、PH3、AsF5、P2H5、P〇3、PF3、 PFS及CF4。亦可組合特定氣體之氟化物或氫化物,例 如:BF3+B2H6、PH3+PF3、AsF3+AsH3、SiF4 + SiH4 或 GeF4+GeH4。在一實施例中,離子植入氣體之流速可在 約2 seem至約1000 seem之間。 製程氣體可進而包含一惰性或非反應性氣體,諸如: N2、Ar、He、Xe、Kr。該等惰性或非反應性氣體促使離 子撞擊以增加製程氣體碰撞並減少離子物種之再結合。 該等惰性或非反應性氣體之流速可在約1 〇 seem至約 1200 seem 之間。 6 201034059 襄程氧體可進一步包含一 φ 氮軋體以助於形成更易由 之揮發性副產物。含氮氣體 χτΗ Λ Μ χτ 乳風體了包含NO、Ν02、 3 2 2〇及其混合物。含氮氣體可以* 至約500咖來供給。 、了、逮約l〇s_ 4 氣體以形成電漿48,其含有欲植人基材 : 之離子45。藉由施以電屋,加速該等離子 (如第ia,1b圖中箭頭50所 形成能量撞擊之離子,並進人㈣、通過製程區46以 始 材40之暴露區52以形 和°製程氣體可以源功率及偏功率或源功率 和偏功率之結合來激發,源功率為施於繞著製程區钧之 天線(未顯示)之感㈣合功率,偏功率為施於燒著製 程區46之電極(未顯示)之電容轉合功率。典型地,源 功率自製程氣體產生電漿48,而偏功率更進而解離製程 氣體並加速解離離+ 45朝向基材4〇。設定源功率及偏 功率到預先定義能階’以使離子物種被驅動進人基材4〇 之所欲深度。具有低離子能量的解離離子被植入於自基 材表面起算小於5〇〇A之淺深度,例如,自基材表面心 約10 A至約5〇〇A。具有來自高射頻功率(例如:高於 lOKeV左右)之高離子能量的解離離子可被植入於自基 材40表面起算大於5〇〇 A深度之基材40中。在—實例 中,以約10至約12000伏特之RF電壓將源功率維持在 約50至約2000瓦、偏功率維持在約5〇至約約11〇〇〇瓦。 在一實施例中,可在製程區46將砷離子植入基材4〇。 將基材40之溫度維持在低於3〇〇c。在此一製程,包含 201034059 一含砷氣體(例如AsH3)之製程氣體被引入製程區46。 裝程氣體之壓力維持在約3mT〇rr至約no"左右例 如20mTorr。藉由將繞著製程區46之天線(未顯示) 施加於約200至約8〇〇〇伏特(例如:約6〇〇〇伏特)之 電壓’製程氣體係被激發而形成電漿。施於天線之源功 率可為約100至約3000瓦,例如:約丨_瓦。形成之 電聚包含激發之石申離子,其被植入基材40以形成包含神 植入區之離子植入區44a,b。將砷離子以劑量至少1χ 10l6at〇ms/cm3植入自基材表面起算小於5〇〇人之深度。 在預期實例巾,可將领離子&包含一含硼氣體(例 如.二氟化硼(ΒΙ?3))之製程氣體之電漿植入基材40中。 激發該製程氣體以產生具有足以解離BF3分子之能量密 度之電漿,從而形成B+離子與BF+離子,亦可能形成 BF,。製程氣體之壓力維持在約5mT〇rr至約3T〇rr。十 蝴烧粉末’其蒸汽壓在室溫下約為〇 1T〇rr並在高於溫度 ❿ 丨帆時產生—實質蒸汽壓,亦可作為·子來源或用來 補充硼離子氣體來源。 在另一預期實例中,其為硼植入製程之代表例,製程 氣體包含 BF3 ' SiH4,其藉由 B3+、BF2+、BF2+、F、su 及H+形式之電漿解離為離子物種。由sm4氣體提供之活 化氫物種與解離氟物種及其他解離之副產物反應,以形 成HF或其他種類的揮發性物種,因此防止氟物種及其 他種類的副產物被植入基材4卜從而,選擇siH4氣流以 防止過量或解離之石夕離子在基材上形想要的石夕膜。 8 201034059 在一實施例中’製程氣體包含ΒΙ?3和siH4,其氣流比例 為約1 : 50至約1 : 1〇〇。舉例而言,Bf3流速可從約5〇 至約400 seem ’而SiH4流速可從約i至約20 sccm。源 射頻功率(source RF power )設定在約丨00瓦至約2〇〇〇 瓦’而偏射頻功率(bias RF power)則設定在約1〇〇伏 特至約12000伏特。所形成之電漿將硼離子植入基材4〇 以形成包含棚植入區之離子植入區44a,b。 在一更預期性之實例中,摻雜磷可以使用包含一含磷 氣體之製程軋體來進行,例如三氟化稱氣體(諸如: 或PFS )或磷氫化物氣體(例如:pH3 )。將製程氣體引 入製程區46,壓力維持在約1〇mT〇rr至約3T〇rr。舉例 而5,PF3氣體可以流速約5〇 sccm至約丨〇〇〇 sccm來供 應。源射頻功率可設定在約100瓦至約3〇〇()瓦,而偏射 頻功率則設定在約100伏特至約12〇〇〇伏特。所形成之 電漿將磷離子植入基材40以形成包含磷植入區之離子 植入區44a,b。 在一代表性實施例中,在離子植入之後,一多孔覆蓋 層54沈積在離子植入區44ab上,如第2圖之流程圖所 示。多孔覆蓋層54覆蓋在離子植入區44a,b以形成一中 間產物55,如第1C圖所示。多孔覆蓋層54用以防止植 入於離子植入區44a,b之離子於後續步驟中(例如:退 火製程)揮發。然而,退火製程可能造成大部分的植入 離子自基材40蒸發或揮發,特別是當植入離子為低質 量、低結合能量或對基材為低溶解度時。多孔覆蓋層54 9 201034059 被發現可減少植入離子之揮發耗損,從而保存大量植入 於離子植入區44a,b之離子,即使在退火製程之後。 之後更進一步發現多孔覆蓋層54可以在退火製程期 間或之後輕易蒸發及移除,並相信多孔覆蓋層54之孔隙 度允許自下層析出之蒸發物質更輕易散逸或通過多孔覆 蓋層54之細孔。這可防止多孔覆蓋層M於強力結合或 黏著下層時,自附接之下層分層。而且,因多數體積為 空洞的細孔空間所取代,故多孔覆蓋層54質量較小且 參 因此需較少能量即可將多孔覆蓋層54由基材4〇蒸發。 因此,在一態樣中,多孔覆蓋層54包含至少百分之二十 或甚至至少百分之五十孔隙度。更進而言之,多孔覆蓋 層54可具有連續狀細孔,其孔隙體積至少百分之二十或 甚至至少百分之五十。連續狀細孔為所想要的因其允 許蒸發之氣體和副產物更易由多孔覆蓋層54散逸而不 造成分層(delamination )。 參在一態樣中,多孔覆蓋層54包含含矽及含氧物質。於 此態樣,多孔覆蓋層54之沈積係藉由引入一包含含矽及 含氧氣體之製程氣體至製程區46中,並利用電漿促進化 學氣相沈積法(PECVD)或微波促進化學氣相沈積法 (MECVD)激發製程氣體以形成電毁並沈積二氧化石夕。 儘管描述二氧化矽以說明此一製程時,應注意的是其他 物質亦可用來形成多孔覆蓋層54β此外,該沈積之矽和 氧物質可包含碳、氫’甚至氮。舉例而言:二氧化石夕形 成之多孔覆蓋層54可透過包含一含矽氣體之製程氣體 201034059 來沈積,諸如··碎烧(SiH4)、二矽烧、二氯石夕烧、三氣 矽烷、四乙基正矽烷、曱基矽烷(CH3SiH3 )、二甲基曱 石夕炫·((CH3)2SiH2)、三甲基甲石夕炫((CH3)3SiH)、二乙基碎 烷((C2H5)2SiH2)、丙矽烷(C3H8SiH3)、乙烯甲基矽烷 (CH2 = CH)CH3SiH2)、1,1,2,2-四曱基二石夕烷(HSi(CH3) 2-Si(CH3)2H)、六甲基二矽烷(CH3)3Si-Si(CH3)3)、 1,1,2,2,3,3- 六 甲基三 石夕燒 (H(CH3)2Si—Si(CH3)2_SiH(CH3)2)、l,l,2,3,3-五甲基三石夕 院(HKCHASi-SimCH+SitKCH3)2)及其他矽烷相關化 合物。製程氣體亦可包含一含氧氣體,諸如:氧氣(〇2)、 氧化亞氮(N2〇)、臭氧(〇3)及二氧化碳(c〇2)。 中間產物55包含沈積的包含含矽/氧物質之多孔覆蓋 層54’中間產物55具微觀的氣洞均勻分散於二氧化石夕 層中。有-代表例是’一包含多孔二氧化矽之多孔覆蓋 層54沈積在同一製程區46之基材扣上。將包含切氣 體及含氧氣體之製程氣㈣人製程區46。例如 :
體可包含㈣和氧’其體積流速比從約1:1至約 I 或甚至從約i : 2至約丨:6。例如:矽烷流速可從約$ :約:0:cm ’而氧流速可從約2〇至約2〇〇s·可 地,"亦可被加入製程氣體。當加入氯時,錢J選 體積流速比維持在上料級,Μ足 ^和氧之 氧氣和氯氣體積流速比自約1:4至約:破!加入以維持 麗力維持在約5 τ 約4. 1〇製程氣體 子在約5 mTorr至約5〇〇 _ mT。-。電漿自施於繞著製程區 .約_ 天線之射頻能量產 201034059 生’其電壓約200至約議⑽伏特,例如:約⑽〇伏特; 功率位準約1_瓦至約1〇〇〇〇瓦,例如:約8〇〇〇瓦。 基材40溫度維持在低於抓’以使多孔覆蓋層μ於基
材上形成D 在另一預期實施例中,多孔覆蓋層54以含有三曱基甲 石夕燒((CH3)3-SiH)之含石夕氣體及氧之製程氣體形成。三甲 基甲矽烷以流速約20至約1〇〇 sccm而氧以流速約1〇至 馨約200 sccm供應之.製程氣體亦可包含氦或氫,其流速 為約10至約5000 sccm。室壓維持在約J至約15 τ〇ΓΓ 之間。施以一 RF功率源約1〇〇至約9〇〇瓦。基材4〇溫 度維持在約300。(:至約45(TC以沈積多孔覆蓋層54。 在又一預期實例中,利用包含四乙基正矽烷(TE〇s ) 及氧之製程氣體沈積多孔覆蓋層54,其中四乙基正矽烷 之流速約200至約2000 sccm,氧之流速約2〇〇至約2〇〇〇 seem。以約300至約1200瓦之射頻能量啟動電漿。基材 ❿ 40溫度維#在約300至約500。(:。 如第1D與第2圖所示,退火處理上方覆蓋有多孔覆蓋 層54之離子植入區44a,b,以更均勻分佈植入離子植入 區之離子。舉例而言’於退火製程中,離子濃度之變動 可由lxl017atm/cm2減至lxl〇13 atm/cm2。退火製程亦可 移除或減少離子植入區44a,b之晶格缺陷,其中該等晶 格缺陷可因植入離子之能量撞擊而引發。退火製程可用 以活化植入之離子。在一代表性退火製程中,基材4〇被 加熱至至少約1000°C,甚至為約80(TC至約1300。(:。合 12 201034059 適的退火製程可以實施5分鐘。 在退火製程’至少一部份多孔覆蓋層54在熱處理過程 揮發。在-態樣中,至少百分之八十覆蓋在離子植入區 上之多孔覆蓋層54在退火時揮發。例如:在退火期間, 至少百分之九十之多孔覆蓋層54可被揮發而仍留下至 /百刀之八十之植入離子在離子植入區44a b中。因此, 多孔覆蓋層54保留離子植入區44a,b中之離子,而同時 ❿ 蒸發基材4G。有利的是,此-製程使大量之植人離子得 以保留’而移除實質全部的多孔覆蓋層54。 儘管大部分的多孔覆蓋層54於退火中間產物以形成 下一階段產物期間揮發’未被蒸發之多孔覆蓋層M殘餘 物質,如第1D圖所示,可以乾式清洗或電漿清洗製程或 濕蝕刻製程移除之。在適合的乾式清洗或電漿清洗製程 中,包含氟(例如:eh)之製程氣體可被引入製程區 46’自製程氣體產生之電漿被用來清除基材⑽表面之殘 • 餘含矽或含氧物質。如第1E圖所示,結果基材40包含 之離子植入區44a,b,其具有更均勻分佈的離子濃度、減 少的晶格缺陷、及乾淨表面58。 一代表性實施例中,包含pM〇s與NM〇s電晶體之積 體電路可使用如第3圖所示之製程來製造。在此結構 中’包含矽晶片之基材40具有—活化半導體層1〇〇a b, 其可為主要之半導體矽物質(如圖所示),或一矽島(未 顯示)形成於上覆於基材40之絕緣層上。一 pM〇s電晶 體102在活化層1〇〇之輕n_摻雜區1〇〇a形成,而一 NM〇s 13 201034059 電晶體202於活化層1 〇〇之輕p_摻雜區1 〇〇b形成。p_ 摻雜區100b及η-摻雜區l〇〇a以一蝕刻於活化層内並填 充絕緣物質(如:二氧化矽)之淺絕緣溝槽1 〇6彼此絕 緣。PMOS電晶體102亦含有重p-摻雜源極及汲極區 108a、108b於活化層中,以及重p_摻雜源極及汲極延伸 區110a、110b (其由η摻雜通道112隔離之)。 舉例而言’離子植入區44a,b可以是輕η-摻雜區l〇〇a、 輕P-摻雜區100b、重P-摻雜源極及汲極區108a、108b、 及重p-摻雜源極及汲極擴張110a、11〇b (其由η摻雜通 道112隔離之)之任一者。在此態樣中,緊接於沈積任 一離子植入區44a,b之後,一多孔覆蓋層(未顯示)被 用來覆蓋離子植入區44a,b以防止離子於後續實施於基 材40上之退火製程揮發。之後’將具離子植入區44a,b 之基材40退火之。在退火製程,實質全部的多孔覆蓋層 54蒸發。之後,其他層被沈積、被蝕刻或以其他方式被 ® 處理至基材40上。 在PMOS電晶體1〇2中,多晶矽閘極114覆蓋在n摻 雜通道112上,並藉由薄閘極二氧化石夕層116與11摻雜 通道112隔離。一閘極接點118包含,例如,鈦矽化物 或鈷矽化物,覆蓋於閘極114上。源極接點區12〇亦包 含,例如,鈦矽化物或鈷矽化物,形成於源極區l〇8a中。 氮化>5夕絕緣層122覆蓋於源極及汲極區丨〇8&、1 〇8b上, 並包圍閘極結構114、116、11卜二氧化碎島124位在在 絕緣層122巾。薄氮化梦餘刻停止層126覆蓋於pM〇s 201034059 電晶體102上方。上覆多連結電極層132之底部絕緣層 130覆蓋於蝕刻停止層126上方。在絕緣層130形成後, 化學機械研磨製程可用來平坦化其頂面13 0a。金屬源極 接點134 ’例如:錫,垂直延伸穿過絕緣層130,並穿過 蝕刻停止層126到鈦矽化物源極接點區120。絕緣層可 能為二氧化石夕(Si02)或含二氧化石夕物之組合物,諸如:梦 睃磷玻璃(PSG)、矽酸硼玻璃(BSG)或碳摻雜矽酸鹽 玻璃(CSG)。該等組合物可在使用製程氣體之電漿加強 沈積製程形成,其中該製程氣體含有含氧氣體、矽前驅 物(例如:矽烷)、磷前驅物(PH3 )、硼前驅物(b2H6 ) 或含碳氣體。 NMOS電晶體202包含活化層中之重n_摻雜源極及没 極區208b、208a及重η-摻雜源極及汲極延伸區210b、 210a (其由p-摻雜通道2 12隔離之)。多晶矽閘極2 14 上覆於通道212並由薄閘極二氧化矽層216與通道212 φ 隔絕。閘極2 1 8包含’例如:鈦石夕化物覆蓋於閘極214 上方。鈦矽化物源極接點區220形成於源極區208b中。 氮化矽絕緣層222上覆於源極及汲極區2〇8b、208a,並 包圍閘極結構2 14、2 16、2 1 8。二氧化矽島224位於絕 緣層222中。薄氮化矽餘刻停止層226覆蓋於NMOS電 晶體202上方。上覆多連結電極層132之底部絕緣層130 覆蓋於蝕刻停止層226上方。金屬(例如:TiN )汲極接 點234垂直延伸穿過絕緣層13〇 ’並穿過飯刻停止層226 到欽發化物源極接點區2 2 0。 15 201034059 第4圖所示為基材製程襞置3〇〇之代表性實施例,該 裝置適合於植入離子以在基材4〇形成離子植入區 44a,b,並能夠在同一製程區46之植入區4^>上方沈積 多孔覆蓋層54。基材製程裝置3〇〇可以是,例如:環狀 源電漿浸潰離子植入裝置’例如:P3 lTM,可購自美國加 州聖克拉拉市之應用材料公司。合適裝置請參見,例如: Ai-Bayati等人於20〇4年u月1日申請且公開號為 ⑩ N〇.2〇〇5/〇191828之美國專利申請案,其全部内容以引用 方式併入本文。 一般而言,裝置300包含-製程室31〇,其由圓柱狀 侧壁312和圓盤狀室頂314包圍。製程室31〇内之基材 支撐件316包含基材接受面318,用以支撐基材4〇以便 於製程區46處理基材。基材支撐件316可以是一靜電夾 盤317,其含有一電極319,其埋於或由介電板321所覆 蓋。電極319由夾持DC電壓來源產生器323所賦予功 .鲁 率。 製程氣體(其包含含有所欲植入基材4〇之離子物種的 離子植入氣體)經由氣體分佈器32〇被引入製程室Μ。 位於製程室310之室頂3 14上之氣體分佈器32〇藉由連 結至氣體分佈板325之氣體歧管324接收製程氣體。氣 體歧管340由個別之氣體供應器326a_j所饋入,氣體供 應器326a-j個別由一組質量流控制器327a_j所控制,該 質量流控制器327a-j藉由設定每—氣體供應器 326a-j 之 氣流以控制製程氣體之組成。舉例而言,個別之氣體供 16 201034059 應器326a-j可包含供應含砷氣體、含鱗氣體、含硼氣體、 含碳氣體、氫、氧、氮、矽烷、氫化鍺氣體、氪、氣、 氬或其他氣體。氣體供應器326a-j可包含不同含摻雜劑 氣體’包括:含硼氟化物、含硼氫化物、含磷氟化物及 含填氫化物。其他氣體包括用於共同植入(氫與氦)、物 質增強(氮)、表面鈍化或共同植入(含矽或鍺或碳之氟 化物)’以及光阻移除及/或製程室清潔(氧氣)等氣體。 真空聚浦328耦接於由基材支撐件316及侧壁312界定 之抽取環帶330 » 在基材40上方之製程區46激發製程氣體。適合在製 程區46激發製程氣體之氣體激發器333包含一對外部凹 曲導管334、336 ’其建立凹曲環狀路徑以供電漿流通過 且父切於製程區46。每一導管334、;336具一對末端338, 耦接至製程室310之相對側。每一導管334、336為中空 導電管並具有一 D_C·絕緣環340,D.C_絕緣環340防止 在導管之兩末端間形成閉合回路導電途徑。每一導管 334、336之環狀部分為環狀磁蕊342所圍繞。激磁線圈 344園繞磁蕊342並經由阻抗匹配裝置348耦接至一 RF 功率源346。二個耦接至個別磁蕊344之rf功率源346 可具有稍微不同之頻率。舉例而言,藉由施以頻率為 400kHz及ΒΜΗζ之RF電流,氣體激發器333可自製程 氣體形成感應耦合電漿。耦接自RF功率產生器346之 RF電源於封閉環狀路徑製造電漿離子流,其中該封閉環 狀路徑延伸穿過個別導管334、336並穿過製程區46。 17 201034059 這些離子流以個別RF功率源之頻率震盪。 在離子植入製程期間,氣體激發器333自rf功率產生 器346施以源功率至凹曲導管334、336,以於導管及製 程區46建立環狀電漿流。藉偏壓功率產生器349,將偏 壓功率經由阻抗匹配電路350施於基材支擇件316。離 子植入深度由RF偏壓功率產生器349施以之基材偏廢決 定之。離子植入速度或通量,亦即每秒每平方公分植入
該電漿密度則由RF 之離子數,係由電漿密度所決定, 功率產生器346所施加之RF功率程度所控制。基材4〇 中累積的植入劑量(ions/cm2 )係由通量及該通量所維持 的時間二者所決定。 當多孔覆蓋層54沈積在基材4〇上,源功率產生器346 可在不需使用偏麼功率產生器349即可用以
應被限制於在此所舉之代矣M壯$
然而,具他情形亦為可能。 右干不同實施例加以敘述, 例如:亦可使用不同離子植 18 201034059 ’不同物質亦可 範圍之精神與範 入製程。同時,如熟知此一技藝者所知 用於覆蓋層5 4。因此’本發明申請專利 圍不應被侷限於在此所描述之實施例。 【圖式簡單說明】 配合上述實施方式、申請專利範圍及本發明實施例之 相關圖示,得使本發明之特徵、外觀及優點更淺顯易懂。 ❹ 然而,須瞭解的是,每一特徵大體上均可適用於本發明, 不僅限於特定圖示内容,且本發明包含該等特徵之任一 結合。 第1A及1B圖為於基材上實行離子植入製程以於基材 形成複數離子植入區之橫切面圖。 第1C圖係第1B圖中基材之橫切面圖,顯示多孔覆蓋 層沈積於離子植入區上方以形成中間產物。 第1D圖係第1C圖中基材之橫切面圖,顯示離子植入 φ 區之退火處理,以及覆蓋層於退火製程中蒸發。 第1E圖係第1D圖中基材之橫切面圖,其覆蓋層已由 離子植入區蒸發。 第2圖係離子植入、覆蓋及蒸發製程之流程圖。 第3圖係包含PM〇s與NMOS電晶體之積體電路橫切 面圖。 第4圖係適合實行離子植入及覆蓋製程之設備之橫切 面圖。 201034059 【主要元件符號說明】 40基材 218閘極結構 44a,b離子植入區 220鈦矽化物源極接點區 45離子 222氮化矽絕緣層 46製程區 224二氧化矽島 48電漿 226薄氮化矽蝕刻停止層 52暴露區 234金屬源極接點 54多孔覆蓋層 300基材製程裝置 55中間產物 310製程室 58乾淨表面 312圓柱狀側壁 100活化層 314圓盤狀室頂 l〇〇a活化半導體層、輕n_掺雜區 316基材支撐件 100b活化半導體層、輕p_摻雜區 317靜電夾盤 ❹ 102 PMOS電晶體 318基材接受面 106絕緣溝槽 319電極 108a、108b重p-摻雜源極及汲極區320氣體分佈器 110a、110b重p_摻雜源極及汲極延伸 區 321介電板 112 η摻雜通道 323夾持DC電壓來源產生器 114多晶矽閘極/閘極 324氣體歧管 20 201034059 鲁 116薄閘極二氧化矽層/閘極結構 118閘極結構 120源極接點區 122氮化>6夕絕緣層 124 一氧化梦島 126薄氣化石夕钱刻停止層 130底部絕緣層 130a頂面 134金屬源極接點 202 NMOS電晶體 325氣體分佈板 326a-j氣體供應器 327a-j質量流控制器 328真空泵浦 330抽取環帶 333氣體激發器 334、B6外部凹曲導管 338末端 340 D.C.絕緣環 342環狀磁蕊 208b,208a重η-摻雜源極及汲極區344激磁線圈 210b、210a重η-摻雜源極及汲極延伸346 RF功率源/RF功率產生器 /源功率產生器 348阻抗匹配裝置 349偏壓源產生器 350阻抗匹配電路 區 212 ρ-摻雜通道
214多晶矽閘極/閘極 216薄閘二氧化矽層/閘極結構 21

Claims (1)

  1. 201034059 七、申請專利範圍: 1. 一種離子植入方法,其至少包含以下步驟: (a) 將離子植入基材之一區域内以形成一離子植入區; (b) 沈積一多孔覆蓋層於該離子植入區上;以及 (Ο於一退火製程期間,將基材退火,並使上覆於該離 子植入區之之該多孔覆蓋層的至少百分之八十揮 發。 2.如申請專利範圍第i項所述之方法,其中(〇步驟包含 ^下步驟:將該基材上之該離子植入區退火,以撺發至 /百刀之九十之該多孔覆蓋層,而保留至少百分之六十 之該離子植入區之該等植入離子。 3·如申請專利範㈣!項所述之方法,其中(b)步驟包含 •:下步驟:沈積-多孔覆蓋層,該多孔覆蓋層之孔隙戶 為至少百分之二十。 & 4 一如申請專利範圍第3項所述之方法,該步驟包含沈積 夕孔覆蓋層’該多孔覆蓋層之孔隙度為至少百分之五 之方法,其中(b)步驟包含 ’該多孔覆蓋層具有孔隙 .如申請專利範圍第1項所述 以下步驟:沈積一多孔覆蓋層 22 201034059 體積為至少百分之二十之連續狀細孔。 6.如申請專利範圍第丨項所述之方法,其中(b)步驟 以下步驟:藉由引入-製程氣體至該製程區以沈積該 孔覆蓋層,並激發該製程氣體以在室溫形成一電漿二多 7·如申請專利範圍第丨項所述之方法,其中步驟包含 ❹ 以下步驟:藉由引入包含一含矽氣體及一含氡氡體之— 製程氣體至該製程區以沈積包含二氧化矽之 夕fli覆羞^ 層,激發該製程氣體以形力一電聚’並維持該基材 低於30°C。 酿I 8·如申請專利範圍第7項所述之方法,其中該含碎氣體 包含矽烷’並且該含氧氣體包含氧。 鲁 9.如申請專利範圍第7項所述之方法,該方法包含維持 該製程氣體之壓力為約5 mTorr至約5〇〇 mT(^。 L0.如f請專利範圍第7項所述之方法,該方法包含將 —繞著該製程區之天線施加於約1〇00至約1〇〇〇〇瓦之功 率位準。 U,如申請專利範圍第i項所述之方法,其中⑷步驟包含 以下步驟:植入包含砷、硼或磷之離子,其劑量為lx 23 201034059 1014atoms/cm3 至 lxi〇17atoms/cm3。 12. 如申請專利範圍第u項所述之方法,該方法包含植 入該等離子至深度自基材表面起算少於5〇〇 a。 13. 如申請專利範圍第U項所述之方法,該步驟包含藉 由引入一包含含砷氣體之製程氣體至該製程區内以植入 φ 砷離子,激發該製程氣體以形成一電漿,並維持該基材 溫度低於30°C。 14. 如申請專利範圍第13項所述之方法,該方法包含維 持該製程氣體之壓力為約3 mTorr至約5Τ〇ΓΓ。 15·如申請專利範圍第13項所述之方法,該方法包含將 一繞著該製程區之天線施加約200至約8〇〇〇伏特 16.如申請專利範圍第丨項所述之方法,其中步驟(a)與 步驟(b)藉由將該基材放置於一製程室之製程區中實行。 17· —種中間產物,其包含: (a) —基材; (b) 該基材上之複數離子植入區;以及 (c) 一多孔覆蓋層,覆蓋在該等離子植入區上。 24 201034059 18_如申請專利範圍第17項所述之t間產物,其中該多 孔覆蓋層包含至少百分之二十之孔隙度。 19. 如申請專利範圍第18項所述之t間產物,其中該多 孔覆蓋層包含至少百分之五十之孔隙度。 20. 如申請專利範圍第17項所述之中間產物,其中該多 孔覆蓋層包含孔隙體積為至少百分之二十之連續狀細 • 孔。 21. 如申請專利範圍第17項所述之中間產物,其中該等 離子植入區包含碑、棚或填離子。 22. 如申請專利範圍第21項所述之中間產物,其中該等 離子之植入劑量為lxl〇丨4at〇ms/cm3至lxi〇17at〇ms/cm3。 23·如申請專利範圍第21項所述之中間產物,其中該等 離子植入區包含植入至深度自基材表面起算少於5⑽A 之該等離子。 24.如申請專利範圍第21項所述之中間產物,其中該等 離子植入區包含一 p —型摻雜區,該p_型摻雜區鄰近於一 η塑換雜區。 25 25.如申請專利範圍第21項所述之中間產物,其 材包含氧化石夕、碳化石夕、晶態石夕、應變矽、石夕鍺 201034059 或非摻雜複晶矽、摻雜或非摻雜矽晶片、摻雜矽 砷化鎵、氮化鎵、玻璃、藍寶石Ο—)和石 少一者。 中該基 、摻雜 、鍺、 英之至
    ❿ 26
TW98146476A 2009-02-06 2009-12-31 具有覆蓋層的離子佈植基材及方法 TWI469190B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/367,306 US7858503B2 (en) 2009-02-06 2009-02-06 Ion implanted substrate having capping layer and method

Publications (2)

Publication Number Publication Date
TW201034059A true TW201034059A (en) 2010-09-16
TWI469190B TWI469190B (zh) 2015-01-11

Family

ID=42539730

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98146476A TWI469190B (zh) 2009-02-06 2009-12-31 具有覆蓋層的離子佈植基材及方法

Country Status (7)

Country Link
US (2) US7858503B2 (zh)
EP (1) EP2394293A4 (zh)
JP (1) JP2012517698A (zh)
KR (1) KR20110122700A (zh)
CN (1) CN102308371A (zh)
TW (1) TWI469190B (zh)
WO (1) WO2010090693A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI462285B (zh) * 2010-12-30 2014-11-21 Lextar Electronics Corp 半導體結構及其製造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US7977224B2 (en) * 2008-12-03 2011-07-12 The United States Of America As Represented By The Secretary Of The Army Method using multiple layer annealing cap for fabricating group III-nitride semiconductor device structures and devices formed thereby
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
SG10201605310RA (en) * 2009-10-27 2016-08-30 Entegris Inc Ion implantation system and method
JP5238780B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体とその製造方法及び磁気記録装置
KR101929070B1 (ko) * 2011-03-24 2018-12-13 엔테그리스, 아이엔씨. 비소 및 인의 클러스터 이온 주입 방법
US9011968B2 (en) 2011-09-16 2015-04-21 Empire Technology Development Llc Alteration of graphene defects
KR101982903B1 (ko) 2012-02-14 2019-05-27 엔테그리스, 아이엔씨. 주입 용품에서 인 축적을 최소화하기 위한 대체 물질 및 혼합물
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
KR102306410B1 (ko) * 2013-08-16 2021-09-28 엔테그리스, 아이엔씨. 기재내 규소 주입 및 이를 위한 규소 전구체 조성물의 제공
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
CN110085499B (zh) * 2014-09-01 2022-03-04 恩特格里斯公司 利用增强源技术进行磷或砷离子植入
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
CN108962734B (zh) * 2018-06-27 2021-01-01 武汉华星光电半导体显示技术有限公司 一种多晶硅半导体层的制备方法、薄膜晶体管及制备方法
US11127601B2 (en) 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
US20230178373A1 (en) * 2021-12-03 2023-06-08 Applied Materials, Inc. Ion implantation to increase mosfet threshold voltage
US20230420219A1 (en) * 2022-06-27 2023-12-28 Austin Lo Plasma-Enhanced Chemical Vapor Deposition for Structurally-Complex Substrates

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2662452B2 (ja) * 1990-08-04 1997-10-15 住友電気工業株式会社 化合物半導体ウエハの熱処理方法
JP2734344B2 (ja) 1993-08-20 1998-03-30 株式会社デンソー 半導体装置の製造方法
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4382219B2 (ja) * 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6559070B1 (en) 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6841006B2 (en) 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US7431585B2 (en) 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4339563B2 (ja) 2002-09-18 2009-10-07 シャープ株式会社 半導体基板の製造方法およびこの方法を用いた半導体装置の製造方法
EP1636615A4 (en) 2003-05-29 2007-03-14 Applied Materials Inc EMBEDDED WAVEGUIDE DETECTORS
WO2004106987A2 (en) 2003-05-29 2004-12-09 Applied Materials, Inc. Impurity-based waveguide detectors
KR20050035981A (ko) * 2003-10-14 2005-04-20 매그나칩 반도체 유한회사 반도체 소자의 제조 방법
US6936518B2 (en) * 2004-01-21 2005-08-30 Intel Corporation Creating shallow junction transistors
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050227502A1 (en) 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20080194086A1 (en) * 2004-06-04 2008-08-14 Yuichiro Sasaki Method of Introducing Impurity
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7501354B2 (en) 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7365378B2 (en) * 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
JP2009508323A (ja) * 2005-06-27 2009-02-26 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 浅溝を形成するための方法
US7045437B1 (en) 2005-06-27 2006-05-16 The Regents Of The University Of California Method for fabricating shallow trenches
US20070254491A1 (en) 2006-04-29 2007-11-01 Applied Materials, Inc. Protective layer for a low k dielectric film and methods of forming the same
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
JP5134223B2 (ja) * 2006-09-06 2013-01-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7410916B2 (en) 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
JP5383501B2 (ja) * 2006-12-18 2014-01-08 アプライド マテリアルズ インコーポレイテッド 低エネルギーの高用量ヒ素、リン、ホウ素注入ウエハの安全な取り扱い
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
WO2009045964A1 (en) 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
US20100087062A1 (en) * 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI462285B (zh) * 2010-12-30 2014-11-21 Lextar Electronics Corp 半導體結構及其製造方法

Also Published As

Publication number Publication date
EP2394293A2 (en) 2011-12-14
JP2012517698A (ja) 2012-08-02
KR20110122700A (ko) 2011-11-10
US20110092058A1 (en) 2011-04-21
WO2010090693A3 (en) 2010-10-14
US8198180B2 (en) 2012-06-12
US20100200954A1 (en) 2010-08-12
TWI469190B (zh) 2015-01-11
CN102308371A (zh) 2012-01-04
EP2394293A4 (en) 2012-12-12
WO2010090693A2 (en) 2010-08-12
US7858503B2 (en) 2010-12-28

Similar Documents

Publication Publication Date Title
TW201034059A (en) Ion implanted substrate having capping layer and method
US8501605B2 (en) Methods and apparatus for conformal doping
US7989329B2 (en) Removal of surface dopants from a substrate
JP4387355B2 (ja) 不純物導入方法
JP3937892B2 (ja) 薄膜形成方法および半導体装置の製造方法
CN107430992B (zh) 用于膜沉积的脉冲化等离子体
TW201041052A (en) Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP2012517698A5 (zh)
TWI538052B (zh) 調整半導體元件中的閾值電壓之方法
US8288257B2 (en) Doping profile modification in P3I process
US9478437B2 (en) Methods for repairing low-k dielectrics using carbon plasma immersion
US20130023104A1 (en) Method for manufacturing semiconductor device
JP5558480B2 (ja) P3iチャンバにおける共形ドープの改善
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process
US20120289036A1 (en) Surface dose retention of dopants by pre-amorphization and post implant passivation treatments
TW201349358A (zh) 用於佈植摻雜材料的方法與設備

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees