TW200948954A - Cleaning liquid for semiconductor device and cleaning method - Google Patents

Cleaning liquid for semiconductor device and cleaning method Download PDF

Info

Publication number
TW200948954A
TW200948954A TW98108702A TW98108702A TW200948954A TW 200948954 A TW200948954 A TW 200948954A TW 98108702 A TW98108702 A TW 98108702A TW 98108702 A TW98108702 A TW 98108702A TW 200948954 A TW200948954 A TW 200948954A
Authority
TW
Taiwan
Prior art keywords
semiconductor device
cleaning
surfactant
group
cleaning solution
Prior art date
Application number
TW98108702A
Other languages
Chinese (zh)
Other versions
TWI441919B (en
Inventor
Katsuyuki Nukui
Hiroyuki Seki
Tadashi Inaba
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of TW200948954A publication Critical patent/TW200948954A/en
Application granted granted Critical
Publication of TWI441919B publication Critical patent/TWI441919B/en

Links

Landscapes

  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The present invention provides a cleaning liquid for a semiconductor device, which is used in removing adhesives, such as photoresist, etching residues, an anti-reflection film and ashing residues, and so on, on the surface of being cleaning matter, with low environmental loads, and no corrosion of layer insulating film, and provides method of cleaning semiconductor using the cleaning liquid. The cleaning liquid for semiconductor device with pH 10 to 14 comprises an oxidant, a metal etchant, and a surfactant.

Description

200948954 六、發明說明: 【發明所屬之技術領域】 本發明係關於半導體裝置之洗淨液及洗淨方法。詳而 言之,本發明係關於半導體裝置之製程,特別是在半導體 製造的全部步驟中,除去存在於基板上之光阻、蝕刻殘留 物、抗反射膜、及灰化殘留物等之洗淨液,以及關於使用 該洗淨液之半導體之洗淨方法。 【先前技術】 0 CCD、記憶體等之半導體裝置係使用光微影技術,在 基板上形成微細的電子電路圖案而製造。具體而言,其係 在成爲形成於基板上的配線材料之金屬膜(例如:銅)、層 間絕緣膜、抗反射膜等的積層膜上塗布光阻膜,並經光微 影步驟·乾式蝕刻步驟而製造。對光微影步驟後所殘留的光 阻或抗反射膜施以乾式灰化處理。隨後,以乾式蝕刻步驟 及乾式灰化步驟生產,並藉由洗淨液一般地進行除去殘存 於配線材料或層間絕緣膜材料上之殘留物(蝕刻殘留物、灰 ® 化殘留物)之處理。 近年來,伴隨著半導體裝置的高性能化,而需要更微 細化及製造的高速化,其中尤以半導體裝置的製造過程中 的洗淨時間之縮短、洗淨技術的提升爲重要課題。例如, 爲了半導體裝置的性能提升係使用由低介電常數(Low-k) 原料所形成的層間絕緣膜。因爲低介電常數原料的k値低 下而含有多孔(孔)的情況,在洗淨步驟時會因爲孔的存 在,故洗淨液與低介電常數原料的接觸面積變大,在洗淨 200948954 步驟中有因爲洗淨液而使低介電常數原料被蝕刻。此使裝 置配線的形狀受到改變,裝置性能因而不佳。 此外,伴隨著半導體裝置的細線化及高性能化,爲了 減輕對配線材料、特別是由低介電常數原料所形成的層間 絕緣膜之製程中的損害,近年來持續地朝以不進行乾式灰 化步驟’或進行程度輕的乾式灰化步驟之製程改變。因此, 迫切期望能有不進行乾式灰化步驟而可充分地除去光阻或 抗反射膜之洗淨液。 © 迄今爲止,作爲除去上述光阻、抗反射膜、触刻殘留 物、灰化殘留物等的半導體裝置之洗淨液,例如有提案由 院醇胺及有機溶劑的混合系所形成的洗淨液(參照專利文 獻1及2)。此外,專利文獻3係揭示由烷醇胺、羥胺、兒 茶酚及水所形成的洗淨液。 又,專利文獻4係揭示由醇系溶劑、鹵素系溶劑、有 機4級銨鹽所形成的洗淨液。 又,專利文獻5係揭示由水、氫氧化四甲銨、過氧化 ® 氫、非離子性界面活性劑所形成的洗淨液。 又,專利文獻6係揭示由氫氧化鈉或氫氧化鉀、水溶 性有機溶劑、9族或11族金屬的腐蝕防止劑所形成的洗淨 液。 【專利文獻1】 特開昭62-49355號公報 【專利文獻2】 特開昭64-42653號公報 【專利文獻3】 特開平4-289866號公報 【專利文獻4】 美國專利第5 1 85235號說明書 200948954 【專利文獻5】 特開平7-297158號公報 【專利文獻6】 特開2007- 1 1 9783號公報 【發明内容】 〔發明所欲解決之課題〕 然而,本發明人等對上述洗淨液進行檢討時,發現了 有以下的問題。 (1)記載於專利文獻1〜3中之洗淨液對抗反射膜,例 如:有機矽氧烷系抗反射膜的除去性並不充分。 〇 (2)記載於專利文獻4中之洗淨液對光阻或抗反射膜 (例如:有機矽氧烷系抗反射膜)的除去性並不充分。 (3) 記載於專利文獻5中之洗淨液爲了進行對光阻或抗 反射膜(例如:有機矽氧烷系抗反射膜)的除去,因此需要 在高溫下,並且需要長時間,而意欲透過裝置製造提高生 產量來說,並談不上是充分的。 (4) 記載於專利文獻6中之洗淨液可在較短的時間得到 洗淨性能,但是所使用的溫度談不上是足夠低溫,而且需 W 要的時間也談不上是短時間。另,因爲作爲腐蝕防止劑而 使用的苯并***類之低生物分解性、高環境負荷,因此有 廢液處理等的環境設備需要龐大花費的問題存在。 習知的洗淨液對於光阻、蝕刻殘留物、抗反射膜、或 灰化殘留物等的去除性來說談不上充分的。而且,即使可 去除,也有需要長時間的洗淨處理,來完成被含於半導體 裝置中的低介電常數原料之蝕刻;或使用含有雜環的金屬 腐蝕防止劑之使用等的高環境負荷等問題的存在。 200948954 因此,本發明之課題在於提供一種低環境負荷、不腐 蝕層間絕緣膜,且可除去在洗淨對象物表面上的光阻、蝕 刻殘留物、抗反射膜、及灰化殘留物等之附著物的半導體 裝置用洗淨液,以及提供一種使用該洗淨液的半導體裝置 用洗淨方法。 〔解決問題之技術方法〕 本發明者們專心進行檢討的結果,上述課題藉由下述 的&lt;1&gt;~&lt;12&gt;之構成得到解決。 ❹ &lt;1&gt;一種半導體裝置用洗淨液,其含有氧化劑、金屬蝕刻 劑、及界面活性劑,且pH爲10〜14 » &lt;2&gt;如&lt;1&gt;之半導體裝置用洗淨液,其中該氧化劑爲過氧化 氫。 &lt;3&gt;如&lt;1&gt;之半導體裝置用洗淨液,其中該金屬蝕刻劑爲胺 系化合物。 &lt;4&gt;如&lt;3 &gt;之半導體裝置用洗淨液,其中該胺系化合物係選 自於由乙胺、伸乙二胺、二伸乙三胺、三伸乙四胺、四 ® 伸乙五胺及彼等之衍生物所構成之群組中之至少一種。 &lt;5&gt;如&lt;1&gt;~&lt;4&gt;中任一項之半導體裝置用洗淨液,其進—步 含無機鹸化合物或以一般式(1)所表示的4級銨氫氧化 200948954 一般式(1)200948954 VI. Description of the Invention: [Technical Field of the Invention] The present invention relates to a cleaning solution and a cleaning method for a semiconductor device. More specifically, the present invention relates to a process for a semiconductor device, particularly in all steps of semiconductor fabrication, in which the photoresist, etching residue, anti-reflective film, and ash residue remaining on the substrate are removed. A liquid, and a cleaning method for a semiconductor using the cleaning liquid. [Prior Art] A semiconductor device such as a CCD or a memory is manufactured by forming a fine electronic circuit pattern on a substrate by using a photolithography technique. Specifically, the photoresist film is coated on a laminated film of a metal film (for example, copper), an interlayer insulating film, an antireflection film, or the like which is a wiring material formed on a substrate, and is subjected to a photolithography step and dry etching. The steps are made. The photoresist or antireflection film remaining after the photolithography step is subjected to dry ashing treatment. Subsequently, it is produced by a dry etching step and a dry ashing step, and the residue (etch residue, ash residue) remaining on the wiring material or the interlayer insulating film material is generally removed by the cleaning liquid. In recent years, with the increase in the performance of semiconductor devices, there is a need for further miniaturization and high speed of manufacturing. Among them, the cleaning time in the manufacturing process of semiconductor devices is shortened, and the cleaning technology is an important issue. For example, for the performance improvement of a semiconductor device, an interlayer insulating film formed of a low dielectric constant (Low-k) material is used. Since the low dielectric constant raw material has a low k値 and contains a porous (pore), the contact area of the cleaning liquid and the low dielectric constant raw material becomes large in the cleaning step due to the presence of the pores, and the cleaning is performed in 200948954. In the step, the low dielectric constant material is etched because of the cleaning liquid. This causes the shape of the device wiring to be changed, and the performance of the device is thus poor. In addition, in order to reduce the damage to the wiring material, particularly the interlayer insulating film formed of the low dielectric constant material, the thinning and high performance of the semiconductor device have been continued in recent years. The process step' or the process of making a light dry ashing step is changed. Therefore, it is highly desirable to have a cleaning liquid which can sufficiently remove the photoresist or the antireflection film without performing the dry ashing step. © As a cleaning solution for a semiconductor device that removes the above-mentioned photoresist, antireflection film, etch residue, ash residue, etc., for example, it is proposed to be washed by a mixed system of a hospital alcohol amine and an organic solvent. Liquid (refer to Patent Documents 1 and 2). Further, Patent Document 3 discloses a cleaning solution comprising an alkanolamine, hydroxylamine, catechol and water. Further, Patent Document 4 discloses a cleaning liquid comprising an alcohol-based solvent, a halogen-based solvent, and an organic 4-grade ammonium salt. Further, Patent Document 5 discloses a cleaning liquid comprising water, tetramethylammonium hydroxide, hydrogen peroxide, and a nonionic surfactant. Further, Patent Document 6 discloses a cleaning liquid formed of an anticorrosive agent of sodium hydroxide or potassium hydroxide, a water-soluble organic solvent, or a Group 9 or Group 11 metal. [Patent Document 1] Japanese Laid-Open Patent Publication No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. [Patent Document 5] Japanese Laid-Open Patent Publication No. Hei. No. Hei. When the liquid was reviewed, the following problems were found. (1) The anti-reflection film of the cleaning liquid described in Patent Documents 1 to 3, for example, the removal property of the organic siloxane-based anti-reflection film is not sufficient. (2) The cleaning liquid described in Patent Document 4 is insufficient in the removability of a photoresist or an antireflection film (for example, an organic siloxane-based antireflection film). (3) The cleaning liquid described in Patent Document 5 is required to be removed at a high temperature in order to remove a photoresist or an anti-reflection film (for example, an organic siloxane-based anti-reflection film), and it is intended to be long. It is not enough to say that it is not enough to increase production through device manufacturing. (4) The cleaning liquid described in Patent Document 6 can obtain the cleaning performance in a short period of time, but the temperature used is not sufficiently low, and the time required for the time is not short. Further, since the benzotriazole used as the corrosion preventing agent has low biodegradability and high environmental load, there is a problem that an environmental facility such as a waste liquid treatment is expensive. The conventional cleaning solution is not sufficient for the removability of photoresist, etching residue, antireflection film, or ash residue. Further, even if it is removable, it is necessary to perform a long-time cleaning process to complete the etching of the low dielectric constant raw material contained in the semiconductor device, or to use a high environmental load such as the use of a metal corrosion preventing agent containing a hetero ring. The problem exists. 200948954 Therefore, an object of the present invention is to provide a low environmental load, which does not corrode an interlayer insulating film, and which can remove the adhesion of photoresist, etching residue, antireflection film, and ash residue on the surface of the object to be cleaned. A cleaning solution for a semiconductor device and a cleaning method for a semiconductor device using the cleaning solution. [Technical method for solving the problem] As a result of the review by the inventors, the above problem is solved by the following configuration of &lt;1&gt;~&lt;12&gt;. ❹ <1> A cleaning liquid for a semiconductor device comprising an oxidizing agent, a metal etchant, and a surfactant, and having a pH of 10 to 14 (&lt;2&gt;&gt; Wherein the oxidizing agent is hydrogen peroxide. &lt;3&gt; The cleaning solution for a semiconductor device according to <1>, wherein the metal etchant is an amine compound. &lt;4&gt; The cleaning solution for a semiconductor device according to <3>, wherein the amine compound is selected from the group consisting of ethylamine, ethylenediamine, diethylenetriamine, triethylenetetramine, tetra® At least one of the group consisting of acetaminophen and derivatives thereof. The cleaning solution for a semiconductor device according to any one of <1> to <4>, which further comprises an inorganic cerium compound or a 4-grade ammonium hydroxide represented by the general formula (1) 200948954 General formula (1)

Ri R2——N—R4 r3 OH' 其中一般式(1)中RpR4各自獨立地表示碳數爲l~6的烷 基、碳數爲1〜6的羥烷基、苄基、或芳基。 &lt;6&gt;如&lt;5&gt;之半導體裝置用洗淨液,其中該無機鹼化合物係 〇 選自於由氫氧化鋰、氫氧化鈉、氫氧化鉀、氫氧化鉚、 及氫氧化铯所構成之群組中之至少一種。 &lt;7&gt;如&lt;1&gt;~&lt;6&gt;中任一項之半導體裝置用洗淨液,該界面活 性劑係陽離子界面活性劑或非離子性界面活性劑。 &lt;8&gt;如&lt;7&gt;之半導體裝置用洗淨液,其中該陽離子界面活性 劑係選自於由4級銨鹽系界面活性劑,及烷吡啶鎗系界 面活性劑所構成之群組中之至少一種。 &lt;9&gt;如&lt;7&gt;之半導體裝置用洗淨液,其中該非離子性界面活 〇 性劑係選自於由聚環氧丙烷聚環氧乙烷系界面活性 劑、聚環氧烷二苯乙烯化苯基醚系界面活性劑、聚環氧 烷烷基苯基醚系界面活性劑、聚環氧烷三苄基苯基醚系 界面活性劑、乙炔聚環氧烷系界面活性劑、及聚環氧烷 烷基醚系界面活性劑所構成之群組中之至少一種。 &lt;1〇&gt;—種半導體裝置之洗淨方法,其係使用中任一 項之半導體裝置用洗淨液洗淨半導體裝置。 &lt;11 &gt;—種半導體裝置之洗淨方法,其特徵爲在乾式蝕刻步 驟後,實施使用&lt;1&gt;~&lt;9&gt;中任一項之半導體裝置用洗淨 200948954 液洗淨半導體裝置之洗淨步驟。 &lt;12&gt;如&lt;10&gt;或&lt;11&gt;之半導體裝置之洗淨方法,其中該半導 體裝置爲含有介電常數k爲3.0以下的層間絕緣膜之半 導體裝置。 發明效果 依照本發明係可以低環境負荷、不腐蝕層間絕緣膜地 進行除去在洗淨對象物表面上的光阻、蝕刻殘留物、抗反 射膜、及灰化殘留物等之附著物。而且,本發明的半導體 〇 裝置之洗淨液(以下也有簡稱「洗淨液」),即使不含雜環 之金屬腐蝕抑制劑也有去除附著物的可能。 【實施方式】 實施發明之最佳形態 在本發明中所謂的蝕刻殘留物係指進行蝕刻時生成的 副產物,來自光阻的有機殘留物、含矽殘留物、及含有金 屬之殘留物。再者,本發明中所謂的灰化殘留物係指進行 灰化時生成的副產物,來自光阻的有機殘留物、含矽殘留 ® 物、及含有金屬之殘留物。 關於本發明的洗淨液爲半導體裝置製造時所使用的洗 淨液,其係含氧化劑、金屬蝕刻劑、及界面活性劑,且爲 pH爲1 0~ 1 4的洗淨液。以下爲說明關於所有的具體態樣。 &lt;氧化劑&gt; 本發明的洗淨液係含有氧化劑。此處的氧化劑若具有 氧化作用的化合物爲佳。尤其,殘留物的除去性及對於絕 緣膜等的腐蝕性兩者更加優異的觀點來說,較佳爲選自於 .200948954 由過氧化氫、過硫酸銨、鹽酸、亞氯酸、次氯酸、過氯酸、 高錳酸、碘酸、次碘酸、過碘酸、及原過碘酸所形成的群 組中至少一個化合物。本發明中,特别是,過氧化氫或原 過碘酸爲更佳。氧化劑係可以單獨或兩種以上的組合來使 用。 相對於洗淨液全部的質量,氧化劑的含有量較佳爲 0.01~10質量%,更佳爲0.01〜5質量%。若氧化劑的含量 在上述的數値範圍內,則適合被含於半導體裝置中之金屬 Ο 原料之蝕刻,因此爲較佳。 &lt;金屬触刻劑&gt; 本發明的洗淨液係含有金屬蝕刻劑。所謂的金靥蝕刻 劑係指藉由添加於洗淨組成液中,而能夠蝕刻金屬、氮化 金屬、或合金之化合物。具體來說,可列舉胺系化合物、 無機酸、有機酸、磺酸系化合物、含雜環之化合物、磺酸 系化合物等,從能選擇性地蝕刻銅的觀點來看,以本發明 中之胺系化合物的使用爲較佳。 〇 作爲胺系化合物可列舉,例如:氨或、伸乙二胺、二 伸乙三胺、三伸乙四胺、四伸乙五胺等的含有複數N原子 之胺化合物、甲胺、乙胺等的烷基胺(烷基鏈的碳數1~16 爲較佳、1~8爲更佳)、二甲基胺、二乙基胺等的二烷基胺(各 烷基鏈的碳數1~16爲較佳、1~8爲更佳)、三甲基胺、三乙 基胺等的三烷基胺(烷基鏈的碳數1~16爲較佳、1~8爲更 佳)、單乙醇胺、二乙醇胺等的醇胺化合物。從能選擇性地 蝕刻銅的観點來看,其中尤以乙胺、伸乙二胺、二伸乙三 -10- .200948954 胺、三伸乙四胺、四伸乙五胺爲佳,伸乙二胺、二伸乙三 胺爲特佳。 相對於洗淨液的全部質量而言,金屬蝕刻劑含有量相 對於洗淨液的全質量較佳爲0.0000 1 - 1 0質量%,更佳爲 0.00001〜5質量%。若金屬蝕刻劑的含量在上述的數値範圍 內,則可適度地調節被含於半導體裝置中之金屬的蝕刻, 因.此爲較佳。 &lt;界面活性劑&gt; 〇 本發明之洗淨液係含有界面活性劑。作爲界面活性劑 可使用非離子性、陰離子、陽離子性界面活性劑、及兩性 界面活性劑。 作爲本發明所使用的界面活性劑,以添加方式調整洗 淨液的黏度,從可改良洗淨對象物的濕潤性的觀點,及殘 留物的除去性及對基板或絕緣膜等之腐蝕性兩者的較優異 的觀點來看,較佳爲可使用非離子性界面活性劑。非離子 性界面活性劑可使用,例如:聚環氧烷烷基苯基醚系界面 〇 活性劑、聚環氧烷烷基醚系界面活性劑、聚環氧乙烷及聚 環氧丙烷所形成之嵌段聚物系界面活性劑、聚環氧烷二苯 乙烯化苯基醚系界面活性劑、聚伸烷三苄基苯基醚系界面 活性劑、乙炔聚環氧烷系界面活性劑等。 其中較佳爲選自聚環氧烷(以下稱爲PAO)烷基醚系界 面活性劑中之PAO癸基醚、PAO月桂基醚、PAO三癸基醚' PAO伸烷癸基醚、PAO山梨醇酐單月桂酸酯、PAO山梨醇 酐單油酸酯、PAO山梨醇酐單硬脂酸酯、四油酸聚環氧乙 -11 - .200948954 烷山梨糖醇、PAO烷基胺、PAO伸乙炔二醇的聚環氧烷烷 基醚系界面活性劑。作爲聚環氧烷以聚環氧乙烷、聚環氧 丙院、或聚環氧丁院之聚合物爲佳。作爲其他較適當的例 子可列舉:聚環氧丙烷聚環氧乙烷系界面活性劑、聚環氧 烷二苯乙烯化苯基醚系界面活性劑、聚環氧烷烷基苯基醚 系界面活性劑、聚環氧烷三苄基苯基醚系界面活性劑、乙 炔聚環氧烷系界面活性劑、聚環氧烷烷基醚系界面活性劑 等。 © 另外,作爲本發明所使用的界面活性劑,以添加方式 調整洗淨液的黏度,從可改良洗淨對象物的濕潤性的觀 點,及殘留物的除去性及對基板或絕緣膜等之腐蝕性兩者 的較優異的観點來看,較佳亦可使用陽離子性界面活性 劑。作爲陽離子性界面活性劑較佳爲4級銨鹽系界面活性 劑、或烷基吡啶系界面活性劑。 作爲4級銨鹽系界面活性劑以下述一般式(2)表示的化 合物爲佳。Ri R2 - N - R4 r3 OH' wherein RpR4 in the general formula (1) each independently represents an alkyl group having 1 to 6 carbon atoms, a hydroxyalkyl group having 1 to 6 carbon atoms, a benzyl group or an aryl group. <6> The cleaning solution for a semiconductor device according to <5>, wherein the inorganic base compound is selected from the group consisting of lithium hydroxide, sodium hydroxide, potassium hydroxide, ruthenium hydroxide, and barium hydroxide. At least one of the groups. The cleaning solution for a semiconductor device according to any one of <1>, wherein the surfactant is a cationic surfactant or a nonionic surfactant. &lt;8&gt; The cleaning solution for a semiconductor device according to <7>, wherein the cationic surfactant is selected from the group consisting of a 4-grade ammonium salt-based surfactant and an alkylpyridine gun-based surfactant. At least one of them. <9> The cleaning solution for a semiconductor device according to <7>, wherein the nonionic interface active agent is selected from the group consisting of a polypropylene oxide polyethylene oxide surfactant and a polyalkylene oxide a styrenated phenyl ether-based surfactant, a polyalkylene oxide alkylphenyl ether surfactant, a polyalkylene oxide tribenzyl phenyl ether surfactant, an acetylene polyalkylene oxide surfactant, And at least one of the group consisting of polyalkylene oxide alkyl ether surfactants. &lt;1〇&gt; A method of cleaning a semiconductor device, which is used for cleaning a semiconductor device with a cleaning solution for a semiconductor device according to any one of the items. &lt;11&gt; A method of cleaning a semiconductor device, which is characterized in that after the dry etching step, the semiconductor device is cleaned using the cleaning device 200948954 liquid according to any one of &lt;1&gt; to &lt;9&gt; Washing steps. &lt;12&gt; The method of cleaning a semiconductor device according to <10> or <11>, wherein the semiconductor device is a semiconductor device comprising an interlayer insulating film having a dielectric constant k of 3.0 or less. Advantageous Effects of Invention According to the present invention, it is possible to remove deposits such as photoresist, etching residue, anti-reflection film, and ash residue on the surface of the object to be cleaned with low environmental load and without etching the interlayer insulating film. Further, the cleaning liquid of the semiconductor crucible device of the present invention (hereinafter also referred to as "cleaning liquid" for short) may have a possibility of removing adhering substances even if the metal corrosion inhibitor is not contained. BEST MODE FOR CARRYING OUT THE INVENTION The etching residue in the present invention refers to a by-product formed during etching, an organic residue derived from a photoresist, a residue containing ruthenium, and a residue containing a metal. Further, the so-called ashing residue in the present invention means a by-product formed during ashing, an organic residue derived from a photoresist, a residue containing ruthenium, and a residue containing a metal. The cleaning liquid of the present invention is a cleaning liquid used in the production of a semiconductor device, and is an aqueous solution containing an oxidizing agent, a metal etchant, and a surfactant, and having a pH of 10 to 14. The following is a description of all the specific aspects. &lt;Oxidant&gt; The cleaning liquid of the present invention contains an oxidizing agent. The oxidizing agent herein is preferably a compound having an oxidizing effect. In particular, from the viewpoint of further improving the removability of the residue and the corrosiveness of the insulating film or the like, it is preferably selected from the group consisting of hydrogen peroxide, ammonium persulfate, hydrochloric acid, chlorous acid, and hypochlorous acid. At least one compound of the group formed by perchloric acid, permanganic acid, iodic acid, hypoiodous acid, periodic acid, and properiodate. In the present invention, in particular, hydrogen peroxide or properiodic acid is more preferable. The oxidizing agents may be used singly or in combination of two or more. The content of the oxidizing agent is preferably 0.01 to 10% by mass, more preferably 0.01 to 5% by mass based on the total mass of the cleaning liquid. If the content of the oxidizing agent is within the above range, it is preferably etched by the metal ruthenium raw material contained in the semiconductor device, which is preferable. &lt;Metal contact agent&gt; The cleaning liquid of the present invention contains a metal etchant. The metal etchant refers to a compound capable of etching a metal, a metal nitride, or an alloy by being added to the cleaning composition liquid. Specific examples thereof include an amine compound, an inorganic acid, an organic acid, a sulfonic acid compound, a heterocyclic ring-containing compound, a sulfonic acid compound, and the like, and from the viewpoint of selectively etching copper, the present invention The use of an amine compound is preferred. The hydrazine compound may, for example, be an amine compound containing a plurality of N atoms, methylamine or ethylamine, such as ammonia or ethylenediamine, diethylenetriamine, triethylenetetramine, tetraethyleneamine or the like. Alkylamines (e.g., preferably having 1 to 16 carbon atoms in the alkyl chain, more preferably 1 to 8), dialkylamines such as dimethylamine and diethylamine (carbon number of each alkyl chain) a trialkylamine such as 1~16 is preferred, 1-8 is more preferred, trimethylamine or triethylamine (the alkyl chain has a carbon number of 1 to 16 is preferred, and 1 to 8 is more preferred). An alcohol amine compound such as monoethanolamine or diethanolamine. From the point of view of selectively etching copper, among them, ethylamine, ethylenediamine, diamethylene-3--10-.200948954 amine, triamethylenetetramine, tetraamethyleneamine are preferred. Ethylenediamine and diethylenetriamine are particularly preferred. The metal etchant content is preferably 0.0000 1 - 10% by mass, and more preferably 0.00001 to 5% by mass, based on the total mass of the cleaning liquid. If the content of the metal etchant is within the above range, the etching of the metal contained in the semiconductor device can be appropriately adjusted, which is preferable. &lt;Intermediate Active Agent&gt; 洗 The washing liquid of the present invention contains a surfactant. As the surfactant, a nonionic, anionic, cationic surfactant, and an amphoteric surfactant can be used. The surfactant used in the present invention adjusts the viscosity of the cleaning liquid by the addition method, and improves the wettability of the object to be cleaned, the removability of the residue, and the corrosiveness to the substrate or the insulating film. From a more excellent point of view, it is preferred to use a nonionic surfactant. A nonionic surfactant can be used, for example, a polyalkylene oxide alkylphenyl ether interfacial surfactant, a polyalkylene oxide alkyl surfactant, polyethylene oxide, and polypropylene oxide. a block polymer-based surfactant, a polyalkylene oxide distyrenated phenyl ether-based surfactant, a polyalkylene tribenzyl phenyl ether-based surfactant, an acetylene-polyalkylene-based surfactant, and the like . Among them, preferred are PAO mercapto ether, PAO lauryl ether, PAO tridecyl ether 'PAO alkylene ether, PAO Yamanashi selected from polyalkylene oxide (hereinafter referred to as PAO) alkyl ether surfactant. Alcoholic anhydride monolaurate, PAO sorbitan monooleate, PAO sorbitan monostearate, tetraoleic acid polyepoxyethylene-11 - 200948954 alkyl sorbitol, PAO alkylamine, PAO extension A polyalkylene oxide alkyl ether surfactant of acetylene glycol. As the polyalkylene oxide, a polymer of polyethylene oxide, polyepoxypropylene or polyepoxybutylene is preferred. Other suitable examples include a polypropylene oxide polyethylene oxide surfactant, a polyalkylene oxide distyrenated phenyl ether surfactant, and a polyalkylene oxide alkylphenyl ether interface. An active agent, a polyalkylene oxide tribenzyl phenyl ether type surfactant, an acetylene polyalkylene oxide type surfactant, a polyalkylene oxide alkyl type surfactant, etc. In addition, as the surfactant to be used in the present invention, the viscosity of the cleaning liquid is adjusted by the addition method, and the wettability of the object to be cleaned, the removability of the residue, and the like of the substrate or the insulating film are improved. A cationic surfactant is preferably used in view of the superiority of both of the corrosive properties. The cationic surfactant is preferably a 4-grade ammonium salt-based surfactant or an alkylpyridine-based surfactant. As the 4-grade ammonium salt-based surfactant, a compound represented by the following general formula (2) is preferred.

Rs N Re r7 X· (―般式(2)中、X表示氫氧化物離子、氯離子、溴離子、 或硝酸離子。115表示碳數8〜18的烷基。R*及Rv表示各自 獨立地碳數8~18之烷基、芳基、碳數1~8之羥烷基、或苄 -12- .200948954 基。R8表示碳數卜3之烷基。) 一般式(2)中,X·表示相對陰離子,具體而言,係表示 氫氧化物離子、氯離子、溴離子、或硝酸離子。 一般式(2)中,Rs表示碳數8~18的烷基(較佳爲碳數 12〜18,例如:十六烷基、硬脂基等)。 R6及R7表示各自獨立地碳數1~18之烷基、碳數1~8 之羥烷基(例如:羥乙基等)、芳基(例如:苯基等)、或苄基。 一般式(2)中,R*表示碳數1~3之烷基(例如:甲基、乙 〇 *等)。 作爲一般式(2)所表示的化合物之具體例可列舉氯化 十六烷基三甲銨、氯化二月桂基二甲銨、氯化十三烷基甲 銨、氯化硬脂基苄基二甲銨等。此化合物之相對陰離子並 不限定爲氯離子,亦可爲溴離子或氫氧化物離子。 另外,作爲烷基吡啶鎗系界面活性劑,具體而言,可 列舉氯化十六烷基吡啶鑰等。此化合物之相對陰離子並不 ' 限定爲氯離子,亦可爲溴離子或氫氧化物離子。 φ 相對於洗淨液之總量而言,洗淨液中的界面活性劑之 含量較佳爲0.0001~5質量%,更佳爲0.0001~1質量^^將 界面活性劑添加到洗淨液中,以調整洗淨液的黏度,可改 良洗淨對象物的濕潤性,因此爲較佳,另外,對基板或絕 緣膜等之腐蝕性較優異的觀點來看爲較佳。一般而言,這 樣的界面活性劑可由商業上獲得。這些界面活性劑可單獨 或組合複數種來使用。 &lt; pH &gt; 本發明之洗淨液的pH爲10〜14,進一步較佳爲11〜 -13- 200948954 14。pH爲上述數値範圍內時,可充分除去光阻、抗反射膜、 蝕刻殘留物、及灰化殘留物,因此較佳。作爲pH的測定方 法,可使用習知的pH値測定器來測定。 本發明之洗淨液爲使成爲上述之pH,較佳爲含有鹼性 化合物。所謂的鹼性化合物係指使其含於水溶液中可使水 溶液呈現出鹼性的化合物。具體而言,可列舉:由一對鹼 金屬元素及氫氧基形成的鹼金屬氫氧化物等的無機鹼化合 物,或一般式(1)所表示的4級銨氫氧化物。 ❹Rs N Re r7 X· (In the general formula (2), X represents a hydroxide ion, a chloride ion, a bromide ion, or a nitrate ion. 115 represents an alkyl group having a carbon number of 8 to 18. R* and Rv represent independent An alkyl group having 8 to 18 carbon atoms, an aryl group, a hydroxyalkyl group having 1 to 8 carbon atoms, or a benzyl-12-.200948954 group. R8 represents an alkyl group having a carbon number of 3. In the general formula (2), X· represents a relative anion, and specifically represents a hydroxide ion, a chloride ion, a bromide ion, or a nitrate ion. In the general formula (2), Rs represents an alkyl group having 8 to 18 carbon atoms (preferably having a carbon number of 12 to 18, for example, a hexadecyl group or a stearyl group). R6 and R7 each independently represent an alkyl group having 1 to 18 carbon atoms, a hydroxyalkyl group having 1 to 8 carbon atoms (e.g., hydroxyethyl group), an aryl group (e.g., phenyl group, etc.), or a benzyl group. In the general formula (2), R* represents an alkyl group having 1 to 3 carbon atoms (e.g., methyl group, ethyl group, etc.). Specific examples of the compound represented by the general formula (2) include cetyltrimethylammonium chloride, dilauryldimethylammonium chloride, tridecylmethylammonium chloride, and stearylbenzyl chloride. Methylammonium and the like. The relative anion of this compound is not limited to chloride ion, and may be a bromide ion or a hydroxide ion. Further, as the alkylpyridine gun-based surfactant, specifically, a cetylpyridinium chloride or the like can be mentioned. The relative anion of this compound is not limited to chloride ions, but may also be bromide or hydroxide ions. φ The content of the surfactant in the cleaning liquid is preferably 0.0001 to 5% by mass, more preferably 0.0001 to 1% by mass based on the total amount of the cleaning liquid. The surfactant is added to the cleaning solution. In order to improve the wettability of the object to be cleaned by adjusting the viscosity of the cleaning liquid, it is preferable, and it is preferable from the viewpoint of excellent corrosion of the substrate or the insulating film. In general, such surfactants are commercially available. These surfactants can be used singly or in combination of plural kinds. &lt;pH &gt; The pH of the washing liquid of the present invention is 10 to 14, more preferably 11 to -13 to 200948954. When the pH is in the above range, the photoresist, the antireflection film, the etching residue, and the ash residue can be sufficiently removed, which is preferable. As a method of measuring pH, it can be measured using a conventional pH 値 measuring device. The cleaning liquid of the present invention preferably contains a basic compound in order to achieve the above pH. The term "basic compound" means a compound which is made to be present in an aqueous solution to render the aqueous solution alkaline. Specific examples thereof include an inorganic alkali compound such as an alkali metal hydroxide formed of a pair of alkali metal elements and a hydroxyl group, or a fourth-order ammonium hydroxide represented by the general formula (1). ❹

無機鹼化合物中尤以鹼金屬氫氧化物爲佳,具體而 言,可選自由氫氧化鋰、氫氧化鈉、氫氧化鉀、氫氧化铷、 及氫氧化鉋所形成之群組中至少一種之鹼金屬氫氧化物爲 佳。其中’從容易取得的觀點而言,較佳爲使用氫氧化鈉、 氫氧化鉀。鹼金屬類之氫氧化化合物或其水合物可單獨或 組合兩種以上使用。 在此,4級銨氫氧化物爲以下述—般式(1)表示之化合 物 —般式(1)The inorganic alkali compound is particularly preferably an alkali metal hydroxide, and specifically, at least one selected from the group consisting of lithium hydroxide, sodium hydroxide, potassium hydroxide, barium hydroxide, and hydroxide. An alkali metal hydroxide is preferred. Among them, sodium hydroxide and potassium hydroxide are preferably used from the viewpoint of easy availability. The alkali metal hydroxide compounds or hydrates thereof may be used singly or in combination of two or more. Here, the 4-stage ammonium hydroxide is a compound represented by the following general formula (1) - (1)

Ri R2——N—R4 r3 OH' (其中一般式(1)中’Ri~R4各自獨立地表示碳數爲1〜6 的院基、碳數爲1~6的羥院基、节基、或芳基。) 一般式(1)中’ RhR4各自獨立地表示碳數爲1〜6的院 -14- 200948954 基(例如:甲基、乙基、丁基等)、碳數爲1〜6的羥烷基(例 如:羥甲基、羥乙基、羥丁基等)、苄基、或芳基(例如: 苯基、萘基等)。其中尤以烷基、羥乙基、苄基爲佳。 具體而言,較佳爲選自由氫氧化四甲銨、氫氧化四乙 銨、氫氧化三甲基羥乙基銨、氫氧化甲基三(羥乙基)銨、 氫氧化四(羥乙基)銨、氫氧化三甲基苄基銨、及膽鹼所形 成的群組中至少一種4級銨氫氧化物;其中,使用本發明 中之氫氧化四甲銨、氫氧化四乙銨、氫氧化三甲基苄基銨、 e 或膽鹸爲更佳。4級銨氫氧化物可單獨或組合2種類以上 而使用。 鹼金屬氫氧化物或4級銨氫氧化物亦可各自2種以上 之組合而使用。 相對於本發明的洗淨液全體之質量,鹸性化合物(無機 鹸化合物、一般式(1)所表示的4級銨氫氧化物等)之含量較 佳爲0.01〜20質量%,更佳爲0.1〜10質量%,進一步更 佳爲0.1〜5質量%。鹼性化合物之含量在上述數値範圍內 ® 時,可充分除去光阻、抗反射膜、蝕刻殘留物、及灰化殘 留物,另外,可抑制或降低以SiOC作爲主體的層間絕緣膜 或矽基板之腐蝕,因此較佳。 &lt;其他&gt; 本發明之洗淨液爲水溶液,相對於洗淨液全體質量而 言,水的含有量較佳爲50〜98質量%。另外本發明之洗淨 液盡可能爲不使用例如苯并***等之含雜環的金靥腐蝕防 止劑爲佳,實質上不含更佳。 -15- 200948954 本發明之洗淨液爲了滿足需要,亦可含有水溶性有機 溶劑、含氟化合物、螯合劑。藉由含有該等可進一步得到 較佳的性能。 &lt;水溶性有機溶劑&gt; 本發明之洗淨液亦可含有水溶性有機溶劑。水溶性有 機溶劑係可列舉,例如:甲醇、乙醇、1-丙醇、2-丙醇、 乙二醇、丙二醇、丙三醇、1,6-己二酮、山梨糖醇、木糖 醇等醇系溶劑;乙二醇單甲醚、二甘醇、二甘醇單甲醚、 © 三乙二醇、聚乙二醇、丙二醇單甲醚等醚系溶劑;甲醯胺、 單甲基甲醯胺、二甲基甲醯胺、乙醯胺、單甲基乙醯胺、 二甲基乙醯胺、單乙基乙醯胺、二乙基乙醯胺、N-甲基吡 咯啶酮等醯胺系溶劑;二甲基楓、二甲基亞碾、環丁楓等 含硫系溶劑、r-丁內酯、(5-戊內酯等內酯系溶劑等。此 等當中較佳爲醇系、醚系、醯胺系、含硫系溶劑,更佳爲 1,6-己二酮、四乙二醇、丙二醇、二丙二醇單甲醚、N-甲 基吡咯啶酮、及二甲基亞颯。水溶性有機溶劑亦可單獨或 @ 適當的組合2種以上而使用。 相對於洗淨液之全重量而言,洗淨液中水溶性有機溶 劑的含量較佳爲使用0〜40質量%的濃度,更佳爲使用〇 〜20質量%的濃度。添加水溶性有機溶劑至洗淨液中,可 促進蝕刻殘留物的除去,因此較佳。 &lt;含氟化合物&gt; 本發明之洗淨液亦可含有含氟化合物。含氟化合物爲 氫氟酸,與氨或有機胺反應生成氟化物鹽。例如可列舉: -16- 200948954 氟化銨、酸性氟化銨、甲胺氟化氫鹽、乙胺氟化物鹽、丙 胺氟化物鹽、氟化四甲銨、氟化四乙銨、乙醇胺氟化氫鹽、 三伸乙二胺氟化氫鹽等。此等亦可1種或組合2種以上而 使用。 相對於洗淨液之全重量而言,洗淨液中的含氟化合物 之含量較佳爲使用0〜10質量%的濃度。添加含氟化合物 至洗淨液中,可促進光阻、抗反射膜、蝕刻殘留物、及灰 化殘留物的除去,因此較佳。 © 〈螯合劑〉 本發明之洗淨液亦可含有螯合劑。作爲螯合劑可列舉 由以下組成的胺基多羧酸鹽群{伸乙二胺四醋酸鹽 (EDTA)、二伸乙三胺五醋酸(DTPA)、羥乙基伸乙二胺三醋 酸鹽(HEDTA)、二羥乙基伸乙二胺四醋酸鹽(DHEDDA)、氮 基酸醋酸鹽(NTA)、羥乙基亞胺基二醋酸鹽(HIDA)、/3 -丙 胺酸二醋酸鹽、天門冬醯胺酸二醋酸鹽、甲基甘胺酸二醋 酸鹽、亞胺基二琥珀酸鹽、絲胺酸二醋酸鹽、羥亞胺基二 ® 琥珀酸鹽、二羥乙基甘胺酸鹽、天門冬醯胺酸鹽、麩胺酸 鹽等}、由以下組成的羥羧酸鹽群{羥醋酸鹽、酒石酸鹽、 檸檬酸鹽、葡萄糖酸鹽等}、由以下組成的環羧酸鹽群(焦 蜜石酸鹽、苯并多羧酸鹽、環戊烷四羧酸鹽等}、由以下組 成的醚羧酸鹽群{羧甲基丙醇二酸鹽、羧甲基氧琥珀酸鹽、 氧二號珀酸鹽、酒石酸單琥珀酸鹽、酒石酸二琥珀酸鹽 等}、由以下組成的其他羧酸鹽群{馬來酸衍生物、草酸鹽 等}、由以下組成的有機羧酸(鹽)聚合物群{丙烯酸聚合物 -17- 200948954 及共聚物(丙烯酸-烯丙醇共聚物、丙烯酸-馬來酸共聚物、 羥丙烯酸聚合物、多糖類-丙烯酸共聚物等)、由以下組成 的多元羧酸聚合物及共聚物群{馬來酸、衣康酸、福馬酸、 四亞甲基-1,2-二羧酸、琥珀酸、天門冬醯胺酸、麩胺酸等 的單體之聚合物及共聚物}、由以下組成的乙醛酸聚合物、 多糖類群{澱粉、纖維素、直鏈澱粉、果膠、羧甲基纖維素 等}、由以下組成的膦酸鹽群{甲基二膦酸鹽、胺基參(亞甲 基膦酸鹽)、亞乙基二膦酸鹽、1-羥亞乙基-1,1-二膦酸鹽、 © 乙胺基雙(亞甲基膦酸鹽)、伸乙二胺雙亞甲基鱗酸鹽、伸 乙二胺四亞甲基膦酸鹽、六亞甲基二胺四亞甲基膦酸、伸 丙基二胺四亞甲基膦酸鹽、二伸乙三胺五亞甲基膦酸鹽、 三伸乙基四胺六亞甲基滕酸鹽、及四伸乙五胺七亞甲基隣 酸鹽等}。此外,作爲該等鹽可列舉銨鹽、烷醇胺(單乙醇 胺、三乙醇胺等)鹽等。該等亦可1種或組合2種以上而使 用。 相對於洗淨液之全重量而言,洗淨液中螯合劑的含量 〇 較佳爲使用0〜10質量%的濃度。將螯合劑添加至洗淨液 中可促進金屬含有殘留物的除去’因此爲較佳。 &lt;洗淨對象物&gt; 本發明中,作爲有洗淨對象物的半導體裝置之材質可 列舉:施加有半導體配線材料(矽、非晶性矽、多晶矽、氧 化矽、氮化矽、銅、鈦、鈦-鎢、氮化鈦、鎢、鉻、鉻氧化 物、鋁等)或施加有化合物半導體等(.鎵-砷、鎵-磷、銦-磷 等)的半導體基板、聚醯亞胺樹脂等印刷基板、LCD等中所 -18 - 200948954 使用的玻璃基板等。本發明之洗淨液可調節含金屬原料的 蝕刻’另一方面不腐蝕以其他矽氧化物爲代表的配線原料 等的材質。 另外,本發明之洗淨液即使對於具有層間絕緣膜的半 導體裝置(例如·半導體裝置基板)也適合使用。作爲層間 絕緣膜較佳爲介電常數k爲3.0以下,更佳爲2.6以下,具 體的層間絕緣膜之材料可列舉SiOC系材料、聚醯亞胺等的 有機系聚合物等。此外,使用本發明之洗淨液的半導體裝 © 置(半導體元件)之具體例可列舉例如:積體電路(1C、LSI) 等的半導體裝置用基板等。此外,作爲半導體裝置基板可 列舉例如:在基材表面上形成金屬配線的單層基板、在其 表面上透過層間絕緣膜等形成配線而構成的多層配線基 板、進一步積層光阻的多層配線基板等。本發明之洗淨液 係不會使該等之材質(配線材料、層間絕緣膜材料等)腐 蝕,也可除去殘留物。 &lt;洗淨方法&gt; ® 本發明之洗淨方法,係具有:調製本發明洗淨液後(洗 淨液調製步驟),使用得到的該洗淨液洗淨半導體裝置,去 除光阻、抗反射膜、蝕刻殘留物、及灰化殘留物之洗淨步 驟,爲其特徵者。Ri R2——N—R4 r3 OH′ (wherein 'Ri~R4 in the general formula (1) each independently represents a courtyard group having a carbon number of 1 to 6, a hydroxyl group having a carbon number of 1 to 6, a nodal group, Or an aryl group.) In the general formula (1), 'Rh4' independently represents a steroid-14-200948954 base having a carbon number of 1 to 6, (for example, methyl, ethyl, butyl, etc.), and a carbon number of 1 to 6 Hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxybutyl, etc.), benzyl, or aryl (e.g., phenyl, naphthyl, etc.). Among them, an alkyl group, a hydroxyethyl group and a benzyl group are preferred. Specifically, it is preferably selected from the group consisting of tetramethylammonium hydroxide, tetraethylammonium hydroxide, trimethylhydroxyethylammonium hydroxide, methyltris(hydroxyethyl)ammonium hydroxide, and tetrakis(hydroxyethyl) hydroxide. At least one 4- tolu-amyl hydroxide in the group formed by ammonium, trimethylbenzylammonium hydroxide, and choline; wherein tetramethylammonium hydroxide, tetraethylammonium hydroxide, hydrogen in the present invention is used. More preferably, trimethylbenzylammonium oxide, e or cholesteric. The fourth-grade ammonium hydroxide may be used singly or in combination of two or more kinds. An alkali metal hydroxide or a quaternary ammonium hydroxide may be used in combination of two or more kinds thereof. The content of the inert compound (inorganic hydrazine compound, the quaternary ammonium hydroxide represented by the general formula (1), etc.) is preferably 0.01 to 20% by mass, more preferably, based on the mass of the entire cleaning liquid of the present invention. 0.1 to 10% by mass, and more preferably 0.1 to 5% by mass. When the content of the basic compound is within the above range of ®, the photoresist, the antireflection film, the etching residue, and the ash residue can be sufficiently removed, and the interlayer insulating film or ruthenium mainly composed of SiOC can be suppressed or reduced. Corrosion of the substrate is therefore preferred. &lt;Others&gt; The cleaning liquid of the present invention is an aqueous solution, and the water content is preferably 50 to 98% by mass based on the total mass of the cleaning liquid. Further, as far as possible, the cleaning liquid of the present invention is preferably a heterocyclic-free metal anticorrosive inhibitor such as benzotriazole, and is substantially not contained. -15- 200948954 The cleaning solution of the present invention may contain a water-soluble organic solvent, a fluorine-containing compound, and a chelating agent in order to satisfy the needs. Further properties can be obtained by including these. &lt;Water-soluble organic solvent&gt; The cleaning liquid of the present invention may also contain a water-soluble organic solvent. Examples of the water-soluble organic solvent include methanol, ethanol, 1-propanol, 2-propanol, ethylene glycol, propylene glycol, glycerin, 1,6-hexanedione, sorbitol, xylitol, and the like. Alcohol solvent; ethylene glycol monomethyl ether, diethylene glycol, diethylene glycol monomethyl ether, © ether solvent such as triethylene glycol, polyethylene glycol, propylene glycol monomethyl ether; formazan, monomethyl Indamine, dimethylformamide, acetamide, monomethylacetamide, dimethylacetamide, monoethylacetamide, diethylacetamide, N-methylpyrrolidone, etc. a guanamine-based solvent; a sulfur-containing solvent such as dimethyl maple, dimethyl argon, or butyl sulphate, r-butyrolactone, a lactone solvent such as 5-valerolactone, etc. An alcohol, an ether, a guanamine or a sulfur-containing solvent, more preferably 1,6-hexanedione, tetraethylene glycol, propylene glycol, dipropylene glycol monomethyl ether, N-methylpyrrolidone, and dimethyl The water-soluble organic solvent may be used alone or in combination of two or more. The content of the water-soluble organic solvent in the cleaning liquid is preferably from 0 to 40 with respect to the total weight of the cleaning liquid. quality% More preferably, the concentration is 〇20% by mass. It is preferred to add a water-soluble organic solvent to the cleaning liquid to promote the removal of the etching residue. <Fluorine Compound> The cleaning liquid of the present invention The fluorine-containing compound may also contain a fluorine-containing compound, which is hydrofluoric acid, and reacts with ammonia or an organic amine to form a fluoride salt. For example, -16-200948954 ammonium fluoride, acidic ammonium fluoride, methylamine hydrogen fluoride, ethylamine Fluoride salt, propylamine fluoride salt, tetramethylammonium fluoride, tetraethylammonium fluoride, ethanolamine hydrogen fluoride salt, triethylene glycol diamine hydrogen fluoride salt, etc. These may be used alone or in combination of two or more. The content of the fluorine-containing compound in the cleaning liquid is preferably from 0 to 10% by mass based on the total weight of the cleaning liquid, and that the fluorine-containing compound is added to the cleaning liquid to promote the photoresist, the anti-reflection film, and The etching residue and the removal of the ash residue are preferable. © <The chelating agent> The cleaning liquid of the present invention may further contain a chelating agent. Examples of the chelating agent include an amine polycarboxylic acid salt group composed of the following { Ethylenediamine tetraacetate (EDTA), Ethylene triamine pentaacetic acid (DTPA), hydroxyethyl ethylenediamine triacetate (HEDTA), dihydroxyethyl ethylenediamine tetraacetate (DHEDDA), nitric acid acetate (NTA), hydroxyethyl Aminodiacetate (HIDA), /3-alanine diacetate, aspartic acid diacetate, methylglycine diacetate, iminodisuccinate, serine diacetate , hydroxyiminodisuccinate, dihydroxyethylglycinate, aspartate, glutamate, etc., a group of hydroxycarboxylates consisting of {hydroxyacetate, tartrate , a citrate salt, a gluconate salt, etc., a cyclic carboxylate group consisting of a pyromellitate, a benzopolycarboxylate, a cyclopentane tetracarboxylate, etc., an ether carboxylate consisting of Acid group {carboxymethyl propanate, carboxymethyl oxysuccinate, oxydicarbonate, tartaric acid monosuccinate, tartaric acid disuccinate, etc.}, other carboxylates consisting of the following Group {maleic acid derivatives, oxalates, etc.}, organic carboxylic acid (salt) polymer group consisting of {acrylic polymer-17-200948954 and copolymer ( An enoic acid-allyl alcohol copolymer, an acrylic acid-maleic acid copolymer, a hydroxy acrylic acid polymer, a polysaccharide-acrylic acid copolymer, etc., a polycarboxylic acid polymer and a copolymer group composed of the following {maleic acid, clothing a polymer and a copolymer of a monomer such as benic acid, fumaric acid, tetramethylene-1,2-dicarboxylic acid, succinic acid, aspartic acid, glutamic acid, etc., glyoxylic acid composed of the following Polymer, polysaccharide group {starch, cellulose, amylose, pectin, carboxymethyl cellulose, etc.}, a phosphonate group consisting of {methyl diphosphonate, amine ginseng (methylene Phosphonate), ethylene diphosphonate, 1-hydroxyethylidene-1,1-diphosphonate, © ethylamine bis(methylene phosphonate), ethylenediamine dimethylene Glycosate, ethylenediaminetetramethylenephosphonate, hexamethylenediaminetetramethylenephosphonic acid, propyldiaminetetramethylenephosphonate, diamethylenetriamine-5 Methyl phosphonate, tri-extension ethyltetramine hexamethylene tartate, and tetra-ethylene pentaamine hemi-methylene ortho-acidate. Further, examples of the salt include an ammonium salt, an alkanolamine (monoethanolamine, triethanolamine, etc.) salt, and the like. These may be used alone or in combination of two or more. The content of the chelating agent in the cleaning liquid 较佳 is preferably a concentration of 0 to 10% by mass based on the total weight of the cleaning liquid. It is preferred to add a chelating agent to the cleaning liquid to promote the removal of the metal-containing residue. &lt;Washing Objects&gt; In the present invention, the material of the semiconductor device having the object to be cleaned is a semiconductor wiring material (germanium, amorphous germanium, polycrystalline germanium, germanium oxide, tantalum nitride, copper, or the like). Titanium, titanium-tungsten, titanium nitride, tungsten, chromium, chromium oxide, aluminum, etc.) or a semiconductor substrate to which a compound semiconductor or the like (. gallium-arsenic, gallium-phosphorus, indium-phosphorus, etc.) is applied, polyimine A printed circuit board such as a resin, a glass substrate used in an LCD or the like, -18 - 200948954, and the like. The cleaning liquid of the present invention can adjust the etching of the metal-containing raw material. On the other hand, it does not corrode the wiring material or the like represented by other niobium oxide. Further, the cleaning liquid of the present invention is suitably used even for a semiconductor device (for example, a semiconductor device substrate) having an interlayer insulating film. The interlayer insulating film preferably has a dielectric constant k of 3.0 or less, more preferably 2.6 or less, and examples of the material of the interlayer insulating film include an organic polymer such as a SiOC material or a polyimide. In addition, a semiconductor device substrate such as an integrated circuit (1C, LSI) or the like can be used as a specific example of the semiconductor device (semiconductor device) of the cleaning liquid of the present invention. In addition, examples of the semiconductor device substrate include a single-layer substrate in which a metal wiring is formed on a surface of a substrate, a multilayer wiring substrate formed by forming a wiring through an interlayer insulating film on the surface thereof, and a multilayer wiring substrate in which a photoresist is laminated. . The cleaning liquid of the present invention does not corrode such materials (wiring materials, interlayer insulating film materials, etc.), and can remove residues. &lt;Washing method&gt; The cleaning method of the present invention comprises: after preparing the cleaning liquid of the present invention (washing liquid preparation step), and cleaning the semiconductor device using the obtained cleaning liquid to remove photoresist and resist The cleaning step of the reflective film, the etching residue, and the ash residue is characterized.

關於本發明之洗淨液的調製方法並無特別限制。可藉 由使用混合攪拌器等的攪拌機來充分混合如:氧化劑、界 面活性劑、按照需要所可使用的無機鹼化合物、4級錶氫 氧化物等,來製造。另外,也可使用事先調整到設定的PH -19- 200948954 的混合方法,或在混合後調整到設定的pH的方法。 洗淨步驟可藉由使用習知中的任一種方法來進行。使 洗淨液與洗淨光阻等接觸的方法可列舉使用浸漬法 (dipping)、噴霧法(spray)、及單片式(single wafer system)。 更具體而言,可列舉:在洗淨槽注滿洗淨液的半導體裝置 (例如:半導體積體電路基板等)浸漬方式;將洗淨液噴霧 至基板的噴霧式;一邊由噴嘴將洗淨液流至基板上,一邊 使基板高速旋轉的旋轉式。 ® 實施本發明之洗淨方法時之洗淨液的溫度可根據使用 方法、蝕刻條件、或使用的洗淨對象物而適當地選擇,15 〜100 °C的範圍爲佳、15〜80 °C的範圍爲較佳、20〜551的 範圍爲更佳。在上述範圍内的話,殘留物的除去性及對於 基板或絶緣膜等的腐蝕性兩者爲更佳優異,洗淨效果更佳 優良,且由於可以低溫處理(process)實施而不需要特別的 裝置等,較佳。 使本發明之洗淨液與有光阻等的半導體裝置接觸的時The preparation method of the cleaning liquid of the present invention is not particularly limited. It can be produced by sufficiently mixing an oxidizing agent, an surfactant, an inorganic alkali compound which can be used as needed, a 4-stage hydrogen oxide or the like by using a stirrer such as a mixing agitator. Alternatively, a mixing method adjusted to the set pH -19-200948954 in advance or a method of adjusting to the set pH after mixing may be used. The washing step can be carried out by using any of the conventional methods. The method of bringing the cleaning liquid into contact with the cleaning photoresist or the like may be a dipping method, a spray method, or a single wafer system. More specifically, a immersion method of a semiconductor device (for example, a semiconductor integrated circuit board) in which a cleaning liquid is filled in a cleaning tank, a spray method in which a cleaning liquid is sprayed onto a substrate, and a nozzle are used for cleaning The liquid flows onto the substrate while rotating the substrate at a high speed. ® The temperature of the cleaning solution when the cleaning method of the present invention is carried out can be appropriately selected depending on the method of use, the etching conditions, or the object to be cleaned, and the range of 15 to 100 ° C is preferably 15 to 80 ° C. The range is preferably, and the range of 20 to 551 is more preferable. When it is in the above range, the removability of the residue and the corrosiveness to the substrate or the insulating film and the like are more excellent, the cleaning effect is better and better, and since it can be carried out at a low temperature, no special device is required. Etc., preferably. When the cleaning liquid of the present invention is brought into contact with a semiconductor device having photoresist or the like

D 間,30秒〜30分之間爲佳、30秒〜10分之間爲較佳、30 秒〜5分之間爲更佳。在上述範圍内的話,可充分去除光 阻、抗反射膜、蝕刻殘留物、及灰化殘留物,因爲洗淨方 法所需要的時間係短時間,故較佳。 本發明之洗淨方法中,以洗淨液反覆操作2回以上之 洗淨步驟來除去光阻等爲較佳。因藉由反覆操作2回以上 之洗淨步驟可提升光阻等的去除性能,故較佳。雖然洗淨 步驟可反覆操作任意的次數直到完全去除光阻爲止,但反 -20- 200948954 覆操作以1〜3回爲佳,反覆清洗1~2更佳。 在前述洗淨步驟中,本發明之洗淨方法係可按需要合 倂使用超音波。 洗淨對象物上的光阻除去後,按需要亦可洗淨(沖洗; rinse)半導體裝置。沖洗較佳係可爲水或50°C左右的溫水、 或異丙醇。 使用本發明之洗淨液的洗淨步驟,可列舉例如:在表 面上具有銅等的金屬配線或有層間絕緣膜等的半導體裝置 © 用基板的情況下,對銅膜進行CMP(Chemical Mechanical Polishing)後的洗淨步驟、在配線上於層間絕緣膜中以乾式 鈾刻開洞後的洗淨步驟、對光阻進行乾式灰化處理後的洗 淨步驟等。 本發明之洗淨方法的適合的實施態樣可列舉:在半導 體裝置的乾式蝕刻步驟後,進行上述的洗淨處理(洗淨步 驟)。更詳細地,對期望的半導體裝置實施乾式蝕刻處理 後,爲了除去蝕刻殘留物或光阻,使用上述的洗淨液洗淨 V 該半導體裝置。一般的光微影技術中,乾式蝕刻處理後爲 了光阻的除去,以特定的光阻剝離液施以濕式蝕刻處理或 乾式灰化處理。一方面,使用本發明之洗淨液的話.,不經 過上記處理步驟,而在乾式蝕刻步驟後,實施洗淨步驟, 可除去光阻或蝕刻殘留物。藉此,不經乾式灰化步驟,對 於層間絕緣膜原料(特別低介電常數原料)可有較少的損 傷’可更進一步簡化處理步驟,在可縮短半導體裝置的製 造時間的同時,也可壓低製造成本。 -21- 200948954 關於本發明的洗淨液,在除去上述的飩刻殘留物或灰 化殘留物的同時,可選擇性地蝕刻被含於洗淨對象物的金 屬、氮化金屬、及合金。詳而言之,藉由適當的選擇洗淨 液的構成成分,對於銅或TiN等的金屬、或氮化金屬、及 合金的蝕刻比率可任意地調節。一般而言,在半導體裝置 的製程中,由於使用各種的洗淨液或用於濕式蝕刻的蝕刻 溶液等種種的溶液,而有更換各步驟或生産線每次使用的 溶液之需要,所以引起生産性的低下或成本的增加。關於 〇 使用本發明的洗淨液的話,在洗淨的同時,可對於所期望 的金屬進行蝕刻。因此,可簡化步驟、降低生産成本、且 在提升量産性的同時,由於減少在製程(製造程序)使用的 溶液量,所以也與廢液處理等的問題改善有關》 實施例 藉由實施例來更進一步具體的說明本發明。然而,本 發明不受此等實施例任何的限制。 &lt;實施例A &gt;Between D, 30 seconds to 30 minutes is better, 30 seconds to 10 minutes is better, and between 30 seconds and 5 minutes is better. Within the above range, the photoresist, the antireflection film, the etching residue, and the ash residue can be sufficiently removed, and since the time required for the cleaning method is short, it is preferable. In the cleaning method of the present invention, it is preferred to remove the photoresist or the like by repeating the cleaning step of the cleaning liquid for two or more times. It is preferable because the cleaning performance of the photoresist or the like can be improved by repeatedly performing the cleaning step of two or more times. Although the washing step can be repeated any number of times until the photoresist is completely removed, the reverse -20-200948954 is preferably 1~3 times, and the cleaning is preferably 1~2. In the above washing step, the washing method of the present invention can use ultrasonic waves as needed. After the photoresist on the object to be cleaned is removed, the semiconductor device can be washed (rinsed) as needed. The rinsing is preferably water or warm water of about 50 ° C, or isopropanol. In the case of using a substrate for a semiconductor device such as a metal wiring such as copper or an interlayer insulating film on the surface, CMP (Chemical Mechanical Polishing) is used. The cleaning step after the cleaning step, the cleaning step after the dry uranium is opened in the interlayer insulating film on the wiring, the cleaning step after the dry ashing treatment on the photoresist, and the like. In a preferred embodiment of the cleaning method of the present invention, the above-described cleaning treatment (cleaning step) is carried out after the dry etching step of the semiconductor device. More specifically, after performing a dry etching process on a desired semiconductor device, in order to remove the etching residue or the photoresist, the semiconductor device is washed using the above-described cleaning solution. In the conventional photolithography technique, after the dry etching treatment, the photoresist is removed, and a specific photoresist stripping solution is subjected to a wet etching treatment or a dry ashing treatment. On the one hand, if the cleaning solution of the present invention is used, the cleaning step can be carried out after the dry etching step without the above-mentioned treatment step, and the photoresist or the etching residue can be removed. Thereby, the interlayer insulating film material (especially the low dielectric constant material) can be less damaged without the dry ashing step, which further simplifies the processing step, and can shorten the manufacturing time of the semiconductor device. Drive down manufacturing costs. -21- 200948954 The cleaning liquid of the present invention can selectively etch the metal, the metal nitride, and the alloy contained in the object to be cleaned while removing the above-described etching residue or ash residue. More specifically, the etching ratio of the metal such as copper or TiN, or the metal nitride, and the alloy can be arbitrarily adjusted by appropriately selecting the constituent components of the cleaning liquid. In general, in the process of a semiconductor device, since various kinds of solutions such as a cleaning solution or an etching solution for wet etching are used, there is a need to replace the solution used in each step or the production line, so that Low productivity or increased costs. About 〇 When the cleaning liquid of the present invention is used, the desired metal can be etched while being washed. Therefore, the steps can be simplified, the production cost can be reduced, and the mass productivity can be improved, and the amount of the solution used in the process (manufacturing process) can be reduced, which is also related to the improvement of the problem such as the waste liquid treatment. The invention will be further described in detail. However, the invention is not limited by any of these embodiments. &lt;Example A &gt;

G W 在矽基板上,依序將銅、SiOC系層間絕緣膜(Low-k 膜:k値2.6)、SiCh膜(TE0S膜)、金屬硬質光罩(TiN)、抗反 射膜、及光阻成膜,將經曝光、顯像的光阻作爲光罩進行 乾式蝕刻,形成通孔,以獲得銅、層間絕緣膜、金屬硬質 光罩、抗反射膜及光阻外露於通孔内壁面上之圖案晶圓。 將此圖案晶圓之剖面以掃瞄電子顯微鏡照片(SEM:掃 瞄式電子顯微鏡)確認時,在導通孔壁面可認定有蝕刻殘留 物。 -22- .200948954 〈實施例1~9、及比較例1~3&gt; 接著,調製表1所觀察到組成之洗淨液1~12(作爲界面 活性劑之例子可列舉:花王股份有限公司所販賣的 EMULGEN、日信化學工業股份有限公司所販賣的 SURFYNOL之非離子性界面活性劑)&gt;將上述圖案晶圓之切 片(2cmx2cm)浸漬於調溫成表1所記載溫度之各溶液,在記 載於表1之浸漬時間後取出圖案晶圓之切片,立刻以超純 水進行水洗、N:乾燥。以SEM觀察浸漬試驗後圖案晶圓切 〇 片之剖面及表面,就光阻、抗反射膜、蝕刻殘留物之除去 性、及矽基板、Si〇2膜、SiOC系層間絕緣膜之腐蝕性依照 下述判斷基準進行評價。使浸漬溫度爲20~ 5 5 °C、浸漬時間 在30秒〜20分之範圍進行浸漬試驗,去除性、腐鈾性之評 價結果歸納於表1。 評價基準係如以下所示。 《去除性》 A:光阻、抗反射膜、蝕刻殘留物完全被去除。 ® B:有殘存光阻、抗反射膜、鈾刻殘留物之溶解不良物。 C:幾乎沒有去除光阻、抗反射膜、蝕刻殘留物。 《腐蝕性》 A:在矽基板、Si〇2膜、SiOC系層間絕緣膜上完全沒 有看到腐餓。 B:在矽基板、SiCh膜、SiOC系層間絕緣膜中至少一 個材料上可看到有若干腐蝕。 C:在矽基板、SiCh膜、SiOC系層間絕緣膜中至少一 -23- 200948954 個材料上可看到有大的腐蝕。 在上述評價中,去除性、腐蝕性完全爲A者爲所期望 的。GW sequentially places copper, SiOC interlayer insulating film (Low-k film: k値2.6), SiCh film (TE0S film), metal hard mask (TiN), anti-reflection film, and photoresist on the germanium substrate. The film is subjected to dry etching by using the exposed and developed photoresist as a mask to form a through hole to obtain a pattern of copper, an interlayer insulating film, a metal hard mask, an antireflection film, and a photoresist exposed on the inner wall surface of the through hole. Wafer. When the cross section of the patterned wafer was confirmed by a scanning electron microscope photograph (SEM: Scanning Electron Microscope), etching residue was confirmed on the wall surface of the via hole. -22-.200948954 <Examples 1 to 9 and Comparative Examples 1 to 3> Next, the cleaning liquids 1 to 12 having the composition observed in Table 1 were prepared (as an example of the surfactant): Kao Co., Ltd. EMULGEN sold, SURFYNOL non-ionic surfactant sold by Nissin Chemical Industry Co., Ltd.) immersed the slice of the pattern wafer (2 cm x 2 cm) in each solution at a temperature adjusted to the temperature shown in Table 1, After the immersion time of Table 1, the slice of the pattern wafer was taken out, and immediately washed with ultrapure water and N: dried. The cross-section and surface of the cut wafer of the patterned wafer after the immersion test were observed by SEM, and the photoresist, the anti-reflective film, the removal of the etching residue, and the corrosiveness of the germanium substrate, the Si〇2 film, and the SiOC interlayer insulating film were observed. The following criteria were used for evaluation. The immersion test was carried out at an immersion temperature of 20 to 5 5 ° C and an immersion time of 30 seconds to 20 minutes. The evaluation results of the removability and uranium properties are summarized in Table 1. The evaluation criteria are as follows. "Removal" A: The photoresist, anti-reflection film, and etching residue are completely removed. ® B: Dissolved with residual photoresist, anti-reflective film, and uranium residue. C: Almost no photoresist, anti-reflection film, or etching residue was removed. "Corrosion" A: No rot was observed on the ruthenium substrate, the Si〇2 film, or the SiOC interlayer insulating film. B: A number of etchings were observed on at least one of the tantalum substrate, the SiCh film, and the SiOC interlayer insulating film. C: A large corrosion is observed in at least one of -23-200948954 materials in the tantalum substrate, the SiCh film, and the SiOC interlayer insulating film. In the above evaluation, it is desirable that the removability and corrosivity are completely A.

-24- 200948954 irj \Τ] v Ή-24- 200948954 irj \Τ] v Ή

CO s &lt; ΟCO s &lt; Ο

S CN ΙΟ u &lt; τ-Η &lt; &lt; irj cn s &lt; &lt; s ΙΌ s s i ΙΌ Ι0ΌS CN ΙΟ u &lt; τ-Η &lt;&lt; irj cn s &lt;&lt; s ΙΌ s s i ΙΌ Ι0Ό

SOSO

I sI s

IdId

VV

V 97 6Ό i ίσο i m i 01 -1V 97 6Ό i ίσο i m i 01 -1

RR

VV

V ο ° &quot;βΓ s -•° Ι0Ό i i Ι0Ό § 3 寸·-V ο ° &quot;βΓ s -•° Ι0Ό i i Ι0Ό § 3 inches·-

VV

V Ι0Ό i 1 6ΉV Ι0Ό i 1 6Ή

VV

V -V -

SI 8.0SI 8.0

K6.S δ §K6.S δ §

VV

V i s i i S8.86 sV i s i i S8.86 s

VV

V 50ΌV 50Ό

I 寸-I inch -

RR

VV

V --· 1Ξ1 _0Ν蠢 肱翠槭__ 淑《^蝴1,,,翠碱® 喊翠嫲V --· 1Ξ1 _0Ν stupid jade maple __ 淑 "^蝴蝶 1,,, 翠碱® 嫲翠嫲

趙赛頰M 鏗Π2Φ&gt;_ 裝η,2φ&gt;υZhao Sai Bu M 铿Π 2Φ&gt;_ η,2φ&gt;υ

Hi-Sl Ν30ΊΓΜ3 06-vz3olns3 Q^-mMHOln^ai S9 寸JOMAdHns 狍iH«遯¥+翠碱 ss §1 €趦進擀糊遯^ + 溢魅 S^HM*} ^sass 繼与减 樾 i1'1^* 担w迤鬆®燄s#®l^Hi-Sl Ν30ΊΓΜ3 06-vz3olns3 Q^-mMHOln^ai S9 inch JOMAdHns 狍iH«遁¥+翠碱ss §1 €趦进擀糊遁^ + 溢魅S^HM*} ^sass Follow and decrease 樾i1' 1^* 担w迤松®焰 s#®l^

顴塚翟睡姻I 霰担®陧虼 (承 vft) 链璉 竅如濒 si#颧冢翟 姻 I I 陧虼 陧虼 承 承 承 承 承 # # # # # # # # # # #

0M .200948954 如表1所示,適用本發明之洗淨液及洗淨方法之實施 例1〜9中,並無矽基板、SiOC系層間絕緣膜之腐蝕,光阻、 抗反射膜及蝕刻殘留物之去除性爲優異。在使用本發明之 洗淨液的洗淨,可比較自由地選擇浸漬溫度、浸漬時間, 可在低溫度、短時間之洗淨爲行,即使在浸漬時間延長之 強制條件中,亦無矽基板、SiCh膜、SiOC系層間絕緣膜之 腐蝕的進行。在比較例1~3中,即使進行浸漬時間、浸漬 溫度之調整,亦無法顯示充分的光阻、抗反射膜及飩刻殘留 © 物之去除性、矽基板、Si〇2膜、SiOC系層間絕緣膜之無腐 蝕性。 &lt;實施例B&gt; 在與上述之實施例A同樣地準備之洗淨液1~8中,浸 漬TiN膜(厚度約60nm)、Cu膜(厚度約200nm)各自獨立地 成膜的空白晶圓(blanket wafer)之斷片,由浸漬前後的膜厚 測定及浸漬時間之計算,算出對於各膜的蝕刻速率 (A/min)。結果顯示於表2。 © 此外,膜厚的測定係使用FILMETRICS股份有限公司 製造的膜厚測定裝置(F20)來進行。 表2 實施例 10 11 12 13 14 15 16 17 溶液No. 1 2 3 4 5 6 7 8 處理溫Jtrc] 20 55 40 25 20 20 55 20 TiN蝕刻速率(A/min) 3.4 24.7 13.5 2.4 0.2 4.2 35.2 8.6 Cu蝕刻速率(Α Μη) 0.1 10.3 10.4 3.1 0.1 13.2 32.2 20.7 如表2所示,適用本發明之洗淨液及洗淨方法之實施 例10〜17中,可自由調節Cu及TiN的蝕刻速率。藉由更 -26- 200948954 進一步的調整氧化劑的量、金屬蝕刻劑的量、處理溫度, 更能夠調節性地得到所期望的蝕刻速率。 以上,從實施例A、及實施例B,可知能夠提供以本 發明1〜8的洗淨液,在洗淨工程時不侵蝕含於洗淨對象物 之層間絕緣膜,可除去含於洗淨對象物中之光阻、抗反射 膜、蝕刻殘留物,同時可選擇性地蝕刻含於洗淨對象物之 金屬、氮化金屬和合金的洗淨液’及其洗淨方法。 【圖式簡單說明】 ❹無。 【主要元件符號說明】0M.200948954 As shown in Table 1, in Examples 1 to 9 to which the cleaning liquid and the cleaning method of the present invention were applied, there was no corrosion of the substrate or the SiOC-based interlayer insulating film, and the photoresist, the anti-reflection film, and the etching residue were not observed. The removal property of the substance is excellent. In the cleaning using the cleaning solution of the present invention, the immersion temperature and the immersion time can be relatively freely selected, and the cleaning can be carried out at a low temperature and for a short period of time. Even in the forced condition in which the immersion time is prolonged, there is no ruthenium substrate. Corrosion of the SiCH film and the SiOC interlayer insulating film. In Comparative Examples 1 to 3, even when the immersion time and the immersion temperature were adjusted, it was impossible to show sufficient photoresist, antireflection film, and etch residue, and the ruthenium substrate, Si 〇 2 film, and SiOC layer. The insulating film is non-corrosive. &lt;Example B&gt; In the cleaning liquids 1 to 8 prepared in the same manner as in the above-described Example A, a blank wafer in which a TiN film (thickness: about 60 nm) and a Cu film (thickness: about 200 nm) were separately formed was separately formed. The fragment of the (blanket wafer) was calculated from the film thickness before and after the immersion and the immersion time, and the etching rate (A/min) for each film was calculated. The results are shown in Table 2. © In addition, the measurement of the film thickness was carried out using a film thickness measuring device (F20) manufactured by FILMETRICS. Table 2 Example 10 11 12 13 14 15 16 17 Solution No. 1 2 3 4 5 6 7 8 Treatment temperature Jtrc] 20 55 40 25 20 20 55 20 TiN etching rate (A/min) 3.4 24.7 13.5 2.4 0.2 4.2 35.2 8.6 Cu etching rate (Α )η) 0.1 10.3 10.4 3.1 0.1 13.2 32.2 20.7 As shown in Table 2, in Examples 10 to 17 to which the cleaning solution and the cleaning method of the present invention are applied, the etching rates of Cu and TiN can be freely adjusted. . By further adjusting the amount of the oxidizing agent, the amount of the metal etchant, and the processing temperature by further -26-200948954, the desired etching rate can be more adjustably obtained. As described above, in the examples A and B, it is understood that the cleaning liquid of the present invention 1 to 8 can be provided, and the interlayer insulating film contained in the object to be cleaned is not eroded during the cleaning process, and can be removed and washed. The photoresist, the antireflection film, and the etching residue in the object can simultaneously selectively etch the cleaning liquid of the metal, the metal nitride, and the alloy contained in the object to be cleaned, and the cleaning method thereof. [Simple description of the diagram] No. [Main component symbol description]

Arrr 無。 ❹ -27-Arrr is not. ❹ -27-

Claims (1)

200948954 七、申請專利範圍: 1. 一種半導體裝置用洗淨液,其含有氧化劑、金屬蝕刻劑、 及界面活性劑,且pH爲10~ 14。 2. 如申請專利範圍第1項之半導體裝置用洗淨液,其中該 氧化劑爲過氧化氫。 3. 如申請專利範圍第1項之半導體裝置用洗淨液,其中該 金屬蝕刻劑爲胺系化合物。 4. 如申請專利範圍第3項之半導體裝置用洗淨液,其中該 0 胺系化合物係選自於由乙胺、伸乙二胺、二伸乙三胺、 三伸乙四胺、四伸乙五胺及彼等之衍生物所構成之群組 中之至少一種。 5. 如申請專利範圍第1~4項中任一項之半導體裝置用洗淨 液,其進一步含無機鹼化合物或以一般式(1)所表示的4 級銨氫氧化物, —般式(1) Ri ❹ R2——N—R4 r3 OH' 其中一般式(1)中各自獨立地表示碳數爲1〜6的烷 基、碳數爲1~6的羥烷基、苄基、或芳基。 6. 如申請專利範圍第5項之半導體裝置用洗淨液,其中該 無機鹼化合物係選自於由氫氧化鋰、氫氧化鈉、氫氧化 绅 '氫氧化铷、及氫氧化鉋所構成之群組中之至少一種。 -28- 200948954 7. 如申請專利範圍第1〜6項中任一項之半導體裝置用洗淨 液,該界面活性劑係陽離子界面活性劑或非離子性界面 活性劑。 8. 如申請專利範圍第7項之半導體裝置用洗淨液,其中該 陽離子界面活性劑係選自於由4級銨鹽系界面活性劑, 及烷基吡啶鏺系界面活性劑所構成之群組中之至少一 種。 9. 如申請專利範圍第7項之半導體裝置用洗淨液,其中該 g 非離子性界面活性劑係選自於由聚環氧丙烷聚環氧乙烷 系界面活性劑、聚環氧烷二苯乙烯化苯基醚系界面活性 劑、聚環氧烷烷基苯基醚系界面活性劑、聚環氧烷三苄 基苯基醚系界面活性劑、乙炔聚環氧烷系界面活性劑、及 聚環氧烷烷基醚系界面活性劑所構成之群組中之至少一 種。 10.—種半導體裝置之洗淨方法,其係使用申請專利範圍第 1〜9項中任一項之半導體裝置用洗淨液洗淨半導體裝置。 ι II. 一種半導體裝置之洗淨方法,其特徵爲在乾式蝕刻步驟 % 後,實施使用申請專利範圍第1~9項中任一項之半導體 裝置用洗淨液洗淨半導體裝置之洗淨步驟。 12.如申請專利範圍第10或11項之半導體裝置之洗淨方 法,其中該半導體裝置爲含有介電常數k爲3.0以下的層 間絕緣膜之半導體裝置。 -29- 200948954 四、指定代表圖: (一) 本案指定代表圖為:無。 (二) 本代表圖之元件符號簡單說明: Λ 〇 五、本案若有化學式時,請揭示最能顯示發明特徵的化學式:200948954 VII. Patent application scope: 1. A cleaning solution for a semiconductor device, which comprises an oxidizing agent, a metal etchant, and a surfactant, and has a pH of 10-14. 2. The cleaning solution for a semiconductor device according to the first aspect of the invention, wherein the oxidizing agent is hydrogen peroxide. 3. The cleaning solution for a semiconductor device according to the first aspect of the invention, wherein the metal etchant is an amine compound. 4. The cleaning solution for a semiconductor device according to claim 3, wherein the 0-amine compound is selected from the group consisting of ethylamine, ethylenediamine, diethylenetriamine, triethylenetetramine, and tetra-strand At least one of the group consisting of acetaminophen and their derivatives. 5. The cleaning solution for a semiconductor device according to any one of claims 1 to 4, further comprising an inorganic base compound or a 4-stage ammonium hydroxide represented by the general formula (1), 1) Ri ❹ R2——N—R4 r3 OH′ wherein the general formula (1) independently represents an alkyl group having 1 to 6 carbon atoms, a hydroxyalkyl group having 1 to 6 carbon atoms, a benzyl group or an aromatic group. base. 6. The cleaning solution for a semiconductor device according to claim 5, wherein the inorganic base compound is selected from the group consisting of lithium hydroxide, sodium hydroxide, barium hydroxide, barium hydroxide, and hydroxide. At least one of the groups. The cleaning solution for a semiconductor device according to any one of claims 1 to 6, wherein the surfactant is a cationic surfactant or a nonionic surfactant. 8. The cleaning solution for a semiconductor device according to claim 7, wherein the cationic surfactant is selected from the group consisting of a 4-grade ammonium salt-based surfactant and an alkylpyridinium-based surfactant. At least one of the groups. 9. The cleaning solution for a semiconductor device according to claim 7, wherein the g nonionic surfactant is selected from the group consisting of a polypropylene oxide polyethylene oxide surfactant and a polyalkylene oxide. a styrenated phenyl ether-based surfactant, a polyalkylene oxide alkylphenyl ether surfactant, a polyalkylene oxide tribenzyl phenyl ether surfactant, an acetylene polyalkylene oxide surfactant, And at least one of the group consisting of polyalkylene oxide alkyl ether surfactants. 10. A method of cleaning a semiconductor device, which comprises cleaning a semiconductor device using a cleaning solution for a semiconductor device according to any one of claims 1 to 9. Ii II. A method of cleaning a semiconductor device, which is characterized in that after the dry etching step %, the cleaning step of cleaning the semiconductor device using the cleaning solution for a semiconductor device according to any one of claims 1 to 9 is carried out. . 12. The method of cleaning a semiconductor device according to claim 10, wherein the semiconductor device is a semiconductor device comprising an interlayer insulating film having a dielectric constant k of 3.0 or less. -29- 200948954 IV. Designation of Representative Representatives: (1) The representative representative of the case is: None. (2) A brief description of the symbol of the representative figure: Λ 〇 5. If there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention:
TW98108702A 2008-03-19 2009-03-18 Cleaning liquid for semiconductor device and cleaning method TWI441919B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008071805A JP2009231354A (en) 2008-03-19 2008-03-19 Cleaning liquid for semiconductor device and cleaning method

Publications (2)

Publication Number Publication Date
TW200948954A true TW200948954A (en) 2009-12-01
TWI441919B TWI441919B (en) 2014-06-21

Family

ID=41246466

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98108702A TWI441919B (en) 2008-03-19 2009-03-18 Cleaning liquid for semiconductor device and cleaning method

Country Status (2)

Country Link
JP (1) JP2009231354A (en)
TW (1) TWI441919B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104823267A (en) * 2012-12-03 2015-08-05 三菱瓦斯化学株式会社 Cleaning liquid for semiconductor elements and cleaning method using same
TWI643949B (en) * 2014-05-02 2018-12-11 日商三菱瓦斯化學股份有限公司 Washing liquid and washing method for semiconductor element
TWI727254B (en) * 2019-01-30 2021-05-11 許富翔 A method for cleaning a tungsten-containing semiconductor device

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5379441B2 (en) * 2008-10-09 2013-12-25 関東化学株式会社 Alkaline aqueous solution composition for substrate processing
JP2011124546A (en) * 2009-10-14 2011-06-23 Rohm & Haas Electronic Materials Llc Method of cleaning and micro-etching semiconductor wafer
JP2012238849A (en) 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc Improved polycrystalline texturing composition and method
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
KR101562053B1 (en) * 2012-06-13 2015-10-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Liquid composition for cleaning, method for cleaning semiconductor element, and method for manufacturing semiconductor element
JP6486957B2 (en) 2014-10-31 2019-03-20 富士フイルム株式会社 MRAM dry etching residue removal composition, magnetoresistive memory manufacturing method, and cobalt removal composition
JP6363724B2 (en) * 2014-10-31 2018-07-25 富士フイルム株式会社 Ruthenium removing composition and magnetoresistive memory manufacturing method
US10377978B2 (en) 2014-11-13 2019-08-13 Mitsubishi Gas Chemical Company, Inc. Alkaline earth metal-containing cleaning solution for cleaning semiconductor element, and method for cleaning semiconductor element using same
EP3220409B1 (en) 2014-11-13 2020-08-05 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
JP6667338B2 (en) * 2016-03-29 2020-03-18 ライオン株式会社 Liquid bleach composition
KR20200110335A (en) * 2018-01-16 2020-09-23 가부시키가이샤 도쿠야마 Treatment liquid for semiconductor wafers containing hypochlorite ions
KR102521227B1 (en) * 2018-09-12 2023-04-13 후지필름 가부시키가이샤 Treatment method of chemical solution and substrate
KR102646575B1 (en) * 2018-12-14 2024-03-13 엔테그리스, 아이엔씨. Ruthenium etching compositions and methods
JP2022170013A (en) * 2021-04-28 2022-11-10 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
WO2024048241A1 (en) * 2022-08-31 2024-03-07 富士フイルム株式会社 Composition, method for treating object to be treated, and manufacturing method for semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4267359B2 (en) * 2002-04-26 2009-05-27 花王株式会社 Resist stripper composition
EP1512050A2 (en) * 2002-06-07 2005-03-09 Mallinckrodt Baker, Inc. Cleaning compositions for microelectronic substrates
JP4821122B2 (en) * 2004-02-10 2011-11-24 Jsr株式会社 Cleaning composition, semiconductor substrate cleaning method, and semiconductor device manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104823267A (en) * 2012-12-03 2015-08-05 三菱瓦斯化学株式会社 Cleaning liquid for semiconductor elements and cleaning method using same
TWI582230B (en) * 2012-12-03 2017-05-11 Mitsubishi Gas Chemical Co A cleaning solution for a semiconductor element, and a cleaning method using the cleaning solution
TWI643949B (en) * 2014-05-02 2018-12-11 日商三菱瓦斯化學股份有限公司 Washing liquid and washing method for semiconductor element
TWI727254B (en) * 2019-01-30 2021-05-11 許富翔 A method for cleaning a tungsten-containing semiconductor device

Also Published As

Publication number Publication date
TWI441919B (en) 2014-06-21
JP2009231354A (en) 2009-10-08

Similar Documents

Publication Publication Date Title
TW200948954A (en) Cleaning liquid for semiconductor device and cleaning method
JP5813280B2 (en) Semiconductor device cleaning liquid and cleaning method
KR101884367B1 (en) Stripping compositions having high wn/w etch selectivity
TWI576428B (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI336729B (en) Ph buffered aqueous cleaning composition and method for removing photoresist residue
TWI553116B (en) Cleaning composition, cleaning process, and process for producing semiconductor device
TW201726895A (en) Etching compositions and methods for using same
TW200919120A (en) Stripping liquid for semiconductor device, and stripping method
JP2002113431A (en) Cleaning method
CN1776532A (en) Composition for removal of residual material from substrate and method using the composition
JP2011204909A (en) Cleaning composition, and method of manufacturing semiconductor device
CN107406810A (en) Cleaning preparation
TW202010872A (en) Cleaning composition with corrosion inhibitor
TW200306465A (en) Photoresist residue remover composition
US11549086B2 (en) Cleaning agent and preparation method and use thereof
TW201035308A (en) Multipurpose acidic, organic solvent based microelectronic cleaning composition
WO2009052707A1 (en) A plasma etching residues cleaning composition
JP4689855B2 (en) Residue stripper composition and method of use thereof
JP5513181B2 (en) Cleaning composition and method for manufacturing semiconductor device
TWI239042B (en) Method of manufacturing semiconductor device
TW200405133A (en) Cleaning solution for forming dual damascene structure and method for treating substrate
JPWO2007020979A1 (en) Hard mask removal composition and removal method
TWI488960B (en) Cleaning liquid for semiconductor device and cleaning method
JP2004325918A (en) Remover composition
JP3893104B2 (en) Polymer cleaning composition for copper wiring semiconductor substrate