TW200941582A - Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions - Google Patents

Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions Download PDF

Info

Publication number
TW200941582A
TW200941582A TW097141624A TW97141624A TW200941582A TW 200941582 A TW200941582 A TW 200941582A TW 097141624 A TW097141624 A TW 097141624A TW 97141624 A TW97141624 A TW 97141624A TW 200941582 A TW200941582 A TW 200941582A
Authority
TW
Taiwan
Prior art keywords
acid
weight
group
amidoxime
cleaning
Prior art date
Application number
TW097141624A
Other languages
Chinese (zh)
Inventor
Wai Mun Lee
Original Assignee
Ekc Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ekc Technology Inc filed Critical Ekc Technology Inc
Publication of TW200941582A publication Critical patent/TW200941582A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • C11D2111/22

Abstract

The invention relates to a method for the removal of residues and contaminants from metal or dielectric surfaces and to a method for chemical mechanical polishing of a copper or aluminum surface. The methods of the invention include using an aqueous amidoxime complex agent. Optionally, the pH of the solution can be adjusted with an acid or base. The method includes applying the above composition to the copper or aluminum surface and polishing the surface in the presence of the composition.

Description

200941582 九、發明說明 相關申請案的相互參考 本申請案主張2007年10月29日申請的美國臨時申 請案61/0 00,727和2007年12月31曰申請的美國臨時申 請案61 /0 06,22 5的優先權,其二者之全部內容皆倂入本文 中作爲參考。 Ο 【發明所屬之技術領域】 本發明係關於從金屬或介電體表面移除化學殘留物之 組成物和方法,或供銅或鋁表面的化學機械抛光用之組成 物和方法,該組成物包括含有醯胺肟錯合物的水性溶液, 塗覆一段時間足以移除化學殘留物。 【先前技術】 國家半導體技術藍圖(The National Technology ❹ Roadmap for Semiconductor Industries ) ( 1 994 )指出: 具有0.35微米特徵尺寸之現行電腦晶片將於2001被減小 至〇·18微米特徵尺寸。DRAM晶片將具有1G的記憶體, 且典型的CPU將具有13百萬電晶體/cm2 (現在其僅包含 約4百萬)。金屬層的數目(“線(wires ) ”)將從現行 2-3增加至5-6,和操作頻率(其現在爲200 MHZ)將增 加’例如,至500 MHZ。此將增加減少電子訊號延遲的晶 圓晶片的3維度建造的需要。現在有約840米的“線”/晶片 ’但隨著需求的發展(無任何顯著的設計改變),典型的 -5- 200941582 晶片將需要1 0,000或更多米的線。在無設計改變之情況 下,線的此長度將嚴重地危急晶片的速度性能。 電子晶圓晶片的製造包含在化學機械平坦化(CMP ) 期間或之後半導體工作部件(work-pieces)用液體溶液清 潔之步驟。“半導體工作部件”是微電子裝置,其尙未完成 製造程序,典型地,具有主動區(active region)之矽晶 圓,該主動區形成於該矽晶圓的表面內或上。使用多層金 屬(典型地,銅和鎢)達成連接至主動區,該金屬已沈積 @ 在矽基板上。當使用銅作爲內連線材料時,使用鑲嵌方法 ,藉此銅被沈積至蝕刻至內層介電體內之線路內,接著移 除過量的銅,和使用 CMP方法平坦化表面,接著清潔步 驟。清潔程序(“後-CMP清潔”)的目的是自半導體工作 部件表面移除CMP步驟所剩下的殘留物,該工作部件表 面無顯著蝕刻金屬、在表面留下沈積物、或提供顯著的有 機(例如,碳)污染物至半導體工作部件。再者’欲使金 屬表面免於各種機制(例如,化學蝕刻、電流腐蝕或光引 H 起的腐蝕)的腐蝕。金屬表面的腐蝕導致金屬線路的金屬 凹陷和變薄。酸性清潔溶液在從晶圓表面移除有機污染物 和錯合殘留的銅時常常是相當有效的。因此,欲具有在適 度至低pH系統是有效的清潔溶液。酸性化學品典型地被 應用於刷子洗滌器或百萬頻率超音波(megasonic )清潔 單元以後-CMP清潔。 清潔溶液可包含各種化學品’該化學品在清潔程序期 間進行不同的功能。清潔溶液必須包含“清潔劑”。“清潔 -6 - 200941582 劑”爲溶液的成分,該溶液從半導體工作部件的表面移除 殘留的CMP漿料粒子,典型地金屬粒子。清潔溶液亦可 包含“螯合劑”、“腐鈾抑制化合物”、和/或“表面活性劑”。 “螯合劑”藉由錯合清潔溶液中的金屬而有助於防止經移除 的金屬再沈積於半導體工作部件上。“腐蝕抑制化合物”爲 清潔溶液的成分,該溶液使金屬免於機制(例如,清潔溶 液的攻擊本性(aggressive nature)、氧化作用、後清潔 © 腐蝕、電流攻擊、或光引起的攻擊)的攻擊。“表面活性 劑”爲清潔溶液的成分,該溶液減輕潤濕特性和防止水痕 形成。 美國專利案案號 6,194,366、6,200,947、6,436,302、 6,492,3 08、6,546,939、6,673,757 和美國專利案公開號 200 1/0004633揭示有關後-CMP清潔溶液的資訊。然而, 這些專利案承受一或多種下面所討論的缺點之困擾。確實 地,在工業上對清潔溶液有長期的需求,該清潔溶液解決 © 這些缺點,使得溶液不用承受一或多種的這些缺點。 非常有利地的是,使用清潔溶液以使半導體裝置的金 屬表面藉由在表面上形成保護膜而免於具有高靜電蝕刻速 率(static etch rate)和免於金屬表面的氧化作用。半導 體工作部件的金屬表面典型地爲銅,和形成半導體晶圓的 傳導途徑。由於半導體晶圓上非常小尺寸的特徵’金屬線 儘可能地薄,同時仍帶有所欲之電流時。在金屬的表面或 凹陷上的任何氧化作用或腐鈾作用造成線路的變薄(溶解 )和導致半導體裝置的不良性能或失敗。因此,藉由在金 200941582 屬表面上形成適當的抗腐蝕膜以使金屬表面免於腐蝕是重 要的。許多該技藝可利用的清潔溶液不提供膜形成劑,而 因此承受高靜電蝕刻速率和/或高RMS値之困擾。 清潔溶液的腐蝕預防能力係藉由測量金屬表面的靜電 蝕刻速率或表面粗糙度而予以定量(藉由RMS定量,均 方根,値),該金屬表面已用標的溶液清潔。高靜電蝕刻 速率指出:金屬表面的溶解正在發生。高RMS値指出:200941582 IX. STATEMENT OF RELATED APPLICATIONS This application claims US Provisional Application No. 61/0 00,727 filed on October 29, 2007, and US Provisional Application No. 61 /0 06,22, filed on December 31, 2007 The priority of 5, the entire contents of both of which are incorporated herein by reference. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a composition and method for removing chemical residues from a metal or dielectric surface, or a composition and method for chemical mechanical polishing of a copper or aluminum surface, the composition An aqueous solution comprising an amidoxime complex is applied for a period of time sufficient to remove chemical residues. [Prior Art] The National Technology ❹ Roadmap for Semiconductor Industries (1 994) states that current computer chips with feature sizes of 0.35 micron will be reduced to 〇18 micron feature sizes in 2001. The DRAM chip will have 1G of memory, and a typical CPU will have 13 million transistors/cm2 (now it only contains about 4 million). The number of metal layers ("wires") will increase from the current 2-3 to 5-6, and the operating frequency (which is now 200 MHZ) will increase 'for example, to 500 MHZ. This will increase the need for a 3-dimensional construction of the wafer that reduces electronic signal delay. There is now a "line"/wafer of about 840 meters. But as demand grows (without any significant design changes), a typical -5-200941582 wafer will require a line of 10,000 or more meters. This length of the line will severely jeopardize the speed performance of the wafer without design changes. The fabrication of electronic wafer wafers includes the step of cleaning the semiconductor work-pieces with a liquid solution during or after chemical mechanical planarization (CMP). A "semiconductor working component" is a microelectronic device that does not complete a fabrication process, typically a twin crystal having an active region formed in or on the surface of the germanium wafer. The connection to the active region is achieved using a multi-layer metal (typically copper and tungsten) which has been deposited @ on the germanium substrate. When copper is used as the interconnect material, a damascene method is used whereby copper is deposited into the wiring etched into the inner dielectric body, then excess copper is removed, and the surface is planarized using a CMP method, followed by a cleaning step. The purpose of the cleaning procedure ("post-CMP cleaning") is to remove the residue left in the CMP step from the surface of the semiconductor working component, which does not significantly etch the metal, leave deposits on the surface, or provide significant organic (eg, carbon) contaminants to semiconductor work components. Furthermore, the metal surface is protected from corrosion by various mechanisms (for example, chemical etching, galvanic corrosion, or corrosion caused by light-induced H). Corrosion of the metal surface causes the metal of the metal wiring to be recessed and thinned. Acidic cleaning solutions are often quite effective at removing organic contaminants from the wafer surface and mismatching residual copper. Therefore, it is desirable to have a cleaning solution that is effective in a moderate to low pH system. Acidic chemicals are typically applied to brush scrubbers or millions of frequency megasonic cleaning units - CMP cleaning. The cleaning solution can contain a variety of chemicals' that the chemical performs different functions during the cleaning process. The cleaning solution must contain a "cleanser". "Cleaning -6 - 200941582 agent" is a component of a solution that removes residual CMP slurry particles, typically metal particles, from the surface of the semiconductor working component. The cleaning solution may also contain "chelating agents", "humus uranium inhibiting compounds", and/or "surfactants". The "chelating agent" helps to prevent redeposition of the removed metal onto the semiconductor working component by misaligning the metal in the cleaning solution. A "corrosion inhibiting compound" is a component of a cleaning solution that protects the metal from attack by mechanisms such as aggressive nature of the cleaning solution, oxidation, post-cleaning, corrosion, or light-induced attacks. . "Surfactant" is a component of a cleaning solution that reduces wetting characteristics and prevents the formation of water marks. Information regarding the post-CMP cleaning solution is disclosed in U.S. Patent Nos. 6,194,366, 6,200,947, 6, 436,302, 6, 492, 3, 8, 6, 546, 939, 6, 673, 757 and U.S. Patent Publication No. 200 1/0004,633. However, these patents suffer from one or more of the disadvantages discussed below. Indeed, there is a long-felt need in the industry for cleaning solutions that address these shortcomings such that the solution does not have to withstand one or more of these disadvantages. It is highly advantageous to use a cleaning solution to protect the metal surface of the semiconductor device from having a high static etch rate and from oxidation of the metal surface by forming a protective film on the surface. The metal surface of the semiconductor work piece is typically copper and a conductive path for forming a semiconductor wafer. Due to the very small size of the features on the semiconductor wafer, the metal lines are as thin as possible while still carrying the desired current. Any oxidation or uranium effect on the surface or depression of the metal causes thinning (dissolution) of the wiring and causes poor performance or failure of the semiconductor device. Therefore, it is important to protect the metal surface from corrosion by forming a suitable anti-corrosion film on the surface of the gold 200941582. Many of the cleaning solutions available in this art do not provide a film former and are therefore subject to high electrostatic etch rates and/or high RMS. The corrosion prevention capability of the cleaning solution is quantified by measuring the electrostatic etch rate or surface roughness of the metal surface (by RMS quantification, root mean square, enthalpy), which has been cleaned with the target solution. The high electrostatic etch rate indicates that dissolution of the metal surface is occurring. High RMS値 states:

經由金屬攻擊而造成的粗糙表面。有效的保護膜減少金屬 Q 的腐蝕,如清潔後靜電蝕刻速率和RMS値所指出的。清 潔溶液的抗腐蝕亦可以使用熟習該技藝者所周知的電化學 手段而予以直接測量。 一種使金屬表面免於氧化腐蝕的較佳方法係藉由在清 潔之後或期間鈍化金屬表面。一些既存的酸性清潔品不會 鈍化金屬,而在清潔步驟期間和之後經由金屬表面的氧化 而導致腐蝕。 亦想要以單一步驟清潔和保護半導體表面。平坦化晶 © 圓表面通常包括清潔步驟,接著用水或抑制劑溶液清洗的 額外步驟。一些清洗劑會在工作部件的表面上留下沈積物 ,因此污染晶圓。增加第二步驟亦是缺點,由於其延長製 造程序、經由必須處理更多化學品和更多步驟而複雜化程 序、和提供污染物的另一個可能來源或其他品質控制問題 之事實。顯然地,清潔和保護半導體工作部件表面之方法 是想要的。 清潔化學品移除殘留金屬且使其保留在清潔溶液中的 -8- 200941582 能力亦是後-CMP清潔溶液的重要特徵。可以錯合在清潔 溶液中的殘留金屬之化學品是有效的清潔溶液,因爲殘留 金屬不會在其被移除之後再次沈積於半導體工作部件上。 這些錯合化學品被稱爲“螯合劑”。使用無法錯合殘留金屬 的化學品之清潔溶液典型地在所欲之清潔槽中進行不佳。 因此,想要能移除和錯合溶解於清潔溶液中的金屬的清潔 溶液。 φ 清潔半導體表面的另一普遍問題是污染物沈積在半導 體裝置表面上。任何清潔溶液(其甚至沈積非所欲組成物 的少數分子,例如碳)將對半導體裝置的性能有不利的影 響。需要清洗步驟之清潔溶液亦會導致污染物沈積在表面 上。因此,欲使用在半導體表面上留下很少殘留物至無殘 留物之清潔化學品。 亦可能想要具有表面潤濕劑於清潔溶液中。表面潤濕 劑藉由幫忙停止表面污點而阻止半導體工作部件的污染, © 該污點係經由黏在表面上之液滴所造成的。表面上的污點 (亦稱爲水痕)會充滿測量光點缺陷之度量工具,因此遮 蓋半導體工作部件的缺陷。 如上所指明,可利用的清潔溶液不會充分地符合後-CMP清潔的所有要求。現行發明的化學品利用多種添加劑 以提供一溶液,該溶液對氧不敏感、有效地移除顆粒、從 介電體表面移除金屬、爲中性至低pH範圍、使金屬免於 腐蝕和溶解、和不會污染半導體表面。 於一些情況中,生物可降解性亦是不符合規定的。因 -9- 200941582 此,ED ΤΑ於慣用試驗中證明是具有不充分的生物可降解 性’如同PDTA或HPDTA和相對應的胺基伸甲基膦酸酯 ,此外該胺基伸甲基膦酸酯常常是非所欲的,因爲其磷含 量。磷亦是半導體裝置內的摻雜劑,因此想要具有不含磷 光劑之化合物的CMP和後-CMP清潔溶液。 另外,後-CMP清潔和其他半導體應用中所使用的大 部分調合物包含錯合劑,有時稱爲螯合劑。許多金屬-螯 合官能性是已知的,正被連接的金屬離子經由配位連接至 ^ 相同分子內的二或更多個非金屬原子(配位基)。與作爲 每一環的部分之中心(金屬)原子形成雜環。當錯合物在 溶液中變得更可溶時,其可進行清潔程序。若經錯合的產 物於溶液中爲不可溶,其經由在金屬表面的頂部形成不可 溶膜而成爲鈍化劑。現行使用的錯合劑(例如,乙醇酸、A rough surface caused by a metal attack. An effective protective film reduces the corrosion of metal Q, as indicated by the electrostatic etch rate after cleaning and RMS値. The corrosion resistance of the cleaning solution can also be measured directly using electrochemical means well known to those skilled in the art. A preferred method of protecting the metal surface from oxidative corrosion is by passivating the metal surface after or during cleaning. Some existing acid cleaning products do not passivate the metal, but cause corrosion through oxidation of the metal surface during and after the cleaning step. It is also desirable to clean and protect the semiconductor surface in a single step. Flattening the crystal © round surface usually involves an additional step of cleaning followed by washing with water or an inhibitor solution. Some cleaning agents leave deposits on the surface of the working part, thus contaminating the wafer. Adding the second step is also a disadvantage due to its extended manufacturing process, the complexity of the process by having to handle more chemicals and more steps, and the fact that another possible source of contaminants or other quality control issues are provided. Obviously, a method of cleaning and protecting the surface of a semiconductor working part is desirable. Cleaning chemicals remove residual metals and leave them in the cleaning solution. -8- 200941582 Capability is also an important feature of post-CMP cleaning solutions. A chemical that can be mismatched with residual metal in the cleaning solution is an effective cleaning solution because the residual metal does not deposit on the semiconductor working component again after it has been removed. These mismatched chemicals are referred to as "chelating agents." Cleaning solutions using chemicals that do not mismatch residual metals typically do not perform well in the desired cleaning bath. Therefore, it is desirable to be able to remove and mismatch the cleaning solution of the metal dissolved in the cleaning solution. Another common problem with φ cleaning semiconductor surfaces is the deposition of contaminants on the surface of the semiconductor device. Any cleaning solution (which even deposits a small number of molecules of the desired composition, such as carbon) will adversely affect the performance of the semiconductor device. Cleaning solutions that require a cleaning step can also cause contaminants to deposit on the surface. Therefore, it is desirable to use a cleaning chemical that leaves little residue on the surface of the semiconductor to no residue. It may also be desirable to have a surface wetting agent in the cleaning solution. Surface wetting agents prevent contamination of the semiconductor working parts by helping to stop surface stains. © The stain is caused by droplets stuck to the surface. Smudges on the surface (also known as water marks) fill the measurement tool that measures the spot defects, thus covering the defects of the semiconductor working parts. As indicated above, the available cleaning solutions do not adequately meet all of the requirements for post-CMP cleaning. The chemicals of the current invention utilize a variety of additives to provide a solution that is insensitive to oxygen, effectively removes particles, removes metals from the surface of the dielectric, is neutral to low pH range, protects the metal from corrosion and dissolution. And does not contaminate the semiconductor surface. In some cases, biodegradability is also not compliant. -9- 200941582 Therefore, ED has been shown to have insufficient biodegradability in conventional tests' like PDTA or HPDTA and the corresponding amine-based methylphosphonate, in addition to the amine-methylmethylphosphonate. Undesired because of its phosphorus content. Phosphorus is also a dopant in semiconductor devices, so it is desirable to have a CMP and post-CMP cleaning solution with a phosphor free compound. In addition, most of the blends used in post-CMP cleaning and other semiconductor applications contain a miscending agent, sometimes referred to as a chelating agent. Many metal-chelating functionalities are known, and the metal ions being joined are linked via coordination to two or more non-metal atoms (coordinating sites) within the same molecule. A heterocyclic ring is formed with a central (metal) atom as a part of each ring. When the complex becomes more soluble in the solution, it can be cleaned. If the mismatched product is insoluble in solution, it becomes a passivating agent by forming an insoluble film on top of the metal surface. Current misuse agents (eg, glycolic acid,

乙醛酸、乳酸、膦酸)本質上是酸性的,且有攻擊殘留物 和移除金屬和金屬氧化物(例如,銅和氧化銅)兩者之傾 向。此對尋找僅選擇性地對金屬氧化物而不對金屬本身螯 Q 合功能的調合物構成問題,例如,於涉及金屬(例如,銅 )之應用中。據此,對一錯合劑有需求,該錯合劑不對金 屬基板攻擊且同時對於製造過程期間所產生的金屬離子有 效地提供蜜合作用。 本發明解決這些問題。 【發明內容】 發明槪述 -10- 200941582 本發明提供解決下面先前技術之組成物和方法一般所 •具有之一或多種問題:減少或消除腐蝕問題;免除大量使 用易燃溶劑;免除SARA Title III化學;及減低移動和過 渡金屬離子。 依據本發明之一具體例爲在銅或鋁表面的化學機械拋 光(一般稱爲後CMP清潔或PCMP)後使用水性溶液從金 屬或介電體表面移除殘留物之方法,該水性溶液包括含有 φ 至少一種具有一或多個醯胺肟官能基之化合物。咸信此化 合物作爲螯合化合物。組成物隨意地包含鹼性化合物和隨 意地酸。Glyoxylic acid, lactic acid, phosphonic acid) are acidic in nature and have the tendency to attack residues and remove both metal and metal oxides (e.g., copper and copper oxide). This pair poses a problem in finding a blend that selectively only chelate the metal oxide without the metal itself, for example, in applications involving metals such as copper. Accordingly, there is a need for a binder that does not attack the metal substrate and at the same time effectively provides honey synergy for the metal ions produced during the manufacturing process. The present invention addresses these problems. SUMMARY OF THE INVENTION The present invention provides a solution to one or more of the following prior art compositions and methods: reducing or eliminating corrosion problems; eliminating the use of flammable solvents in large quantities; exempting SARA Title III Chemistry; and reducing mobile and transition metal ions. According to one embodiment of the invention, a method of removing residues from a metal or dielectric surface using an aqueous solution after chemical mechanical polishing of a copper or aluminum surface (generally referred to as post CMP cleaning or PCMP), the aqueous solution comprising φ at least one compound having one or more amidoxime functional groups. This compound is believed to act as a chelating compound. The composition optionally contains a basic compound and an acid as it is.

PH 依據本發明之一具體例爲化學機械拋光銅或鋁表面之 方法,係藉由將上面組成物塗覆至銅或鋁表面,和在組成 物存在下拋光表面。於較佳具體例中,銅或鋁表面係藉由 將PH介於約3和約10之間的水性組成物塗覆至銅或鋁表 面’和在組成物存在下拋光表面而予以化學機械拋光。 本發明係關於在化學機械拋光後從金屬或介電體表面 移除化學殘留物之方法,係藉由使金屬或介電體表面與 PH介於約2和約1 1之間之水性組成物接觸一段時間足以 移除化學殘留物。 於另一具體例中,本發明係關於化學機械拋光銅表面 之方法,係藉由將pH介於約3.7和約7之間之水性組成 物塗覆至銅表面,和在組成物存在下拋光表面。出人意外 -11 - 200941582 地’本發明之調合物對酸性和鹼性pH範圍兩者皆有效, 基於應用的需求而非基於CMP或後_cmp清潔組成物的有 效性,考慮到pH的客製化。 於另一具體例中’本發明係關於化學機械拋光鋁表面 之方法’係藉由將pH介於約3 · 7和約7之間之水性組成 物塗覆至鋁表面’和在組成物存在下拋光表面。 有機酸和/或鹼性成分 於本發明之具體例中,水性組成物可包括:a )單官 能、雙官能或三官能有機酸;和/或b)緩衝量的一或多種 鹼性化合物’該鹼性化合物係選自四級胺、羥基胺、羥基 胺衍生物(包括鹽類)、肼或肼鹽鹼、銨化合物' 和一或 多種烷醇胺。 於另一具體例中,組成物包含至少一種鹼性( alkaline, basic)化合物,其爲烷醇胺。較佳的烷醇胺爲 單乙醇胺、2- ( 2-羥基乙基胺基)乙醇、2- ( 2-胺基乙氧 基)乙醇、N,N,N-三(2-羥基乙基)-氨、異丙醇胺、3-胺 基-1-丙醇、2-胺基-1-丙醇、2-(N-甲基胺基)乙醇、2-( 2-胺基乙基胺基)乙醇、三(羥基甲基)胺基乙烷及其混 合物。 適合的有機酸包括甲磺酸、草酸、乳酸、檸檬酸 '茬 磺酸、甲苯磺酸、甲酸、酒石酸、丙酸、苯甲酸、抗壞血 酸、葡萄糖酸、蘋果酸、丙二酸、琥珀酸、五倍子酸、丁 酸、三氟乙酸、乙醇酸、及其混合物。 -12- 200941582 螯合劑 •於另一替代或額外的具體例中,水性組成物可以包括 將與過渡金屬離子和移動離子錯合之螯合劑。於較佳具體 例中,螯合劑包括乙二胺四乙酸(EDTA )、肟、8-羥基 唼啉、聚伸烷基聚胺或冠醚。 氧化劑 〇 於另一替代或額外的具體例中,水性組成物可以包括 將維持金屬膜氧化物層之氧化劑。於較佳具體例中,氧化 劑包括過氧二硫酸銨、過氧乙酸、氫過氧化脲、過氧碳酸 鈉或過氧硼酸鈉。 本發明的清潔劑亦爲螯合劑。本發明的清潔作用有效 地從半導體工作部件的表面移除殘留顆粒,和錯合於溶液 中經移除的金屬。因此,清潔效能藉由避免金屬再次沈積 於半導體工作部件表面上而有效地改善。 © 本發明的腐蝕抑制化合物使半導體工作部件的金屬免 於氧化和腐蝕。腐鈾抑制化合物有效地在半導體工作部件 的金屬上形成膜,使得金屬表面於清潔步驟期間和之後免 於化學、電流和光所引起的攻擊。一個較佳的具體例藉由 還原金屬表面而形成保護膜。藉由使金屬表面免於被侵蝕 ,金屬保持其所欲之厚度和帶電容量(electrical carrying capacity ) 。 本發明的清潔溶液對氧不具有高度敏感性,因爲其不 包含任何氧敏感性化合物。因爲清潔溶液對氧不具有高度 -13- 200941582 敏感性,清潔溶液的效能不會被存在於清潔設備內的 影響。因此,可使用本發明的清潔溶液,無需額外的預防 措施以清除儲存、搬運和清潔設備的實質上所有空氣。 本發明的清潔溶液清潔半導體工作部件和在相同步驟 內於金屬表面上形成腐蝕抑制膜。因爲清潔和腐蝕抑制是 在單一步驟完成,藉由處理一完全分離的溶液而意外污染 的可能性較小。再者,藉由不須要加入額外的抑制步驟而 節省寶貴的加工時間。清潔溶液的一些較佳具體例包括表 面活性劑,亦作爲表面潤濕劑。表面活性劑有助於避免表 面上的污點(水痕),該污點可以爲污染的來源或遮掩半 導體工作部件的缺陷。 後CMP清潔劑 本發明的一個具體例涉及將含有醯胺肟化合物之水性 組成物用於半導體應用,該醯胺肟化合物包含一或多個醯 胺肟官能基,其中該醯胺肟化合物與表面上殘留的金屬( 或金屬氧化物)或兩者錯合。隨意地,組成物包含一或多 種有機溶劑。隨意地,組成物包含一或多種界面活性劑。 隨意地,組成物包含一或多種含有與金屬或金屬氧化物錯 合或螯合之官能基之額外化合物。隨意地,組成物包含具 有氧化和還原電位之化合物,例如,羥基胺或羥基胺衍生 物(例如,鹽)和過氧化氫。 組成物可包含從約0,1重量%至約9 9.9重量%的水, 和從約0.01重量%至約99.9重量%的一或多種化合物,該 200941582 化合物具有一或多個醯胺肟官能基。 組成物亦可包括界面活性劑。 本發明方法亦可使用組成物,該組成物實質上不含下 列物質:含氟(fluoride )之化合物、酸化合物、有機溶劑、 烷醇胺、四級銨化合物、羥基胺和羥基胺衍生物、不含羥基 之胺、烷醇胺、無醯胺肟基團之螯合劑、和界面活性劑。 組成物於此可包含實質上無額外的成分。 醯胺肟基團的較佳來源係來自腈化合物,該腈化合物 係衍生自選自下列化合物的氰乙基化作用:糖醇、羥基酸 、糖酸、多醇單體(m〇n〇meric p〇iy〇is)、多經基醇、乙 二醇酸、多醇聚合物(polymeric polyols)、聚乙二醇、 聚丙二醇、胺、醯胺、醯亞胺、胺基醇、和合成的聚合物 含腈之化合物與羥基胺的反應如下’例如:PH According to one embodiment of the present invention, a method of chemically polishing a copper or aluminum surface by applying the above composition to a copper or aluminum surface, and polishing the surface in the presence of the composition. In a preferred embodiment, the copper or aluminum surface is chemically mechanically polished by applying an aqueous composition having a pH between about 3 and about 10 to the copper or aluminum surface' and polishing the surface in the presence of the composition. . The present invention relates to a method for removing chemical residues from a metal or dielectric surface after chemical mechanical polishing by using a metal or dielectric surface with an aqueous composition having a pH between about 2 and about 1 1 Contact for a period of time is sufficient to remove chemical residues. In another embodiment, the invention relates to a method of chemical mechanical polishing of a copper surface by applying an aqueous composition having a pH between about 3.7 and about 7 to a copper surface and polishing in the presence of the composition. surface. Surprisingly -11 - 200941582 地 'The combination of the present invention is effective for both acidic and alkaline pH ranges, based on the application requirements rather than the effectiveness of cleaning compositions based on CMP or post-cmp, taking into account the pH of the guest Systematization. In another embodiment, 'the present invention relates to a method of chemical mechanical polishing of an aluminum surface' by applying an aqueous composition having a pH between about 3.7 and about 7 to an aluminum surface' and present in the composition Polish the surface. Organic Acids and/or Basic Ingredients In a particular embodiment of the invention, the aqueous composition may comprise: a) a monofunctional, difunctional or trifunctional organic acid; and/or b) a buffering amount of one or more basic compounds' The basic compound is selected from the group consisting of a quaternary amine, a hydroxylamine, a hydroxylamine derivative (including a salt), a hydrazine or hydrazine base, an ammonium compound, and one or more alkanolamines. In another embodiment, the composition comprises at least one alkaline compound which is an alkanolamine. Preferred alkanolamines are monoethanolamine, 2-(2-hydroxyethylamino)ethanol, 2-(2-aminoethoxy)ethanol, N,N,N-tris(2-hydroxyethyl) - ammonia, isopropanolamine, 3-amino-1-propanol, 2-amino-1-propanol, 2-(N-methylamino)ethanol, 2-(2-aminoethylamine Ethyl alcohol, tris(hydroxymethyl)aminoethane, and mixtures thereof. Suitable organic acids include methanesulfonic acid, oxalic acid, lactic acid, citric acid 'sulfonic acid, toluenesulfonic acid, formic acid, tartaric acid, propionic acid, benzoic acid, ascorbic acid, gluconic acid, malic acid, malonic acid, succinic acid, gallnut Acid, butyric acid, trifluoroacetic acid, glycolic acid, and mixtures thereof. -12- 200941582 Chelating Agents • In another alternative or additional embodiment, the aqueous composition can include a chelating agent that will mate with the transition metal ions and mobile ions. In a preferred embodiment, the chelating agent comprises ethylenediaminetetraacetic acid (EDTA), hydrazine, 8-hydroxyporphyrin, polyalkylene polyamine or crown ether. Oxidant 〇 In another alternative or additional embodiment, the aqueous composition can include an oxidizing agent that will maintain a metal film oxide layer. In a preferred embodiment, the oxidizing agent comprises ammonium peroxodisulfate, peroxyacetic acid, urea hydroperoxide, sodium peroxycarbonate or sodium peroxoperate. The cleaning agent of the present invention is also a chelating agent. The cleaning action of the present invention effectively removes residual particles from the surface of the semiconductor working component and is mismatched with the removed metal in the solution. Therefore, the cleaning performance is effectively improved by avoiding the metal from being deposited again on the surface of the semiconductor working member. © The corrosion inhibiting compound of the present invention protects the metal of the semiconductor working component from oxidation and corrosion. The uranium inhibiting compound effectively forms a film on the metal of the semiconductor working component such that the metal surface is protected from attack by chemicals, currents, and light during and after the cleaning step. A preferred embodiment forms a protective film by reducing the surface of the metal. By protecting the metal surface from erosion, the metal maintains its desired thickness and electrical carrying capacity. The cleaning solution of the present invention is not highly sensitive to oxygen because it does not contain any oxygen sensitive compounds. Since the cleaning solution does not have a high sensitivity to oxygen -13-200941582, the effectiveness of the cleaning solution is not affected by the presence in the cleaning device. Thus, the cleaning solution of the present invention can be used without the need for additional precautions to remove substantially all of the air from which the equipment is stored, handled and cleaned. The cleaning solution of the present invention cleans the semiconductor working member and forms a corrosion-inhibiting film on the metal surface in the same step. Since cleaning and corrosion inhibition are accomplished in a single step, there is less likelihood of accidental contamination by treating a completely separate solution. Furthermore, valuable processing time is saved by eliminating the need for additional suppression steps. Some preferred examples of cleaning solutions include surfactants and also as surface wetting agents. Surfactants help to avoid stains (water marks) on the surface that can be a source of contamination or mask defects in the working parts of the semiconductor. Post-CMP Cleaner A specific embodiment of the invention relates to the use of an aqueous composition comprising an amidoxime compound comprising one or more amidoxime functional groups, wherein the amidoxime compound and surface are used in a semiconductor application The remaining metal (or metal oxide) or both are misaligned. Optionally, the composition comprises one or more organic solvents. Optionally, the composition comprises one or more surfactants. Optionally, the composition comprises one or more additional compounds containing functional groups that are mis- or chelated with the metal or metal oxide. Optionally, the composition comprises a compound having an oxidation and reduction potential, for example, a hydroxylamine or hydroxylamine derivative (e.g., a salt) and hydrogen peroxide. The composition may comprise from about 0,1% to about 99.9% by weight water, and from about 0.01% to about 99.9% by weight of one or more compounds having one or more amidoxime functional groups. . The composition may also include a surfactant. The method of the present invention may also use a composition which is substantially free of the following: a fluorine compound, an acid compound, an organic solvent, an alkanolamine, a quaternary ammonium compound, a hydroxylamine, and a hydroxylamine derivative, Hydroxyl-free amines, alkanolamines, chelating agents without amidoxime groups, and surfactants. The composition herein may comprise substantially no additional ingredients. A preferred source of the amidoxime group is derived from a nitrile compound derived from cyanoethylation selected from the group consisting of sugar alcohols, hydroxy acids, sugar acids, polyol monomers (m〇n〇meric p 〇iy〇is), polyhydric alcohol, glycolic acid, polymeric polyols, polyethylene glycol, polypropylene glycol, amines, decylamine, quinone imine, amino alcohol, and synthetic polymerization The reaction of a nitrile-containing compound with a hydroxylamine is as follows 'for example:

RrRr

h2n^〇HH2n^〇H

N-0HN-0H

NH2 腈 羥基胺 醯胺肟(AO) 醯胺肟結構可以其共振形式表示,如下說明:NH2 Nitrile Hydroxylamine Amidoxime (AO) Amidoxime structure can be expressed in its resonant form, as explained below:

N-OHN-OH

、NH2NH2

HN—OHHN-OH

RrC 1 W NH 醯胺肟(AO) 醯胺肟係藉由羥基胺與腈化合物之反應而製得。經歷 氰乙基化作用的最佳化合物包括下列: • 含有一或多種-OH或_SH基團之化合物,例如,水、 -15- 200941582 醇、酚、肟、硫化氫和硫醇。 • 含有一或多種-NH-基團之化合物’例如’氨、一級和 二級胺、肼、和醯胺。 - • 具有與羰基相鄰的-CH-、-CH2-、或-CH3基團之酮或 醛。 • -CH-或-CH2-基團位於-C02R、-CN' 或-CONH -基團 之間的化合物(例如,丙二酸酯、丙二醯胺和氰基乙RrC 1 W NH Amidoxime (AO) Amidoxime is prepared by the reaction of a hydroxylamine with a nitrile compound. The most preferred compounds which undergo cyanoethylation include the following: • Compounds containing one or more -OH or _SH groups, for example, water, -15-200941582 alcohols, phenols, hydrazine, hydrogen sulfide, and mercaptans. • Compounds containing one or more -NH- groups such as 'ammonia, primary and secondary amines, hydrazine, and decylamine. - a ketone or aldehyde having a -CH-, -CH2-, or -CH3 group adjacent to a carbonyl group. • Compounds with a -CH- or -CH2- group between the -C02R, -CN' or -CONH- groups (for example, malonate, propylenediamine and cyanoethyl)

醯胺)。 Q 上面化合物可見於 CRC Handbook-Table for OrganicGuanamine). Q The above compounds can be found in CRC Handbook-Table for Organic

Compound Identification, 3rd Ed. Published by The Chemical Rubber Company,此Table倂入本文中作爲參考 ο 含有醯胺肟之調合物可隨意地包括其他錯合劑’且醯 胺肟化合物可具有其他官能基’該官能基在該分子本身內 具有螯合官能性。 本發明組成物包括含有水和至少—種化合物之半導體 ❹ 加工組成物,該化合物包含至少一種醯胺肟官能基。至少 一種醯胺肟官能基係衍生自腈化合物是較佳具體例。 於一些具體例中,腈化合物係衍生自選自下列化合物 的氰乙基化作用:糖醇、羥基酸、糖酸、多醇單體、多羥 基醇、乙二醇醚、多醇聚合物、聚乙二醇、聚丙二醇、胺 、醯胺、醯亞胺、胺基醇、和合成的聚合物。 本發明的一個具體例是從金屬或介電體表面移除化學 殘留物的方法,其包括··提供半導體表面,其中該表面包 -16- 200941582 括至少一種金屬或金屬氧化物,和藉由使金屬或介電體表 面與清潔組成物接觸而經歷化學機械拋光,該清潔組成物 包括:至少約1 〇重量%的由水和隨意地有機溶劑所組成的 混合物;從約0.1重量%至約35重量%的至少一種含有至 少一個醯胺肟官能基之化合物:隨意地一或多種其他有機 酸化合物。 於另一具體例中,組成物包括介於0.1重量%至45重 〇 量%之間的一或多種有機酸,該有機酸係選自單官能、雙 官能或三官能有機酸,和介於之間〇 · 5重量%和3 0重量% 之間的氧化劑。一或多種氧化劑可選自過氧化氫、過氧二 硫酸銨、過氧乙酸、氫過氧化脲 '過氧碳酸鈉、過氧硼酸 鈉及其混合物。 隨意地,清潔組成物可包含緩衝量的至少一種鹼性化 合物,例如,銨化合物、羥基胺、羥基胺衍生物、烷醇胺 及其混合物。於一具體例中,清潔組成物至少包含羥基胺 〇 或羥基胺衍生物作爲鹼性成分,其存在量可爲從約0.3重 量%至約1 5重量%。於另一具體例中,組成物包含銨成分 (例如,氫氧化四烷基銨、TMAH五水合物、BTMAH (氫 氧化苄基四甲基銨)、TBAH、膽鹼、或THEMAH (氫氧 化三(2-羥基乙基)甲基銨)),較佳的存在量爲從約 〇· 1重量%至約50重量%。於另一具體例中,組成物包含 烷醇胺成分,該烷醇胺成分包括但不限於:單乙醇胺、2-(2-羥基乙基胺基)乙醇、2-(2-胺基乙氧基)乙醇、 N,N,N-三(2-羥基乙基)-氨、異丙醇胺、3-胺基-卜丙醇 -17- 200941582 、2 -胺基-1-丙醇、2- (N -甲基胺基)乙醇、2- (2 -胺基乙 基胺基)乙醇、三(羥基甲基)胺基乙烷、或其混合物。 於一些具體例中,清潔組成物中和及移除殘留加工調 合物中的胺和/或羥基胺,和其中該接觸以小於約1 7埃/分 鐘之速率移除半導體表面的金屬或金屬氧化物。 於較佳具體例中,清潔組成物包括緩衝量的至少一種 鹼性化合物,該鹼性化合物係選自:銨化合物;羥基胺; 羥基胺衍生物;和一或多種烷醇胺。緩衝量爲,例如,從 0 約0 · 1重量%至約5重量%的鹼性化合物。—種較佳鹼性化 合物爲膽驗。另一種爲經基胺。 於一些具體例中,清潔(例如,後-CMP )組成物包 括一或多種有機酸化合物,其可以爲,例如,甲磺酸、草 酸、乳酸、檸檬酸、茬磺酸、甲苯磺酸、甲酸、酒石酸、 丙酸、苯甲酸、抗壞血酸、葡萄糖酸、蘋果酸、丙二酸、 琥珀酸、五倍子酸、丁酸、三氟乙酸、乙醇酸、甲磺酸、 草酸、乳酸、檸檬酸、及其混合物。一或多種有機酸化合 0 物的存在量可爲從約0.2重量%至約45重量%。 組成物可進一步包含有機溶劑或表面活性劑。 於一些具體例中,與水互溶的有機溶劑的含量爲從約 5重量%至約15重量%。 其他較佳具體例包含表面活性劑,例如:(a )非離 子性;(b )陰離子性;(c )陽離子性;(d )兩性離子 ;(e )兩性界面活性劑;(f)及其混合物。 本發明的另一具體例爲清潔半導體工作部件之方法, -18- 200941582 其在半導體裝置製造期間化學-機械平坦化(CMP )晶圓 •之後;該方法包括下列步驟:(a )提供半導體工作部件 ,其中該半導體工作部件包括:(i)金屬線,其中該金 屬線包括銅或銘;(Π)阻障材料,其中該阻障材料可以 選自 a).鉬(Ta) ,b).氮化鉅,c)·鈦(Ti) ’ d ) ·氮化欽(TiN ) ’ e ) ·鎢(w ) ’和f )氮化鎢( WN):和(iii)介電體,(b)使該半導體工作部件與含 0 有清潔劑之清潔溶液接觸’其中該清潔劑包括:(i)水 :和(ii) 一或多種醯胺肟化合物。 於一些具體例中’清潔劑另外包括表面活性劑,其可 以選自:(a)非離子性;(b)陰離子性;(c)陽離子 性;(d )兩性離子;(e )兩性界面活性劑;(f)及其 混合物。隨意地,清潔劑包含至少一種鹼性化合物,其包 括一或多種烷醇胺,該烷醇胺係選自單乙醇胺、2- ( 2-羥 基乙基胺基)乙醇、2-(2-胺基乙氧基)乙醇、Ν,Ν,Ν-三 (2 -經基乙基)·氨、異丙醇胺、3 -胺基-1-丙醇、2-胺基-1-丙醇、2- (Ν-甲基胺基)乙醇、2- (2-胺基乙基胺基) 乙醇、三(羥基甲基)胺基乙烷及其混合物。清潔劑的存 在量可爲從約0.5重量%至約50重量%。 於一些具體例中,清潔劑(後-CMP清潔劑)實質上 不含下列物質:含氟(fluoride )之化合物、酸化合物、 有機溶劑、烷醇胺、四級銨化合物、羥基胺和羥基胺衍生 物、不含羥基之胺、烷醇胺、無醯胺肟基團之螯合劑、和 界面活性劑。 -19- 200941582 於一具體例中,醯胺肟基團係衍生自腈化合物',該腈 化合物係衍生自選自下列化合物的氰乙基化作用:糖醇、 羥基酸、糖酸、多醇單體、多羥基醇、乙二醇醚、多醇聚 合物、聚乙二醇、聚丙二醇、胺、醯胺、醯亞胺、胺基醇 、和合成的聚合物。 清潔劑在與半導體工作部件接觸之前,可進一步用例 如水稀釋。於其他具體例中,清潔劑或組成物在使用之前 或在使用期間或之後的再補充之前被稀釋,其中在得到的 © 混合物接觸基板之前於約一天內,將至多500份的水加到 該組成物中。有數次,在得到的混合物接觸基板之前於約 1小時內,將至多5 0 0份的水加到組成物中。於一具體例 中,稀釋因子爲從約10至約5 00。Compound Identification, 3rd Ed. Published by The Chemical Rubber Company, the disclosure of which is hereby incorporated by reference herein in its entirety in its entirety in its entirety in the in the the the the the the the the the The group has a chelating functionality within the molecule itself. The compositions of the present invention comprise a semiconductor ruthenium processing composition comprising water and at least one compound comprising at least one amidoxime functional group. At least one amidoxime functional group derived from a nitrile compound is a preferred embodiment. In some embodiments, the nitrile compound is derived from a cyanoethylation selected from the group consisting of sugar alcohols, hydroxy acids, sugar acids, polyol monomers, polyhydric alcohols, glycol ethers, polyol polymers, poly Ethylene glycol, polypropylene glycol, amines, decylamine, quinone imine, amino alcohol, and synthetic polymers. A specific embodiment of the invention is a method of removing chemical residues from a metal or dielectric surface, comprising: providing a semiconductor surface, wherein the surface package-16-200941582 includes at least one metal or metal oxide, and The metal or dielectric surface is subjected to chemical mechanical polishing by contacting the cleaning composition, the cleaning composition comprising: at least about 1% by weight of a mixture of water and optionally an organic solvent; from about 0.1% by weight to about 35 wt% of at least one compound containing at least one amidoxime functional group: optionally one or more other organic acid compounds. In another embodiment, the composition comprises between 0.1% and 45% by weight of the organic acid selected from the group consisting of monofunctional, difunctional or trifunctional organic acids, and Between 5%·5% by weight and 30% by weight of oxidant. The one or more oxidizing agents may be selected from the group consisting of hydrogen peroxide, ammonium peroxodisulfate, peroxyacetic acid, urea hydroperoxide 'sodium percarbonate, sodium perborate and mixtures thereof. Optionally, the cleaning composition can comprise a buffering amount of at least one basic compound, for example, an ammonium compound, a hydroxylamine, a hydroxylamine derivative, an alkanolamine, and mixtures thereof. In one embodiment, the cleaning composition comprises at least a hydroxylamine oxime or a hydroxylamine derivative as an alkaline component, which may be present in an amount from about 0.3% by weight to about 15% by weight. In another embodiment, the composition comprises an ammonium component (eg, tetraalkylammonium hydroxide, TMAH pentahydrate, BTMAH (benzylbenzylammonium hydroxide), TBAH, choline, or THEMAH (three hydroxides) (2-Hydroxyethyl)methylammonium)) is preferably present in an amount from about 0.1% by weight to about 50% by weight. In another embodiment, the composition comprises an alkanolamine component including, but not limited to, monoethanolamine, 2-(2-hydroxyethylamino)ethanol, 2-(2-aminoethoxy) Ethyl alcohol, N, N, N-tris(2-hydroxyethyl)-ammonia, isopropanolamine, 3-amino-propanol-17- 200941582, 2-amino-1-propanol, 2 - (N-methylamino)ethanol, 2-(2-aminoethylamino)ethanol, tris(hydroxymethyl)aminoethane, or a mixture thereof. In some embodiments, the cleaning composition neutralizes and removes amines and/or hydroxylamines in the residual processing blend, and wherein the contacting removes the metal or metal oxide at a rate of less than about 17 angstroms per minute. Things. In a preferred embodiment, the cleaning composition comprises a buffering amount of at least one basic compound selected from the group consisting of: an ammonium compound; a hydroxylamine; a hydroxylamine derivative; and one or more alkanolamines. The amount of buffering is, for example, from about 0. 1% by weight to about 5% by weight of the basic compound. A preferred alkaline compound is a test. The other is a transamine. In some embodiments, the clean (eg, post-CMP) composition includes one or more organic acid compounds, which may be, for example, methanesulfonic acid, oxalic acid, lactic acid, citric acid, sulfonic acid, toluenesulfonic acid, formic acid. , tartaric acid, propionic acid, benzoic acid, ascorbic acid, gluconic acid, malic acid, malonic acid, succinic acid, gallic acid, butyric acid, trifluoroacetic acid, glycolic acid, methanesulfonic acid, oxalic acid, lactic acid, citric acid, and mixture. The one or more organic acid compounds may be present in an amount from about 0.2% to about 45% by weight. The composition may further comprise an organic solvent or a surfactant. In some embodiments, the water-miscible organic solvent is present in an amount from about 5% by weight to about 15% by weight. Other preferred embodiments include surfactants such as: (a) nonionic; (b) anionic; (c) cationic; (d) zwitterionic; (e) amphoteric surfactant; (f) mixture. Another embodiment of the present invention is a method of cleaning a semiconductor operating component, -18-200941582 after chemical-mechanical planarization (CMP) wafers during semiconductor device fabrication. The method includes the following steps: (a) providing semiconductor operation The component, wherein the semiconductor working component comprises: (i) a metal wire, wherein the metal wire comprises copper or a barrier material, wherein the barrier material may be selected from the group consisting of a) molybdenum (Ta), b). Nitride giant, c) titanium (Ti) 'd) · nitride (TiN) 'e) · tungsten (w) ' and f) tungsten nitride (WN): and (iii) dielectric, (b The semiconductor working component is contacted with a cleaning solution containing 0 detergent. The cleaning agent comprises: (i) water: and (ii) one or more amidoxime compounds. In some embodiments, the detergent further comprises a surfactant which may be selected from the group consisting of: (a) nonionic; (b) anionic; (c) cationic; (d) zwitterionic; (e) amphoteric interfacial activity (f) and mixtures thereof. Optionally, the cleaning agent comprises at least one basic compound comprising one or more alkanolamines selected from the group consisting of monoethanolamine, 2-(2-hydroxyethylamino)ethanol, 2-(2-amine Ethyl ethoxy)ethanol, hydrazine, hydrazine, hydrazine-tris(2-propylethyl)-ammonium, isopropanolamine, 3-amino-1-propanol, 2-amino-1-propanol, 2-(Ν-methylamino)ethanol, 2-(2-aminoethylamino)ethanol, tris(hydroxymethyl)aminoethane, and mixtures thereof. The detergent may be present in an amount from about 0.5% to about 50% by weight. In some embodiments, the cleaning agent (post-CMP cleaner) is substantially free of the following: fluoride compounds, acid compounds, organic solvents, alkanolamines, quaternary ammonium compounds, hydroxylamines, and hydroxylamines. Derivatives, hydroxyl-free amines, alkanolamines, chelating agents without amidoxime groups, and surfactants. -19- 200941582 In one embodiment, the amidoxime group is derived from a nitrile compound, which is derived from a cyanoethylation selected from the group consisting of sugar alcohols, hydroxy acids, sugar acids, polyols. Body, polyhydric alcohol, glycol ether, polyol polymer, polyethylene glycol, polypropylene glycol, amine, decylamine, quinone imine, amino alcohol, and synthetic polymers. The cleaning agent can be further diluted with, for example, water prior to contact with the semiconductor working component. In other embodiments, the cleaning agent or composition is diluted prior to use or prior to or after use, wherein up to 500 parts of water are added to the substrate before the resulting © mixture contacts the substrate. In the composition. Several times, up to 500 parts of water is added to the composition in about one hour before the resulting mixture contacts the substrate. In one embodiment, the dilution factor is from about 10 to about 500.

清潔溶液可具有(1)另一種螯合劑,其不包含醯胺 肟官能基,例如,乙二胺四乙酸、異羥肟酸、肟、8-羥基 喹啉、聚伸烷基聚胺、***、冠醚、及其混合物,和/或 (2)氧化劑,例如,過氧二硫酸銨、過氧乙酸、氫過氧 U 化脲、過氧碳酸鈉、有機過氧化物、過氧硼酸鈉及其混合 物。 本發明的另一具體例爲從金屬或介電體表面移除殘留 物和污染物之方法。該方法具有至少下列步驟:(1 )提 供半導體表面,其中該表面包括至少一種金屬或金屬氧化 物,和在其上具有含有胺、羥基胺、或其混合物之清潔調 合物;(2)使金屬或介電體表面與後清潔組成物接觸, 該後清潔組成物包含一或多種醯胺肟化合物、水、介於1 -20- 200941582 重量%至25重量%之間的一或多種有機酸,該有機酸係選 自單官能、雙官能和三官能有機酸,和介於0.5重量%和 30重量%之間的氧化劑,一段時間足以移除殘留清潔調合 物。後清潔(post cleaning,post-clean)組成物的 pH 爲 介於約3.5和約7之間,且該接觸以小於約1 7埃/分鐘之 速率移除半導體表面的金屬或金屬氧化物。 於本發明的一具體例中,半導體表面包括金屬,該金 〇 屬包括A1、Α1/(0·5重量%) Cu合金、Ti、W、Ta、或其 合金,且其中該接觸步驟從半導體表面以小於約1埃/分 鐘之速率移除金屬或金屬氧化物。於本發明的另一具體例 中,該方法的接觸步驟從半導體表面以小於約17埃/分鐘 之速率移除銅金屬或氧化銅。隨意地,後清潔組成物亦可 包含介於0.01重量%和10重量%之間的螯合劑。於另一具 體例中,後清潔組成物的表面張力爲近乎70達因/公分或 更小。 ❹ 本發明方法和組成物可以從金屬和介電體表面兩者移 除化學殘留物。於一些情況中,殘留物來自液體殘留CMP 或鈾刻殘留物移除劑調合物,該調合物包括,例如,胺、 羥基胺、或其混合物。化學殘留物係藉由使金屬或介電體 表面與後蝕刻清潔組成物接觸一段時間足以移除殘留加工 調合物而予以移除’該後蝕刻清潔組成物包括:一或多種 具有至少一個酿胺聘官能基之化合物 '水、介於1重量% 至25重量%之間的一或多種有機酸,該有機酸係選自單官 能、雙官能或三官能有機酸;介於〇 · 5重量%和3 0重量% -21 - 200941582 之間的氧化劑:和水’其中該後清潔組成物的PH爲介於 約3.5和約7之間,其中該後清潔組成物中和及移除殘留 加工調合物中的胺和/或經基胺’和其中該接觸以小於約 17埃/分鐘之速率從半導體表面移除銅或氧化銅,或於其 他具體例中,半導體表面具有含有A1、A1/ ( 〇.5重量% ) Cu合金、Ti、W、Ta、或其合金之金屬,且其中接觸該等 金屬,後蝕刻清潔組成物從半導體表面以小於約1A/分鐘 之速率移除金屬或金屬氧化物。這些調合物中的一些亦可 具有介於〇 . 〇 1重量%和1 〇重量%之間的螯合劑,其可幫忙 減少再沈積作用和有助於移除。於一些情況中,後清潔組 成物的表面張力爲近乎70達因/公分或更小。 後-C Μ P清潔化學品於此爲能無須清洗步驟而使用; 且於一些情況中’進行後-CMP清潔的方法,無須清洗步 驟,不像許多現行的後-CMP清潔劑。 化學機械平坦化 本發明亦應用化學機械平坦化半導體工作部件之方法 ;該方法包括下列步驟·· ( a )提供半導體工作部件,其 中該半導體工作部件包括:(i)金屬線,其中該金屬線 包括銅或銘’ (ϋ)阻障材料,其中該阻障材料可以選自 a).鉬(Ta) ,b) ·氮化鉬(TaN) , c)鈦(Ti) ,d) 氮化I太(TiN) ’ e) ·鶴(w),和f).氮化鶴(WN ); 及(iii)介電體,(b)使該半導體工作部件與含有清潔 劑之清潔溶液接觸,其中該清潔劑包括:(丨)水;(Η) -22- 200941582 一或多種含有至少一個醯胺肟官能基之化合物。 於CMP應用中的使用,清潔劑可另外包括一或多種 氧化劑和一或多種表面活性劑,例如,文中所揭示之類別 的界面活性劑(陰離子界面活性劑、兩性離子界面活性劑 、多離子性界面活性劑、或其組合)。該等界面活性劑的 範例爲:聚丙烯酸的鈉鹽、油酸鉀、磺琥珀酸酯( sulfosuccinates)、磺號拍酸醋衍生物、擴酸化的胺、磺 φ 酸化的醯胺、醇的硫酸鹽、烷磺酸鹽(alkylanyl sulfonates)、羧酸化的醇、烷基胺基丙酸、烷基亞胺二 丙酸、和其組合,且其中組成物包括介於約〇 . 〇 〇 1重量% 至約1 0重量%之間的界面活性劑。 於CMP應用中,pH可被調整至介於約2和約11之 間。pH調整的較佳添加劑爲乙酸、磷酸、草酸、和其組 合,且其中組成物的p Η介於約2和約1 1之間。 該等化學品於CMP應用中可爲含有硏磨粒子之漿料 φ ,組成物包括約35重量%或更少的該硏磨粒子,且其中硏 磨粒子包括選自下列之材料:二氧化矽、氧化鋁、氧化鈦 、氧化鉻、氧化铈、和其組合。化學品亦可包括一或多種 腐蝕抑制劑、水、和其組合。 於一些具體例中,在第一 CMP組成物被輸送至拋光 墊之後,一或多種含有至少一個醯胺肟基團之化合物係於 原位與第一CΜΡ組成物介於約3 0秒和約3 00秒之間。 於另一具體例中,組成物在使用前於此以下列含量方 式予以稀釋:至多約1 000重量份的水對約1重量份的組 -23- 200941582 成物,更佳地,至多約5 00重量份的水對約1重量份的組 成物,或至多約100重量份的水對約1重量份的組成物, 或至多約10重量份的水對約1重量份的組成物,或1重 量份的水對約1重量份的組成物,包括介於之間的比例。 於一些具體例中,在使用前進行稀釋,而在另一具體例中 則在使用之後進行稀釋。當在使用前進行時,加入水,例 如,在約一星期內,或約一天內,或約1小時內。已發現 ,新鮮的稀釋液比若該稀釋發生在距使用前多於約一星期 者更有效。藉由使用,例如,混合物與基板接觸。 較佳具體例的描述The cleaning solution may have (1) another chelating agent which does not contain an amidoxime functional group, for example, ethylenediaminetetraacetic acid, hydroxamic acid, hydrazine, 8-hydroxyquinoline, polyalkylene polyamine, three An azole, a crown ether, and mixtures thereof, and/or (2) an oxidizing agent, for example, ammonium peroxodisulfate, peroxyacetic acid, hydroperoxide, urea, sodium percarbonate, organic peroxide, sodium peroxyborate And mixtures thereof. Another embodiment of the invention is a method of removing residues and contaminants from the surface of a metal or dielectric. The method has at least the following steps: (1) providing a semiconductor surface, wherein the surface comprises at least one metal or metal oxide, and a cleaning blend having an amine, a hydroxylamine, or a mixture thereof thereon; (2) a metal Or the surface of the dielectric body is in contact with the post-cleaning composition, the post-cleaning composition comprising one or more amidoxime compounds, water, one or more organic acids ranging from 1 -20 to 2009 41582% by weight to 25% by weight, The organic acid is selected from the group consisting of monofunctional, difunctional, and trifunctional organic acids, and between 0.5% and 30% by weight of the oxidizing agent, for a period of time sufficient to remove residual cleaning modifier. The pH of the post cleaning (post-clean) composition is between about 3.5 and about 7, and the contact removes the metal or metal oxide of the semiconductor surface at a rate of less than about 17 angstroms per minute. In a specific embodiment of the invention, the semiconductor surface comprises a metal comprising A1, Α1/(0.5% by weight) Cu alloy, Ti, W, Ta, or an alloy thereof, and wherein the contacting step is performed from a semiconductor The surface removes the metal or metal oxide at a rate of less than about 1 angstrom/minute. In another embodiment of the invention, the contacting step of the method removes copper metal or copper oxide from the surface of the semiconductor at a rate of less than about 17 angstroms per minute. Optionally, the post-cleaning composition may also comprise between 0.05% and 10% by weight of a chelating agent. In another embodiment, the post-cleaning composition has a surface tension of approximately 70 dynes/cm or less. ❹ The methods and compositions of the present invention remove chemical residues from both the metal and dielectric surfaces. In some cases, the residue is from a liquid residual CMP or uranium enrichment residue remover blend, including, for example, an amine, a hydroxylamine, or a mixture thereof. The chemical residue is removed by contacting the metal or dielectric surface with the post-etch cleaning composition for a period of time sufficient to remove residual processing modifiers. The post-etch cleaning composition comprises: one or more having at least one brew amine A functional group of compounds 'water, between 1% and 25% by weight, of one or more organic acids selected from monofunctional, difunctional or trifunctional organic acids; between 5% by weight And oxidizing agent between 30% by weight -21 - 200941582: and water 'where the pH of the cleaning composition is between about 3.5 and about 7, wherein the cleaning composition neutralizes and removes residual processing blends The amine and/or via amine ' and wherein the contact removes copper or copper oxide from the surface of the semiconductor at a rate of less than about 17 angstroms per minute, or in other embodiments, the semiconductor surface has A1, A1/( 55 wt%) a metal of Cu alloy, Ti, W, Ta, or alloy thereof, and wherein the metal is contacted, the post-etch cleaning composition removes metal or metal oxide from the surface of the semiconductor at a rate of less than about 1 A/min. Things. Some of these blends may also have a chelating agent between 1% by weight and 1% by weight, which can help reduce redeposition and aid removal. In some cases, the post-cleaning composition has a surface tension of approximately 70 dynes/cm or less. The post-C Μ P cleaning chemistry is used here to eliminate the need for a cleaning step; and in some cases, the post-CMP cleaning method does not require a cleaning step, unlike many current post-CMP cleaners. Chemical Mechanical Planarization The present invention also applies a method of chemical mechanical planarization of a semiconductor operating component; the method comprising the steps of: (a) providing a semiconductor operating component, wherein the semiconductor operating component comprises: (i) a metal wire, wherein the metal wire Including copper or Ming '(ϋ) barrier material, wherein the barrier material may be selected from a) molybdenum (Ta), b) molybdenum nitride (TaN), c) titanium (Ti), d) nitride I Tai (TiN) 'e) · crane (w), and f). nitrided crane (WN); and (iii) dielectric, (b) contacting the semiconductor working component with a cleaning solution containing a cleaning agent, wherein The cleaning agent comprises: (丨) water; (Η) -22- 200941582 one or more compounds containing at least one amidoxime functional group. For use in CMP applications, the cleaning agent may additionally comprise one or more oxidizing agents and one or more surfactants, for example, surfactants of the type disclosed herein (anionic surfactants, zwitterionic surfactants, polyionics) Surfactant, or a combination thereof). Examples of such surfactants are: sodium salt of polyacrylic acid, potassium oleate, sulfosuccinates, sulfonate vinegar derivatives, acidified amines, sulfonate acylated acid amines, alcoholic sulfuric acid a salt, an alkylanyl sulfonate, a carboxylated alcohol, an alkylaminopropionic acid, an alkylimine dipropionic acid, and combinations thereof, and wherein the composition comprises between about 〇1% by weight Up to about 10% by weight of surfactant. In CMP applications, the pH can be adjusted to between about 2 and about 11. Preferred additives for pH adjustment are acetic acid, phosphoric acid, oxalic acid, and combinations thereof, and wherein the composition has a p Η between about 2 and about 11. The chemical may be a slurry φ containing honing particles in a CMP application, the composition comprising about 35 wt% or less of the honing particles, and wherein the honing particles comprise a material selected from the group consisting of cerium oxide Alumina, titanium oxide, chromium oxide, cerium oxide, and combinations thereof. The chemical may also include one or more corrosion inhibitors, water, and combinations thereof. In some embodiments, after the first CMP composition is delivered to the polishing pad, one or more compounds containing at least one amidoxime group are in situ with the first C composition at about 30 seconds and about Between 3 and 00 seconds. In another embodiment, the composition is diluted prior to use in the following amounts: up to about 1 000 parts by weight of water to about 1 part by weight of the group -23-200941582, and more preferably at most about 5 00 parts by weight of water to about 1 part by weight of the composition, or up to about 100 parts by weight of water to about 1 part by weight of the composition, or up to about 10 parts by weight of water to about 1 part by weight of the composition, or 1 Parts by weight of water to about 1 part by weight of the composition, including the ratio therebetween. In some embodiments, dilution is performed prior to use, while in another embodiment dilution is performed after use. When done prior to use, water is added, for example, within about one week, or within about one day, or within about one hour. It has been found that fresh dilutions are more effective than if the dilution occurred more than about one week prior to use. By using, for example, the mixture is in contact with the substrate. Description of preferred embodiments

本發明爲用於清潔半導體工作部件之清潔溶液。清潔 溶液的組成物包括至少一種含有至少一個醯胺肟官能基之 化合物。CMP和後-CMP清潔溶液可以濃縮形式供應,或 用水或熟習該技術者所周知的其他適當的稀釋劑且以如文 中所提供之濃度予以稀釋。 Q 後-化學機械平坦化清潔方法 用於化學機械平坦化(CMP )方法之中間介電層和金 屬拋光方法兩者最後必須通過最後的清潔步驟以移除微量 的漿料和化學品。雖然該方法似乎簡單,即,刷洗和清洗 循環’然而耗盡大量的努力以決定該方法是否應包含單側 或雙側擦洗’單一晶圓或批次加工、噴霧工具或浸漬槽。 清除晶圓上的漿料和墊顆粒和金屬污染在後清潔步驟中是 -24- 200941582 最重要的爭點;方法可靠性和缺陷度量衡是所關心事物的 2個其他重要領域。 殘留顆粒的含量必須爲<0_05顆粒/cm2,且90重量% 的顆粒具有小於0.2微米尺寸。0.35微米的線寬將要求移 除小至0.03 5微米或更小的顆粒以避免失敗。顆粒的移除 不完全將減低晶圓產率。低缺陷(刮痕)水準和可接受的 平面性亦將是非常重要的。 〇 大部分的製造廠(fabs)對於後清潔步驟已發展其自 己的內部技術。大部分的“化學品”包含具有加入的氫氧化 銨或氫氟酸(HF)之去離子(DI)水,而一些製造廠正使 用於前端處理(front end process)中傳統上所使用的標 準 RCA SC_1 ( NH40H : H2〇2 : H2〇)和 SC-2 ( HC1 : H2〇2 :h20)清潔步驟。 有5種機制用於從晶圓表面移除雜質(顆粒和/或離 子):(1 )經由溶劑的物理性去吸附作用:以大量的弱 ® 吸收溶劑置換少數的強吸收材料(改變表面電荷的交互作 用);(2)用酸或鹼改變表面電荷:si-OH基團可於酸 中被質子化(成爲正電),或用鹼經由移除質子而成負電 ;(3 )離子錯合物:經由加入酸移除經吸附的金屬離子 (即,離子交換);(4)雜質的氧化作用或分解作用: 金屬的氧化作用、有機材料或漿料顆粒的表面將改變介於 雜質和基板表面之間的化學力(化學反應可透過氧化還原 化學或自由基);(5)蝕刻表面:溶解雜質和基板表面 的特定厚度。 -25- 200941582 醯胺肟化學 本發明可以使用的醯胺肟化合物係衍生自腈化合物和 羥基胺的反應: N-OH < nh2 醯胺肟The present invention is a cleaning solution for cleaning semiconductor work components. The composition of the cleaning solution comprises at least one compound containing at least one amidoxime functional group. The CMP and post-CMP cleaning solutions can be supplied in concentrated form or diluted with water or other suitable diluent known to those skilled in the art and at concentrations as provided herein. Q-Chemical Mechanical Planar Cleaning Method Both the intermediate dielectric layer and the metal polishing method used in the chemical mechanical planarization (CMP) method must finally pass through the final cleaning step to remove traces of slurry and chemicals. While this approach appears to be simple, i.e., brushing and cleaning cycles' however, a significant amount of effort is exhausted to determine if the method should include a single-sided or double-sided scrub' single wafer or batch process, spray tool or dipping tank. Clearing the slurry and pad particles and metal contamination on the wafer is the most important point of discussion in the post-cleaning step; method reliability and defect weighting are two other important areas of concern. The residual particles must be present in an amount of <0_05 particles/cm2, and 90% by weight of the particles have a size of less than 0.2 microns. A line width of 0.35 microns would require removal of particles as small as 0.03 5 microns or less to avoid failure. Incomplete removal of the particles will reduce wafer yield. Low defect (scratch) levels and acceptable flatness will also be important.大部分 Most of the manufacturing facilities (fabs) have developed their own internal technology for the post-cleaning step. Most of the "chemicals" contain deionized (DI) water with added ammonium hydroxide or hydrofluoric acid (HF), and some manufacturers are using the standards traditionally used in front end processes. RCA SC_1 (NH40H: H2〇2: H2〇) and SC-2 (HC1: H2〇2: h20) cleaning steps. There are five mechanisms for removing impurities (particles and/or ions) from the wafer surface: (1) physical desorption by solvent: replacing a small number of strongly absorbing materials with a large amount of weak absorbing solvent (changing surface charge) (2) changing the surface charge with an acid or a base: the si-OH group can be protonated (positively charged) in the acid, or negatively charged by removing the proton with a base; (3) ionization Compound: removing adsorbed metal ions (ie, ion exchange) by addition of acid; (4) oxidation or decomposition of impurities: oxidation of metal, surface of organic material or slurry particles will change between impurities and Chemical forces between the surfaces of the substrate (chemical reactions are permeable to redox chemistry or free radicals); (5) etched surfaces: dissolved impurities and specific thicknesses of the substrate surface. -25- 200941582 Amidoxime chemistry The amidoxime compound which can be used in the present invention is derived from the reaction of a nitrile compound and a hydroxylamine: N-OH < nh2 amidoxime

R!-^N + H2N-OH 腈 羥基胺 ❹ 於此詳述具有醯胺肟官能基之化合物的形成。 二氧化矽化學 介電體拋光的機制仍在發展,但拋光方法似乎涉及2 個同作處理(concurrent processes);涉及表面的塑型變 形之機械方法,和藉由氫氧化物(0H )的化學攻擊以形 成矽醇鍵。R!-^N + H2N-OH Nitrile Hydroxylamine 形成 The formation of a compound having an amidoxime functional group is detailed herein. The mechanism of cerium oxide chemical dielectric polishing is still evolving, but the polishing method seems to involve two concurrent processes; a mechanical method involving plastic deformation of the surface, and chemistry by hydroxide (0H) Attack to form a sterol bond.

Si〇2 + 2H20 ^=. Si(0H)4(aq) pH <9 Log K3 =-2.7 si(〇H)4(aq + 〇H 鴨 二 t Si0(0H)3 +2H20 pH >9 LogK3 =-1.7Si〇2 + 2H20 ^=. Si(0H)4(aq) pH <9 Log K3 =-2.7 si(〇H)4(aq + 〇H Duck II t Si0(0H)3 +2H20 pH >9 LogK3 =-1.7

SiO(OH)3 夺二 ± 多核物質 pH >10.5SiO(OH)3 captures two ± multinuclear matter pH >10.5

Si(OH)4 + 〇2 ^ (HO)3Si-0-Si(OH)3 + H2〇 於漿料(膠態懸浮液)中,pH是重要的,且對二氧 化矽系統而言,其需要在10至11.5之範圍內。現行CMP 使用者正使用以二氧化矽爲底質的漿料,其用氫氧化鈉“ 緩衝”’但現在正用氫氧化鉀或氫氧化銨溶液調配。蝕刻 速率可在1 700 A/分鐘範圍內。 若PH太高,多核物質可以不可預料的方式開始沈澱 -26- 200941582 。亦有氧化法以形成Si--0…Si鍵的可能性。 '有矽表面的其他重要特徵,其將影響蝕刻速率和最後 的表面狀況(金屬污染和可能地微刮痕)。如上述,典型 的矽表面在中性或鹼性狀況下以-OH基團封端(覆蓋)。 矽表面爲親水性,意指表面爲“可潤濕的”。這些基團活化 表面至一些可能的化學或物理吸收現象。Si· OH基團減少 弱酸效果,其容許鹽類的形成和將質子(H+)交換成各種 Ο 金屬(類似於離子交換樹脂)。這些SiO-和Si-OH基團亦 可充當配位基以錯合Al、Fe、Cu、Sn和Ca。當然,表面 是非常偶極的且因此靜電電荷可積聚或被消除,係取決本 體溶液(bulk solution)的pH、離子濃度或電荷。此經積 聚的表面電荷可被測量爲ζ電位。 影響ζ電位的因子 於水性介質中的pH,樣品的pH爲最重要因子中的一 © 者,pH影響其ζ電位。ζ電位値在未定義溶液狀況下本身 爲實質上無意義的數字。想像具有負ζ電位之顆粒於懸浮 液中。若將更多的鹼加到此懸浮液,之後顆粒傾向於獲得 更多的負電荷。若將酸加到此懸浮液,電荷被吸附而產生 一狀態,該狀態不影響等電點。(ii)中和特定離子。另 外,酸的加入將造成正電荷的增加。因此,ζ電位對pH 曲線在低pH時將爲正,在高pH則減小或爲負。具有曲 線通過〇ζ電位的點。該點稱爲等電點,且從實際考量上 來看是非常重要的。一般於該點膠態系統是最不穩定的。 -27- 200941582 ζ電位對pH的典型曲線圖顯示於圖8 °於此範例中’樣品 的等電點爲在近乎pH 5.5。此外,曲線圖可用於預測樣品 在pH値小於4時(存在足夠的正電荷)和在大於pH 7.5 (存在足夠的負電荷)應是穩定的。分散安定性的問題將 被預期在pH値介於4和7.5之間’當ζ電位値爲介於+30 和-3 0mV之間時。 導電性 © 雙層的厚度(κ-1)取決於溶液中的離子濃度’且可 從介質中的離子強度計算。離子強度愈大’雙層會變得更 壓縮。離子的價數亦將影響雙層厚度。三價離子(如Al3 + )將壓縮雙層至較大程度,與單價離子(如Na+ )相比。 無機離子可與帶電荷的表面以兩種不同方式中的一者交互 作用:(i)非特定離子的吸附作用,其將導致等電點値 的改變。離子在顆粒表面的特定吸附,甚至在低濃度,在 顆粒分散的ζ電位上可具有戲劇性的影響。於一些情況中 〇 ,特定離子吸附作用可導致表面的電荷逆轉。 調合物成分的濃度 調合物成分的濃度對ζ電位的作用可以提供資訊以有 助於調配產物,以得到最大安定性。例如,已知的污染物 對樣品的ζ電位的影響可以爲調配產物以抵抗絮凝作用的 有力工具。 若在氧化物層下面的矽(Si)表面因過度侵略性拋光 -28- 200941582 方法(over aggressive polishing process)而被曝露,此 可引起電化學問題,因爲矽具有適度的氧化還原電位’其 將容許Cu、Au、Pt、Pb、Hg和Ag“電鍍在”二氧化矽表面 上。曝光亦將產生Cu的氧化還原反應。光將在半導體Si 材料內“產生”電子,該電子接著將銅離子還原成Cu(0) φ CMP金屬化學 亦已判定這些後清潔處理溶液可用於進行銅或鋁金屬 膜的CMP平坦化。此類型的拋光取決於金屬表面的氧化 作用和隨後氧化物表面經由乳液漿料的硏磨作用。於此機 制中,化學品的pH是重要的。通用方程式爲(M =金屬原 子):Si(OH)4 + 〇2 ^ (HO)3Si-0-Si(OH)3 + H2 is enthalpy in the slurry (colloidal suspension), pH is important, and for the cerium oxide system, Need to be in the range of 10 to 11.5. Current CMP users are using a cerium oxide-based slurry that is "buffered" with sodium hydroxide but is now being formulated with potassium hydroxide or ammonium hydroxide solution. The etch rate can be in the range of 1 700 A/min. If the pH is too high, multinuclear materials can begin to precipitate in an unpredictable way -26- 200941582 . There is also the possibility of oxidation to form Si--0...Si bonds. 'There are other important features of the enamel surface that will affect the etch rate and the final surface condition (metal contamination and possibly micro-scratches). As noted above, a typical tantalum surface is capped (covered) with a -OH group under neutral or alkaline conditions. The surface of the crucible is hydrophilic, meaning that the surface is "wettable". These groups activate the surface to some possible chemical or physical absorption phenomena. The Si·OH group reduces the weak acid effect, which allows the formation of salts and the exchange of protons (H+) into various ruthenium metals (similar to ion exchange resins). These SiO- and Si-OH groups can also serve as ligands to misalign Al, Fe, Cu, Sn, and Ca. Of course, the surface is very dipolar and therefore the electrostatic charge can accumulate or be eliminated depending on the pH, ion concentration or charge of the bulk solution. This accumulated surface charge can be measured as zeta potential. Factor affecting zeta potential The pH of the aqueous medium, the pH of the sample is one of the most important factors, and pH affects its zeta potential. The zeta potential 本身 itself is a substantially meaningless number in the absence of a defined solution. Imagine particles with negative zeta potential in suspension. If more base is added to the suspension, the particles tend to get more negative charge. If an acid is added to the suspension, the charge is adsorbed to produce a state which does not affect the isoelectric point. (ii) neutralizing specific ions. In addition, the addition of acid will result in an increase in positive charge. Therefore, the zeta potential will be positive for the pH curve at low pH and negative or negative at high pH. A point with a curve passing through the zeta potential. This point is called the isoelectric point and is very important from a practical point of view. Generally, the colloidal system is the most unstable at this point. -27- 200941582 A typical plot of zeta potential vs. pH is shown in Figure 8 °. In this example, the isoelectric point of the sample is near pH 5.5. In addition, the graph can be used to predict that the sample should be stable at pH 値 less than 4 (sufficient positive charge is present) and at pH 7.5 (sufficient negative charge). The problem of dispersion stability will be expected when the pH 値 is between 4 and 7.5' when the zeta potential 値 is between +30 and -3 0 mV. Conductivity © The thickness of the double layer (κ-1) depends on the ion concentration in the solution' and can be calculated from the ionic strength in the medium. The greater the ionic strength, the more the double layer will become more compressed. The valence of the ions will also affect the thickness of the double layer. Trivalent ions (such as Al3+) will compress the bilayer to a greater extent than the monovalent ions (such as Na+). The inorganic ions can interact with the charged surface in one of two different ways: (i) adsorption of non-specific ions, which will result in a change in the isoelectric point 。. The specific adsorption of ions on the surface of the particles, even at low concentrations, can have a dramatic effect on the zeta potential of the particles. In some cases, 特定, specific ion adsorption can cause surface charge reversal. Concentration of the Constituent Concentration The concentration of the Constituent Concentration on the zeta potential can provide information to help formulate the product for maximum stability. For example, the effect of known contaminants on the zeta potential of a sample can be a powerful tool for formulating products to resist flocculation. If the surface of the yttrium (Si) under the oxide layer is exposed by the over aggressive polishing process, this can cause an electrochemical problem because ruthenium has a moderate redox potential. Cu, Au, Pt, Pb, Hg, and Ag are allowed to be "plated" on the surface of the ceria. Exposure will also produce a redox reaction of Cu. The light will "produce" electrons in the semiconductor Si material, which in turn reduces the copper ions to Cu(0) φ CMP metal chemistry. It has also been determined that these post-cleaning treatment solutions can be used for CMP planarization of copper or aluminum metal films. This type of polishing depends on the oxidation of the metal surface and subsequent honing of the oxide surface via the emulsion slurry. In this mechanism, the pH of the chemical is important. The general equation is (M = metal atom):

Mn+ + [〇x]y —► MOx or [M(OH)x] 〇 在理想狀況下,金屬氧化物(MOx )的形成速率(Vf )將等於氧化物拋光速率(Vp) ,(Vf=Vp)。若pH太 低(酸性),則化學品可快速穿透氧化物而攻擊金屬(Vf <Vp ),因此曝露出金屬,無任何另外的氧化物形成。此 意指所有金屬表面在高點和低凹處以相同速率移除。未達 成表面的平坦化。此可能導致金屬塞連接器往下凹低於平 坦表面(“成碟狀”)’此最終將導致差的階梯覆蓋率( step coverage )和可能差的接觸電阻。 當pH太高時(鹼性),則氧化物層可能變成化學品 無法穿透而金屬變成惰性的,(Vf >Vp),和金屬拋光速 -29- 200941582 率變慢。對氧化物的選擇性金屬拋光一般範圍從20至loo :1,取決於金屬類型。就金屬對氧化物而言,鎢金屬應 具有選擇性 >50:1,而銅可具有 >140:1金屬對氧化物 選擇性。蝕刻速率可爲至多7000 A/分鐘。化學擴散速率 和金屬氧化物表面的類型對成功的平坦化方法是重要的。 詳細機制已被 Kaufman, F.提出於 J. Electrochem. Soc; 138 ( 11) , p. 3460, 1991 ° 銅膜呈現一艱難的問題,因爲銅爲軟金屬和易被漿 _ 料顆粒損害。後清潔處理溶液可非常有用於移除這些缺點 離子。 鋁亦爲軟金屬和易被漿料顆粒損害。然而,鋁的自身 鈍化能力不同於銅的自身鈍化能力。自然狀態的銅在其表 面上不易形成氧化物膜。咸信後清潔處理溶液可成功地拋 光部分銅,因爲銅不易形成保護的氧化物層。相對地,鋁 相對地易於自身鈍化。雖然此傾向於形成保護氧化物層, 我們出人意外地發現後清潔處理溶液亦可用於成功地拋光 〇 鋁膜。 接觸角測量描述介於固體和液滴之間的介面張力特性 。該技術提供簡單方法以產生表面分析的大量資訊。且因 爲該技術極端地表面敏感,其可用於半導體清潔應用中。 接觸角測量爲描述存在於介於固體、液體、和氣體之間的 介面張力的簡化方法。當高表面張力液體的液滴在低表面 能量的固體上,液體表面張力將使液滴形成球狀(最低能 量形狀)。相反地,當固體表面能量超過液體表面張力, -30- 200941582 液滴爲較平坦、更低側面形狀。 化學品的類型 除了水和至少一種具有一或多個醯胺肟官能基之化合 物之外,各種化學品可用於這些後清潔處理調合物。 酸類 © 有各種可用於後清潔處理化學之有機酸。有機酸的種 類非常重要。一些可能的酸和其pKa如下:Mn+ + [〇x]y —► MOx or [M(OH)x] 理想 Under ideal conditions, the metal oxide (MOx) formation rate (Vf) will be equal to the oxide polishing rate (Vp), (Vf=Vp ). If the pH is too low (acidic), the chemical can quickly penetrate the oxide and attack the metal (Vf < Vp ), thus exposing the metal without any additional oxide formation. This means that all metal surfaces are removed at the same rate at high and low depressions. The surface is not flattened. This may cause the metal plug connector to be recessed below the flat surface ("disc") which will ultimately result in poor step coverage and possibly poor contact resistance. When the pH is too high (alkaline), the oxide layer may become chemically impermeable and the metal becomes inert, (Vf > Vp), and the metal polishing rate -29-200941582 becomes slower. Selective metal polishing of oxides typically ranges from 20 to loo: 1, depending on the metal type. For metal to oxide, the tungsten metal should have a selectivity of > 50:1, while copper can have a > 140:1 metal to oxide selectivity. The etch rate can be up to 7000 A/min. The rate of chemical diffusion and the type of metal oxide surface are important for successful planarization methods. The detailed mechanism has been proposed by Kaufman, F. J. Electrochem. Soc; 138 (11), p. 3460, 1991 ° The copper film presents a difficult problem because copper is a soft metal and is easily damaged by the slurry particles. The post-cleaning solution can be very useful for removing these defective ions. Aluminum is also a soft metal and is easily damaged by slurry particles. However, aluminum's own passivation ability is different from copper's own passivation ability. The copper in a natural state is less likely to form an oxide film on its surface. The cleaning solution after the letter can successfully polish a portion of the copper because copper does not easily form a protective oxide layer. In contrast, aluminum is relatively easy to passivate itself. Although this tends to form a protective oxide layer, we have surprisingly found that the post-cleaning solution can also be used to successfully polish the yttrium aluminum film. Contact angle measurements describe the interfacial tension properties between solids and droplets. This technique provides a simple way to generate a wealth of information for surface analysis. And because the technology is extremely surface sensitive, it can be used in semiconductor cleaning applications. Contact angle measurements are a simplified method of describing the interfacial tension present between solids, liquids, and gases. When droplets of high surface tension liquid are on a solid with low surface energy, the surface tension of the liquid will cause the droplets to form a spherical shape (lowest energy shape). Conversely, when the solid surface energy exceeds the liquid surface tension, the -30-200941582 droplets are flatter, lowerer side shapes. Types of Chemicals In addition to water and at least one compound having one or more amidoxime functional groups, various chemicals can be used in these post-cleaning treatments. Acids © There are a variety of organic acids that can be used in post-cleaning chemistry. The type of organic acid is very important. Some possible acids and their pKa are as follows:

pKal pKa2 pKal 甲酸 3.8 乙酸 4.8 —元酸 丙酸 4.9 正丁酸 4.9 異丁酸 4.8 苯甲酸 4.2 抗壞血酸 4.2 11.6 葡萄糖酸 3.5 4.7 蘋果酸 3.4 5.1 二元酸 丙二酸 2.8 5.7 草酸 1.3 4.3 琥珀酸 4.1 5.6 酒石酸 2.9 4.2 三元酸 檸檬酸 3.1 4.8 6.9 五倍子酸 4.2 8.9 -31 - 200941582 酸的一般結構pKal pKa2 pKal formic acid 3.8 acetic acid 4.8 - monoacid propionic acid 4.9 n-butyric acid 4.9 isobutyric acid 4.8 benzoic acid 4.2 ascorbic acid 4.2 11.6 gluconic acid 3.5 4.7 malic acid 3.4 5.1 dibasic acid malonic acid 2.8 5.7 oxalic acid 1.3 4.3 succinic acid 4.1 5.6 Tartaric acid 2.9 4.2 Tribasic acid citrate 3.1 4.8 6.9 Gallic acid 4.2 8.9 -31 - 200941582 General structure of acid

X = ·ΟΗ, - NHR^ -H,·鹵素,βΟζΗ 和"CI^COOH,<:Η(ΟΗΗ:ΟΟΗ R=—般脂族,Η或芳香族 濃度變化可從1至25重量%。重要因子爲以任何額外 試劑於水性溶液中溶解酸和鹼產物的溶解度。 ® 驗成分 鹼性成分可用於調整緩衝液後CMP清潔組成物的pH 。雖然pH調整可以任何普通鹼達成,即,氫氧化鈉、氫 氧化鉀、氫氧化鎂等等,該鹼類將移動離子引導至最後的 調合物中。移動離子可輕易地破壞現今半導體工業所製造 的電腦晶片。據此,本發明的具體例無引出移動離子的鹼 。於該等具體例中,使用其他鹼類,包括有機胺、羥基胺 、四級胺,例如,氫氧化四甲基銨(TMAH )或膽鹼或 THEMAH或氫氧化銨。 其他螯合劑 本發明所添加的特色是加入少量的金屬離子螯合劑’ 該螯合劑可括二-、三-、四-官能基,即,EDTA、檸檬酸 、脰、乳酸、8 -經基喹啉和其他已知試劑,該試劑在酸條 件下將與金屬離子螯合。其他可能的試劑爲聚氧化乙烯、 -32- 200941582 聚乙亞胺和冠醚。此後2個化合物對移動離子(Li K、和某些鹼土離子)具有不同的親和力。濃度變 地從〇 · 0 1至1 0重量%。 腐蝕抑制劑 較佳的腐蝕抑制化合物爲抗壞血酸、苯並*** 酸、肉桂酸、半胱胺酸、葡萄糖、咪唑、锍基噻唑 〇 基乙醇、巯基丙酸、锍基苯並噻唑、锍基甲基咪唑 酸、硫甘油、硫柳酸、***、香草精、香草酸、或 物。 界面活性劑 本發明的一個較佳清潔溶液包括表面活性劑, 半導體表面的更潤濕。較佳的具體例包括但不限於 子性、陰離子性、陽離子性、兩性離子或兩性界面 ® 或其混合物。這些調合物可以包括界面活性劑(非 、陰離子性和陽離子性)。雖然後清潔處理溶液的 力將爲約70達因/公分,可能有些特殊狀況,表面 需被減低。 本發明之調合物的關鍵成分爲一或多種具有至 醯胺肟官能基之化合物的存在。未受限於任何特別 要理解的是,上面所揭示之多牙錯合劑與基板表面 以移除該表面上的污染物。醯胺脰分子可被設計成 供不溶金屬錯合物而使金屬表面鈍化,或藉由使含 、Na、 化較佳 、咖啡 啉、毓 、單寧 其混合 以促使 :非離 活性劑 離子性 表面張 張力必 少一個 理論, 錯合, 藉由提 金屬之 -33- 200941582 殘留物更易溶解而作爲清潔劑。 醯胺肟銅錯合物已顯示在鹼性條件下易溶於水,而在 酸性條件下較不易溶。據此,醯胺肟的鈍化/清潔效果可 以藉由改變pH而被影響。 美國專利案案號6,166,2 54,例如,討論從水性羥基 胺自由鹼和腈形成醯胺肟,例如,乙腈與水性羥基胺在周 遭溫度反應產生高純度的乙醯胺肟。 其他腈將與羥基胺自由鹼以類似方式反應對熟習該領 _ 域者而言是顯而易見的。 已顯示醯胺肟與金屬(例如銅)錯合。亦已顯示氰乙 基化的纖維素的醯胺肟與銅和其他屬離子錯合(參見 Alias H. Basta, International Journal of Polymeric Materials, 42,1 -26 ( 1 998 ) ) ° 本發明的一較佳具體例爲組成物和其應用方法,包含 一群具有較高pH範圍之螯合化合物,該化合物包括至少 2個官能基,其中至少一個該官能基爲醯胺肟。其他官能 ❹ 基或錯合化合物可被選擇,當對應用、化學和/或條件有 利時。其他錯合基團的範例包括異羥肟酸、硫異羥肟酸、 N-羥基脲、N-羥基胺基甲酸酯、和N-亞硝基-烷基-羥基胺 。這些基團提供協同優點,當與醯胺肟一起使用藉由使得 該氧化物溶於水性溶液中而移除金屬氧化物,例如氧化銅 ,殘留物。當與醯胺肟一起使用時,這些官能基可以藉由 與羥基胺或羥基胺衍生物反應而被形成。 關於可隨意地與本發明組成物中的醯胺肟一起使用之 -34- 200941582 其他錯合劑,錯合劑可市場購得或藉由已知方法予以製備 。已事先呈現非詳盡的目錄。 協同官能基的一個範例爲異羥肟酸基團。該等基團爲 已知(H. L. Yale,“The Hydroxamic Acid”,Chem. Rev·, 209-256 ( 1 943 ))。含有異羥肟酸基團的聚合物爲已知 且可以藉由將羥基胺加到含酐共聚物(例如苯乙烯-順丁 烯二酸酐共聚物或聚(乙烯基甲基醚/順丁烯二酸酐)共 ❹ 聚物)的酐基團,或藉由羥基胺與酯基團的反應而予以製 備。含有異羥肟酸之聚合物亦可藉由含有醯胺肟基團之聚 合物的酸催化水解作用而予以製備(U.S 3,345,344 )。 美國專利案案號6,259,353,例如,討論從水性羥基 胺和酮類在周遭溫度反應形成高純度醯胺肟,無雜質(例 如,鹽類或酸類)的加入。 硫羥胺酸爲與醯胺肟協同之另一類型官能基和可以藉 由將羥基胺加到二硫羧酸中而予以製備(H. L. Yale, ❹ Chem. Rev., 3 3 , 209-256 ( 1 943 ))。 N_羥基脲爲與醯胺肟協同之另一類型官能基和可以藉 由使羥基胺和異氰酸酯反應而予以製備(A. 0. Ilvespaa et al., Chime ( S witz. ) 1 8, 1-16 ( 1964))。 N-羥基胺基甲酸酯爲與醯胺肟協同之另一類型官能基 和可以藉由使羥基胺與線性或環狀碳酸酯反應而予以製備 (A. O. Ilvespaa et al., Chimia ( Switz.) 18, 1-16 ( 1964 ))» N-亞硝基-烷基-羥基胺爲與醯胺肟協同之另一類型官 -35- 200941582 能基和可以藉由烷基羥基胺的亞硝化作用而予以製備(Μ· Shiino et al., Bioorganic and Medicinal Chemistry 95, 1233-1240(2001))。 本發明的一個具體例包含使用水性清潔溶液預先清潔 基板或移除剝離(stripping )或灰化殘留物之方法,該溶 液包括至少一種具有一或多個醯胺肟官能基之螯合化合物X = ·ΟΗ, - NHR^ -H, ·halogen, βΟζΗ and "CI^COOH,<:Η(ΟΗΗ:ΟΟΗ R=—aliphatic, Η or aromatic concentration can vary from 1 to 25% by weight The important factor is the solubility of the acid and base products in an aqueous solution with any additional reagents. ® The alkaline component can be used to adjust the pH of the CMP cleaning composition after the buffer. Although the pH adjustment can be achieved with any common base, ie, Sodium hydroxide, potassium hydroxide, magnesium hydroxide, etc., which directs mobile ions into the final blend. Mobile ions can easily destroy computer wafers manufactured by the semiconductor industry today. Accordingly, the present invention is specific There are no bases for the removal of mobile ions. In these specific examples, other bases are used, including organic amines, hydroxylamines, quaternary amines, for example, tetramethylammonium hydroxide (TMAH) or choline or THEMAH or hydroxide. Ammonium. Other chelating agents The invention is characterized by the addition of a small amount of metal ion chelating agent. The chelating agent may comprise a di-, tri-, tetra-functional group, ie, EDTA, citric acid, hydrazine, lactic acid, 8-- Base quinoline and other known Agent, which will chelate with metal ions under acid conditions. Other possible reagents are polyethylene oxide, -32-200941582 polyethyleneimine and crown ether. Two compounds later move to ions (Li K, and some Alkaline earth ions have different affinities. The concentration varies from 〇·0 1 to 10% by weight. Corrosion inhibitors Preferred corrosion inhibiting compounds are ascorbic acid, benzotriazole acid, cinnamic acid, cysteine, glucose, Imidazole, mercaptothiazolylethanol, mercaptopropionic acid, mercaptobenzothiazole, mercaptomethylimidazolium, thioglycerol, thioresin, triazole, vanillin, vanillic acid, or a surfactant. A preferred cleaning solution includes surfactants, which are more wettable on the surface of the semiconductor. Preferred specific examples include, but are not limited to, sub, anionic, cationic, zwitterionic or amphoteric interfaces® or mixtures thereof. Including surfactants (non-, anionic and cationic). Although the force of the post-cleaning solution will be about 70 dynes/cm, there may be some special conditions and the surface needs to be reduced. The key component of the inventive composition is the presence of one or more compounds having amidoxime functional group. It is not limited to any particular understanding that the multidentate complex disclosed above is attached to the surface of the substrate to remove the surface. Contaminants on the amidoxime molecule can be designed to passivate the metal surface for insoluble metal complexes, or by mixing Na, acetyl, lanthanum, tannin to promote: The ionic surface tension of the active agent must be less than a theory, mismatched, and the residue is more soluble as a cleaning agent by the metal-33-200941582. Amidoxime copper complex has been shown to be soluble under alkaline conditions. In water, it is less soluble under acidic conditions. Accordingly, the passivation/cleaning effect of amidoxime can be affected by changing the pH. U.S. Patent No. 6,166,2, 54, for example, discusses the formation of amidoxime from an aqueous hydroxyamine free base and a nitrile. For example, acetonitrile reacts with an aqueous hydroxylamine at ambient temperature to produce high purity amoxicillin. Other nitriles will react in a similar manner with hydroxylamine free bases as will be apparent to those skilled in the art. Amidoxime has been shown to be mismatched with metals such as copper. Amidoxime of cyanoethylated cellulose has also been shown to be mismatched with copper and other genus ions (see Alias H. Basta, International Journal of Polymeric Materials, 42, 1 -26 (1 998)) ° Preferred embodiments are compositions and methods of use thereof comprising a population of chelating compounds having a relatively high pH range, the compounds comprising at least two functional groups, at least one of which is an amidoxime. Other functional sulfhydryl or miscible compounds can be selected when beneficial to the application, chemistry and/or conditions. Examples of other miscible groups include hydroxamic acid, thiohydroxamic acid, N-hydroxyurea, N-hydroxy urethane, and N-nitroso-alkyl-hydroxylamine. These groups provide a synergistic advantage when used with amidoxime to remove metal oxides, such as copper oxide, residues by dissolving the oxide in an aqueous solution. When used together with amidoxime, these functional groups can be formed by reaction with a hydroxylamine or a hydroxylamine derivative. With regard to the optional use of the amidoxime in the composition of the present invention -34-200941582, other complexing agents, which are commercially available or can be prepared by known methods. A non-exhaustive list has been presented in advance. An example of a synergistic functional group is a hydroxamic acid group. Such groups are known (H. L. Yale, "The Hydroxamic Acid", Chem. Rev., 209-256 (1 943)). Polymers containing hydroxamic acid groups are known and can be added to anhydride-containing copolymers by the addition of hydroxylamines (for example styrene-maleic anhydride copolymers or poly(vinyl methyl ethers/pentene) The anhydride group of the dianhydride (co-polymer) is prepared by the reaction of a hydroxylamine with an ester group. The hydroxamic acid-containing polymer can also be prepared by acid-catalyzed hydrolysis of a polymer containing an amidoxime group (U.S. 3,345,344). U.S. Patent No. 6,259,353, for example, discusses the reaction of aqueous hydroxyamines and ketones at ambient temperatures to form high purity amidoxime, without the addition of impurities (e.g., salts or acids). Thiohydroxy acid is another type of functional group that is synergistic with amidoxime and can be prepared by adding a hydroxylamine to a dithiocarboxylic acid (HL Yale, ❹ Chem. Rev., 3 3 , 209-256 ( 1 943 )). N-hydroxyurea is another type of functional group that is synergistic with amidoxime and can be prepared by reacting a hydroxylamine with an isocyanate (A. 0. Ilvespaa et al., Chime (Switz.) 1 8, 1- 16 (1964)). N-hydroxy urethane is another type of functional group that is synergistic with amidoxime and can be prepared by reacting a hydroxylamine with a linear or cyclic carbonate (AO Ilvespaa et al., Chimia (Switz.) 18, 1-16 ( 1964 ))» N-nitroso-alkyl-hydroxylamines are another type of colloidal-35-200941582 energy group that can be synergized with amidoxime and can be nitrosated by alkylhydroxylamines. It was prepared (Μ Shiino et al., Bioorganic and Medicinal Chemistry 95, 1233-1240 (2001)). One embodiment of the present invention comprises a method of pre-cleaning a substrate or removing a stripping or ashing residue using an aqueous cleaning solution, the solution comprising at least one chelating compound having one or more amidoxime functional groups

N-0H nh2N-0H nh2

醯胺肟可以藉由含腈化合物與羥基胺的反應而予以製Amidoxime can be prepared by reacting a nitrile-containing compound with a hydroxylamine

Rx—=N + H2N—OH 腈 趣基胺Rx—=N + H2N—OH Nitrile

N-OH nh2 醣胺肟 形成醯胺肟螯合化合物的便利途徑爲藉由將羥基胺加 到相對應的腈化合物中。有數種製備含腈化合物之已知方 法,包括氰化物加成反應,例如,氫氰化作用( hydrocyanation )、含腈單體的聚合作用以形成聚丙烯腈 或丙烯腈與乙烯基單體的共聚物’和醯胺的脫水作用。合 成腈類的典型程序可見於 J. March,Advanced Organic Chemistry, 4th ed., John Wiley and Sons, NY, ( 1 992 )。 CRC Handbook (pages 344-368)所例示的腈類化合 物可用於本發明且包括不限於下列:丙炔腈、氰基乙醛、 丙烯腈、氟乙腈、乙腈(或氰基甲烷)、三氯乙腈、甲基 -36- 200941582 丙烯腈(或α-甲基丙烯腈)、丙腈(或氰基乙烷)、異丁 腈、三甲基乙腈(或氰化第三丁基)、2-乙基丙烯腈、二 氯乙腈、α-氯異丁腈、正丁腈(或1-氰基丙烷)、反式. 巴豆腈、氰化烯丙基、甲氧基乙腈、2-羥基異丁腈(或柯 酮氰醇)、3-羥基-4-甲氧基苯甲腈、2-甲基丁腈、氯乙腈 、異戊腈、2,4 -戊二嫌腈、2 -氯巴豆腈、乙氧基乙腈、2-甲基巴豆腈、2-溴異丁腈、4-戊烯腈、噻吩-2,3-二腈(或 @ 2,3 -二氰基噻吩)、3,3 -二甲基丙烯腈、戊腈(或1氰基 丁烷)、2-氯丁腈、二乙基乙腈、2-呋喃腈(或β-呋喃腈 ;2氰基呋喃)、2 -甲基乙醯乙腈、環丁烷腈(或氰基環 丁烷)、2-氯-3-甲基丁腈、異己腈(或4-甲基戊腈)、 2,2-二甲基乙醯乙腈、2-甲基己腈、3-甲氧基丙腈、正己 腈(n-Capronitrile 或 n-Hexanonitrile )、(乙基胺基) 乙腈(或N-乙基胺基乙腈)、义/-3-甲基己腈、氯反丁烯 二氰、2-乙醯氧基丙腈(或0-乙醯基乙腈)、3-乙氧基丙 Φ 腈、3-氯丁腈、3-氯丙腈、吲哚-3-腈(或3-氰基吲哚)、 5-甲基己腈、噻吩-3-腈(或3-氰基噻吩)、ί/,/-4-甲基己 腈、义厂乳腈(或 2-羥基丙腈)、乙醇腈(或 Formaldehydecyanohydrin)、庚腈、4-氰基庚垸、苯甲腈 、噻吩-2-腈(或2-氰基噻吩)、2-辛腈、4-氯丁腈、氰基 乙酸甲酯、二苄基乙腈、2-甲基苯甲腈(2-Tolunitrile或 2-1^^111丫1匕6112〇1111:1^16)、2,3,3-三甲基-1-環戊嫌-1-腈(或 β -Campholytonitrle ) 、辛腈(Capry lonitrile 或 Octanonitrile ) 、1,1-二氰基丙烷(或乙基丙二腈)、氰 -37- 200941582 基乙酸乙酯、1,1-二氰基丁烷(或丙基丙二腈)、3-甲基 苯甲腈(3-Tolunitrile 或 3_Methylbenzonitrile )、環己基 乙腈、4,4 -二氰基-1· 丁烯(或烯丙基丙二腈)、3 -亞異丙 基-1-甲基-環戊院-1-腈(或 β-Feneholenonitrile) 、3-羥 基丙腈、1,1-二氰基-3-甲基丁烷(或異丁基丙二腈)、壬 腈、2 -苯基巴豆腈、乙氰醇、2 -苯基丙腈、苯基乙腈(或 苯乙腈)、苯氧基乙腈、4-羥基-丁腈、(3-甲苯基)乙腈 (或氰化間-二甲苯基)、(4-甲苯基)乙腈(或氰化對- @ 二甲苯基)、4 -異丙基苯甲腈、(2 -甲苯基)乙腈(或氰 化鄰-二甲苯基)、癸腈、3-甲基-2·苯基丁腈、1,2-二氰基 丙烷、1-十一腈(或 Ι-Hendecanonitrile) 、2-苯基戊腈、 10--f--嫌腈(或 1 O-Hendecenonitrile ) 、3-苯基丙腈、氯 化2-氰基亞苄基(或α,α-二氯-鄰-苯甲腈)、Ν-甲基苯胺 腈(或Ν-氰基-Ν-甲基苯胺)、3-(2-氯苯基)丙腈、1,3-二氰基-2-甲基丙烷(或2-甲基戊二腈)、0-苯甲醯基乳 腈(或乳腈苯甲酸酯)、氯化3-氰基亞苄基(或α,α-二 〇 氯-間-苯甲腈)、氯化4-氰基亞苄基(或α,α-二氯-對-苯 甲腈)、十二腈(或月桂腈)、1,3-二氰基丙烷(或戊二 腈)、4-甲氧基氫肉桂腈(或3-(4_甲氧基苯基)·丙腈 )、1,4-二氰基丁烷(己二腈)、1,2,2,3-四甲基-3-環戊 嫌-1-乙腈(或 5 -甲基-α-龍腦烯腈(campholenonitrile) )、1-氰基環己烯、2 -羥基丁腈(或丙醛氰醇)、 Hydnocarponitrile、α -氯- α-苯基乙腈、氰基乙酸丁酯、3-溴丙腈、2,4-二苯基丁腈、噻吩-2-乙腈、反式-4-氯巴豆腈 -38- 200941582 、氰基戊酸、1,7-二氰基庚烷(Azelaonitrile或 1,7-dicyanoheptane) 、3-氯-2-經基-2 -甲基丙腈(或氯丙酬氨 醇)、1,1 1 -二氰基-f--烷(或 1,1 1-dicyanohendecane )、 2-氰基丁酸、2-氰基聯苯基、1,12-二氰基十二烷(或二氰 化α,ω-十二烷)、1-氰基-4-異丙烯基環己烯、癸二腈(或 1,8 -二氰基辛院)、辛二腈(Suberonitrile)(或 1,6 -二 氰基己烷)、3 -氰基茚(或茚-3-腈)、胺基乙腈(或 ❿ Glycinonitrile ) 、2 -氰基二苯基甲院、N -哌Π定基乙腈、3- 氯-2-甲基苯甲腈、四癸腈、肉桂腈、三氯丙烯腈、DL-苯 乙醇腈(或苯甲醛氰醇)、十五腈、2-甲氧基苯甲腈、( 2-氯苯基)乙腈(或2-氯苯乙腈)、1,1-二氰基乙烷(或 甲基丙二腈)、2-氰基吡啶(或 2-吡啶腈; Picolinonitrile ) 、4-甲基苯甲腈(4-tolunitrile 或 4- methylbenzonitrile ( 4-甲基苯甲腈))、D-苯乙醇腈、 </- ( 2-溴苯基)乙腈(或2-溴苯乙腈)、(4-氯苯基) © 乙腈(或4_氯苯乙腈)、丙二腈(或氰化亞甲基)、十六 腈、順丁烯二腈(或順式-1,2-二氰基乙烯)、2,2-二氰基 丙院(或二甲基丙二腈)、第三丁基乙腈(或氰化新戊基 )、卜萘基乙腈、4,4-二氰基庚烷(或二丙基丙二腈)、 十七膳、1_蔡腈(或1-氰基萘)、2-氰基丙酸、4-氟苯甲 腈、苯並呋喃腈(或苯並呋喃_2_腈)、吲哚-3_乙腈、3_ 溴苯甲腈、2- ( N_苯胺基)_丁腈、反式_鄰-氯肉桂腈、十 Λ腈、3-氯本甲腈、2_氯苯甲腈、4_氯苯乙醇腈、十九腈 、2-溴-4.甲基苯甲腈、3,3.二氰基戊自(或二乙基丙二腊 -39- 200941582 )、4-氰基丁酸、5-氯-2-甲基苯甲腈、(4-胺基苯基)乙 腈(或氰化4-胺基苯甲基)、汐泠蘑-2,3-二甲基-琥珀腈 、3-溴-4-甲基苯甲腈、(4-溴苯基)乙腈(或氰化4-溴苯 甲基)、N-苯胺基乙腈、3-氰基丙酸、3-氯-4-甲基苯甲腈 、3,3-二苯基丙烯腈(β-苯基肉桂腈)、3-溴-2-羥基苯甲 腈、4,4-二氰基庚烷(或二丙基丙二腈)、反式-2,3-二苯 基丙烯腈、二十腈、3-氰基吡啶(或菸鹼甲腈)、(4-碘 苯基)乙腈(或氰化4-碘苯甲基)、4-氰基二苯基甲烷、 2- ( Ν-苯胺基)戊腈、2-胺基苯甲腈(或鄰胺苯甲腈)、 2-溴苯甲腈、5-氰基噻唑、3-胺基苯甲腈、2-喹啉基乙腈 、2-碘苯甲腈、2,4,6-三甲基苯甲腈、氰化α-胺基苯甲基 、氰仿(Cyanoform或三氰基甲院)、號拍腈、2-碘-4-甲 基苯甲腈(2-Iodo-4-tolunitrile 或 2-Iodo-4-methyl 匕61120111111丨16)、2,6-二硝基苯甲腈、1/-2,3-二甲基號拍腈 、2-氯-4-甲基苯甲腈、4-甲氧基苯甲腈、2,4-二氯苯甲腈 、4-甲氧基肉桂腈、3,5-二氯苯甲腈、順式-1,4-二氰基環 己烷、溴丙二腈、2-萘腈(或2-氰基萘)、氰基乙酸、2-氰基-2-乙基丁酸(或二乙基氰基乙酸)、2,4-二苯基戊二 腈、(3-氯-3-甲基苯甲腈、4-氯-2-甲基苯甲腈、1-氰基乙烷 合萘(或乙烷合萘-1-腈)、苯基丙二腈(氰化,氰基苯 甲基)、6-硝基-2-甲基苯甲腈、(4-羥基苯基)乙腈(或 氰化4-羥基苯甲基)、5-溴-2-甲基苯甲腈、β-溴-2-甲基 苯甲腈、2,2-二苯基戊二腈、(2-胺基苯基)乙腈(或氰 化2-胺基苯甲基)、3,4-二氯苯甲腈、1,2,2,3-四甲基環戊 -40- 200941582 烯-1-腈(或樟腦腈)、二氰基二甲基胺(或二(氰基甲基 )胺)、二苯基乙腈(氰化 β-苯基苯甲基)、4-氰基-Ν,Ν-二甲基苯胺、1-氰基異喹啉、4-氰基吡啶、β-氯-4-甲 基苯甲腈(或氯化4-氰基苯甲基)、2,5-二苯基戊腈、3-氰基苯甲醛(或3-甲醯基苯甲腈)、6-硝基-3-甲基苯甲 腈、苯甲醯基乙腈、6-氯-2-甲基苯甲腈、8-氰基喹啉、2-硝基-3-甲基苯甲腈、2,3,4,5-四氯苯甲腈、4-氰基聯苯、 ❹ 2-萘基乙腈、順式-2,3-二苯基丙烯腈、4-胺基苯甲腈(或 4-氰基苯胺)、1-氰基-2-苯基丙烯腈(或亞苄基丙二腈) 、5-溴-2,4-二甲基-苯甲腈、2-氰基三苯基甲烷、5-氰基喹 啉、2,6-二甲基苯甲腈、苯基氰基乙酸、2-(^[-苯胺基)-丙腈、2,4-二溴苯甲腈、β- ( 2-硝基苯基)-丙烯腈、5-氯-2-硝基-4-甲基苯甲腈、β-溴-3-甲基苯甲腈(或溴化3-氰 基苯甲基)、4-硝基-3-甲基苯甲腈、2-(Ν-苯胺基)-異 丁腈、2-氰基喹啉、4-氰基戊酸(或2-甲基戊單腈)、反 © 丁烯二氰、4-氯苯甲腈、9-菲基乙腈、3,5-二溴苯甲腈、 2- 氯-3-硝基苯甲腈、2-羥基苯甲腈(或2-氰基酚)、4-氯-2-硝基苯甲腈、4-氰基三苯基甲烷、4-氯-3-硝基苯甲 腈、3-硝基-4-甲基苯甲腈 、2-氰基-3-苯基丙酸、3-氰基 菲、2,3,3-三苯基丙腈、4-氰基喹啉、4-溴-1-萘腈(或1-溴-4-氰基萘)、4-溴-2,5-二甲基苯甲腈、5-硝基-3-甲基 苯甲腈、2,4-二硝基苯甲腈、4-硝基-2-甲基苯甲腈、6-氯- 3- 硝基苯甲腈、5-溴-3-硝基-2-甲基苯甲腈、2-硝基-4-甲 基苯甲腈、9-氰基菲、3-氰基喹啉、2-氰基菲、3-硝基-2- -41 - 200941582 甲基苯甲腈、2-硝基苯甲腈、4-氯-1-萘腈(或1-氯-4-氰 基萘)、5-氰基乙烷合萘(或乙烷合萘-5-腈)、4-溴苯甲 腈、2,4,5-三甲氧基苯甲腈、4-羥基苯甲腈(或4-氰基酚 )、2,3-二苯基戊腈、β-溴-4-甲基苯甲腈(或溴化4-氰基 苯甲基)、(4-硝基苯基)乙腈(或氰化4-硝基苯甲基) 、6-溴-3-硝基苯甲腈、(2-羥基苯基)乙腈(或氰化2-羥 基苯甲基)、3-硝基苯甲腈、4-溴-3-硝基苯甲腈、4-氰基 偶氮苯、01卩丨(;〇1丨11〇11丨111116(或2,6-二氰基卩比陡)、2-氰基 己酸、二溴丙二腈(或溴二氰基甲烷)、1-氰基蒽、 2,2,3-三苯基丙腈、1-氰基菲、2,3-二苯基丁腈、5-溴-3硝 基-4-甲基苯甲腈、2,5-二氯苯甲腈、2,5-二溴苯甲腈、5-溴-2-硝基-4-甲基苯甲腈、2-羥基-3-硝基苯甲腈(或2-氰 基-6-硝基酚)、4-硝基-1-萘腈(或1-氰基-4-硝基萘)、 4-乙醯胺基苯甲腈、6-氰基喹啉、Apiolonitrile (或2,5-二甲氧基-3,4-伸甲基二氧基苯甲腈)、1-硝基-2-萘腈(或 2-氰基-1-硝基萘)、3,5-二氯-2-羥基苯甲腈、反式-1,4-二 氰基環己烷、3,3,3-三苯基丙腈、4-氰基-2-苯基喹啉(或 2-苯基-4喹啉基腈)、酞腈(或鄰-二氰基苯)、8-硝基-2-萘腈(或2-氰基-8-硝基萘)、5-氯-2-萘腈(或5-氯-2 氰基萘)、5-氯-1-萘腈(或5-氯-1-氰基萘)、3,5-二氯-4-羥基苯甲腈、4-硝基苯甲腈、5-溴-1-萘腈(或1-溴-5氰 基萘)、5-碘-2-萘腈(或2-氰基-5-碘萘)、3-氰基-3-苯 基丙酸、2-氰基-2-丙基戊醯胺(或二丙基氰基乙醯胺)、 2,6-二溴苯甲腈、3-氯-4-羥基苯甲腈、5-氯-2,4-二硝基苯 -42- 200941582 甲腈、4-苯甲醯胺基苯甲腈(或N-苯甲醯基鄰胺苯甲腈) 、5-溴-2-羥基苯甲腈、义/-2,3-二苯基琥珀腈、異酞腈( 或間-二氰基苯)、2-羥基-4-硝基苯甲腈(或2-氰基_5_硝 基酚)、A/-4-氰基-3,4-二苯基丁酸(或cU-2,3-二苯基戊 單腈)、ί/-3-羧基-2,2,3-三甲基環戊基乙腈、5-氯-2-羥基 苯甲腈(或4-氯-2-氰基酚)、2,3-二苯基肉桂腈(或氰基 三苯基乙烯)、1,7-二氰基萘、4,4'-二氰基二苯基甲烷、 〇 2,2·-聯苯酸單腈(或2-羧酸-2’·氰基聯苯基)、5-硝基-2-萘腈(或2-氰基-5 -硝基萘)、9_氰基蒽(或9-蒽腈)、 2,3 -二氰基吡啶、1,3 -二氰基萘、3 -氰基香豆素、2 -氰基肉 桂酸、2-氰基苯甲酸、1,2-二氰基萘、2-羥基-5-硝基苯甲 腈(或2-氰基-4-硝基酚)、四氰基乙烯、5-硝基-1-萘腈 (或1-氰基-5-硝基萘)、1,4-二氰基萘、1,6-二氰基萘、 1,5 -二氰基萘、3 -氰基苯甲酸、4 -氰基苯甲酸、對酞腈( 或對-二氰基苯)、1,8-二氰基萘、4,4’-二氰基聯苯基、ΙΟ 2,3-二苯基琥珀腈、1-氰基-9,1〇-蒽醌、2,3·二氰基萘、 2,7-二氰基萘、2,6-二氰基萘。 四級腈”,陽離子性腈 本發明另外包括如下式之 ΘN-OH nh2 Glycosaminoglycan A convenient route to form amidoxime chelating compounds is by adding hydroxylamine to the corresponding nitrile compound. There are several known methods for preparing nitrile-containing compounds, including cyanide addition reactions, for example, hydrocyanation, polymerization of nitrile-containing monomers to form polyacrylonitrile or copolymerization of acrylonitrile with vinyl monomers. Dehydration of matter' and guanamine. A typical procedure for synthesizing nitriles can be found in J. March, Advanced Organic Chemistry, 4th ed., John Wiley and Sons, NY, (1992). The nitrile compounds exemplified in the CRC Handbook (pages 344-368) can be used in the present invention and include, but are not limited to, propyneonitrile, cyanoacetaldehyde, acrylonitrile, fluoroacetonitrile, acetonitrile (or cyanomethane), trichloroacetonitrile. , methyl-36- 200941582 acrylonitrile (or α-methacrylonitrile), propionitrile (or cyanoethane), isobutyronitrile, trimethyl acetonitrile (or cyanide cyanide), 2-B Acrylonitrile, dichloroacetonitrile, α-chloroisobutyronitrile, n-butyronitrile (or 1-cyanopropane), trans. Crotononitrile, cyanyl allyl, methoxyacetonitrile, 2-hydroxyisobutyronitrile (or ketamine cyanohydrin), 3-hydroxy-4-methoxybenzonitrile, 2-methylbutyronitrile, chloroacetonitrile, isovaleronitrile, 2,4-pentane nitrite, 2-chlorocrotononitrile, Ethoxyacetonitrile, 2-methylcrotononitrile, 2-bromoisobutyronitrile, 4-pentenenitrile, thiophene-2,3-dicarbonitrile (or @ 2,3-dicyanothiophene), 3,3 - Dimethyl acrylonitrile, valeronitrile (or 1 cyanobutane), 2-chlorobutyronitrile, diethyl acetonitrile, 2-furanonitrile (or β-furanonitrile; 2 cyanofuran), 2-methyl ethane醯acetonitrile, cyclobutane nitrile (or cyanocyclobutane), 2-chloro-3-methylbutyronitrile, different Nitrile (or 4-methylvaleronitrile), 2,2-dimethylacetonitrile acetonitrile, 2-methylcapronitrile, 3-methoxypropionitrile, n-capronitrile or n-Hexanonitrile, Ethylamino) acetonitrile (or N-ethylaminoacetonitrile), sense/-3-methylcapronitrile, chlorobutenyl dicyanate, 2-ethyl methoxypropionitrile (or 0-acetamido acetonitrile) , 3-ethoxypropanonitrile nitrile, 3-chlorobutyronitrile, 3-chloropropionitrile, indole-3-carbonitrile (or 3-cyanoguanidine), 5-methylcapronitrile, thiophene-3- Nitrile (or 3-cyanothiophene), ί/, /-4-methylcapronitrile, nitrite (or 2-hydroxypropionitrile), glycolonitrile (or Formaldehydecyanohydrin), heptonitrile, 4-cyanoglycol Anthracene, benzonitrile, thiophene-2-carbonitrile (or 2-cyanothiophene), 2-octyl nitrile, 4-chlorobutyronitrile, methyl cyanoacetate, dibenzyl acetonitrile, 2-methylbenzonitrile ( 2-Tolunitrile or 2-1^^111丫1匕6112〇1111:1^16), 2,3,3-trimethyl-1-cyclopentan-1-nitrile (or β-Campholytonitrle), octonitrile (Capry lonitrile or Octanonitrile), 1,1-dicyanopropane (or ethylmalononitrile), cyanide-37-200941582 ethyl acetate, 1,1-dicyanobutane (or C Methyldicarbonitrile), 3-methylbenzonitrile (3-Tolunitrile or 3_Methylbenzonitrile), cyclohexylacetonitrile, 4,4-dicyano-1·butene (or allyl malononitrile), 3 - Isopropyl-1-methyl-cyclopentene-1-nitrile (or β-Feneholenonitrile), 3-hydroxypropionitrile, 1,1-dicyano-3-methylbutane (or isobutylpropane) Nitrile), phthalonitrile, 2-phenylcrotononitrile, cyanohydrin, 2-phenylpropionitrile, phenylacetonitrile (or phenylacetonitrile), phenoxyacetonitrile, 4-hydroxy-butyronitrile, (3-methylphenyl) ) acetonitrile (or m-xylyl cyanide), (4-methylphenyl)acetonitrile (or p-cyanoquinone), 4-isopropylbenzonitrile, (2-tolyl)acetonitrile (or Cyanated o-xylyl), phthalonitrile, 3-methyl-2.phenylbutyronitrile, 1,2-dicyanopropane, 1-undeconitrile (or Ι-Hendecanonitrile), 2-phenylpentyl Nitrile, 10-f--nickel (or 1 O-Hendecenonitrile), 3-phenylpropionitrile, 2-cyanobenzylidene chloride (or α,α-dichloro-o-benzonitrile), Ν-methylaniline nitrile (or Ν-cyano-oxime-methylaniline), 3-(2-chlorophenyl)propionitrile, 1,3-dicyano-2-methylpropane ( 2-methylglutaronitrile), 0-benzylidene lactonitrile (or lactonitrile benzoate), 3-cyanobenzylidene chloride (or α,α-dichloro-m-phenylene) Nitrile), 4-cyanobenzylidene chloride (or α,α-dichloro-p-benzonitrile), dodecyl nitrile (or lauronitrile), 1,3-dicyanopropane (or glutaronitrile) ), 4-methoxyhydrocinnamonitrile (or 3-(4-methoxyphenyl)·propionitrile), 1,4-dicyanobutane (adiponitrile), 1, 2, 2, 3 -tetramethyl-3-cyclopentan-1-acetonitrile (or 5-methyl-α-borneoencapone (campholenonitrile)), 1-cyanocyclohexene, 2-hydroxybutyronitrile (or propionaldehyde cyanide) Alcohol), Hydnocarponitrile, α-chloro-α-phenylacetonitrile, butyl cyanoacetate, 3-bromopropionitrile, 2,4-diphenylbutyronitrile, thiophene-2-acetonitrile, trans-4-chloro croton Nitrile-38- 200941582, cyanovaleric acid, 1,7-dicyanoheptane (Azelaonitrile or 1,7-dicyanoheptane), 3-chloro-2-yl-2-methylpropionitrile (or chloropropene) Amino alcohol), 1,1 1 -dicyano-f-alkane (or 1,1 1-dicyanohendecane), 2-cyanobutyric acid, 2-cyanobiphenyl, 1,12-dicyano-10 Dioxane (or diacyanated α,ω-dodecane), 1 -Cyano-4-isopropenylcyclohexene, sebaconitrile (or 1,8-dicyanocin), Suberonitrile (or 1,6-dicyanohexane), 3 - Cyanoguanidine (or indole-3-carbonitrile), aminoacetonitrile (or ❿Glycinonitrile), 2-cyanodiphenylcarbamate, N-piperidinyl acetonitrile, 3-chloro-2-methylbenzonitrile, Tetracarbonitrile, cinnamonitrile, trichloroacrylonitrile, DL-phenylglycolonitrile (or benzaldehyde cyanohydrin), pentadeconitrile, 2-methoxybenzonitrile, (2-chlorophenyl)acetonitrile (or 2- Chlorophenylacetonitrile), 1,1-dicyanoethane (or methylmalononitrile), 2-cyanopyridine (or 2-pyridine nitrile; Picolinonitrile), 4-methylbenzonitrile (4-tolunitrile or 4-methylbenzonitrile (4-methylbenzonitrile), D-phenylglycolonitrile, </- (2-bromophenyl)acetonitrile (or 2-bromophenylacetonitrile), (4-chlorophenyl) © acetonitrile (or 4_chlorophenylacetonitrile), malononitrile (or methylene cyanide), hexadeconitrile, maleonitrile (or cis-1,2-dicyanoethylene), 2,2-di Cyanopropyl (or dimethylmalononitrile), tert-butylacetonitrile (or neopentyl cyanide), naphthylacetonitrile, 4,4- Cyanoheptane (or dipropyl malononitrile), seventeen meals, 1_cabinonitrile (or 1-cyanophthalene), 2-cyanopropionic acid, 4-fluorobenzonitrile, benzofuranonitrile ( Or benzofuran-2-carbonitrile, 吲哚-3_acetonitrile, 3-bromobenzonitrile, 2-(N-anilino)-butyronitrile, trans-o-chlorocinnamonitrile, decanoic acid, 3- Chlorocarbonitrile, 2-chlorobenzonitrile, 4-chlorobenzonitrile, pentadonitrile, 2-bromo-4.methylbenzonitrile, 3,3. Dicyanopentan (or diethylpropyl) Erla-39- 200941582 ), 4-cyanobutyric acid, 5-chloro-2-methylbenzonitrile, (4-aminophenyl)acetonitrile (or 4-aminobenzylmethyl cyanide), hydrazine Oyster mushroom-2,3-dimethyl-succinonitrile, 3-bromo-4-methylbenzonitrile, (4-bromophenyl)acetonitrile (or 4-bromobenzyl chloride), N-anilino Acetonitrile, 3-cyanopropionic acid, 3-chloro-4-methylbenzonitrile, 3,3-diphenylacrylonitrile (β-phenylcinnamonitrile), 3-bromo-2-hydroxybenzonitrile, 4,4-dicyanoheptane (or dipropyl malononitrile), trans-2,3-diphenylacrylonitrile, icosonitrile, 3-cyanopyridine (or nicotine carbonitrile), 4-iodophenyl)acetonitrile (or 4-iodobenzyl chloride), 4-cyanodiphenyl , 2-(Ν-anilino)valeronitrile, 2-aminobenzonitrile (or o-amine benzonitrile), 2-bromobenzonitrile, 5-cyanothiazole, 3-aminobenzonitrile, 2 -quinolinylacetonitrile, 2-iodobenzonitrile, 2,4,6-trimethylbenzonitrile, cyanated a-aminobenzyl, cyanide (Cyanoform or tricyano), number beat Nitrile, 2-iodo-4-methylbenzonitrile (2-Iodo-4-tolunitrile or 2-Iodo-4-methyl 匕61120111111丨16), 2,6-dinitrobenzonitrile, 1/-2 , 3-dimethyll-nitrile, 2-chloro-4-methylbenzonitrile, 4-methoxybenzonitrile, 2,4-dichlorobenzonitrile, 4-methoxycinnamonitrile, 3 , 5-dichlorobenzonitrile, cis-1,4-dicyanocyclohexane, bromomalononitrile, 2-naphthonitrile (or 2-cyanophthalene), cyanoacetic acid, 2-cyano- 2-ethylbutyric acid (or diethyl cyanoacetic acid), 2,4-diphenylglutaronitrile, (3-chloro-3-methylbenzonitrile, 4-chloro-2-methylbenzoic acid Nitrile, 1-cyanoethane naphthalene (or ethane naphthalene-1-nitrile), phenylmalononitrile (cyanide, cyanobenzyl), 6-nitro-2-methylbenzonitrile , (4-hydroxyphenyl)acetonitrile (or 4-hydroxybenzyl cyanide), 5-bromo-2-methylbenzonitrile, β-bromo- 2-methylbenzonitrile, 2,2-diphenylglutaronitrile, (2-aminophenyl)acetonitrile (or 2-aminobenzyl cyanide), 3,4-dichlorobenzonitrile 1,2,2,3-Tetramethylcyclopenta-40- 200941582 ene-1-nitrile (or camphoronitrile), dicyanodimethylamine (or bis(cyanomethyl)amine), diphenyl Acetonitrile (β-phenylbenzylmethyl cyanide), 4-cyano-indole, fluorenyl-dimethylaniline, 1-cyanoisoquinoline, 4-cyanopyridine, β-chloro-4-methyl Benzoonitrile (or 4-cyanobenzyl chloride), 2,5-diphenylvaleronitrile, 3-cyanobenzaldehyde (or 3-methylbenzylbenzonitrile), 6-nitro-3 -methylbenzonitrile, benzhydryl acetonitrile, 6-chloro-2-methylbenzonitrile, 8-cyanoquinoline, 2-nitro-3-methylbenzonitrile, 2,3,4 , 5-tetrachlorobenzonitrile, 4-cyanobiphenyl, fluorene 2-naphthylacetonitrile, cis-2,3-diphenylacrylonitrile, 4-aminobenzonitrile (or 4-cyanoaniline) ), 1-cyano-2-phenylacrylonitrile (or benzalmalononitrile), 5-bromo-2,4-dimethyl-benzonitrile, 2-cyanotriphenylmethane, 5- Cyanoquinoline, 2,6-dimethylbenzonitrile, phenylcyanoacetic acid, 2-(^[-anilino)-propionitrile, 2,4-dibromobenzonitrile, β - (2-Nitrophenyl)-acrylonitrile, 5-chloro-2-nitro-4-methylbenzonitrile, β-bromo-3-methylbenzonitrile (or 3-cyanobenzene bromide) Methyl), 4-nitro-3-methylbenzonitrile, 2-(indolyl-anilino)-isobutyronitrile, 2-cyanoquinoline, 4-cyanovaleric acid (or 2-methylpentyl) Mononitrile), anti-butenyl dicyano, 4-chlorobenzonitrile, 9-phenanthryl acetonitrile, 3,5-dibromobenzonitrile, 2-chloro-3-nitrobenzonitrile, 2-hydroxybenzene Nitrile (or 2-cyanophenol), 4-chloro-2-nitrobenzonitrile, 4-cyanotriphenylmethane, 4-chloro-3-nitrobenzonitrile, 3-nitro-4 -methylbenzonitrile, 2-cyano-3-phenylpropionic acid, 3-cyanophenanthrene, 2,3,3-triphenylpropionitrile, 4-cyanoquinoline, 4-bromo-1- Naphthalonitrile (or 1-bromo-4-cyanophthalene), 4-bromo-2,5-dimethylbenzonitrile, 5-nitro-3-methylbenzonitrile, 2,4-dinitro Benzoonitrile, 4-nitro-2-methylbenzonitrile, 6-chloro-3-nitrobenzonitrile, 5-bromo-3-nitro-2-methylbenzonitrile, 2-nitro -4-methylbenzonitrile, 9-cyanophenanthrene, 3-cyanoquinoline, 2-cyanophenanthrene, 3-nitro-2-41-200941582 methylbenzonitrile, 2-nitrobenzene Formonitrile, 4-chloro-1-naphthonitrile (or 1-chloro-4-cyanophthalene), 5-cyano Ethane naphthalene (or ethane naphthalene-5-carbonitrile), 4-bromobenzonitrile, 2,4,5-trimethoxybenzonitrile, 4-hydroxybenzonitrile (or 4-cyanophenol) , 2,3-diphenylvaleronitrile, β-bromo-4-methylbenzonitrile (or 4-cyanobenzyl bromide), (4-nitrophenyl)acetonitrile (or cyanide 4- Nitrobenzyl), 6-bromo-3-nitrobenzonitrile, (2-hydroxyphenyl)acetonitrile (or 2-hydroxybenzyl cyanide), 3-nitrobenzonitrile, 4-bromo 3-nitrobenzonitrile, 4-cyanoazobenzene, 01卩丨(;〇1丨11〇11丨111116 (or 2,6-dicyanoindole), 2-cyanohexanoic acid , dibromomalononitrile (or bromodicyanomethane), 1-cyanoguanidine, 2,2,3-triphenylpropionitrile, 1-cyanophenanthrene, 2,3-diphenylbutyronitrile, 5 -Bromo-3nitro-4-methylbenzonitrile, 2,5-dichlorobenzonitrile, 2,5-dibromobenzonitrile, 5-bromo-2-nitro-4-methylbenzoate Nitrile, 2-hydroxy-3-nitrobenzonitrile (or 2-cyano-6-nitrophenol), 4-nitro-1-naphthonitrile (or 1-cyano-4-nitronaphthalene), 4-Ethylaminobenzonitrile, 6-cyanoquinoline, Apiolonitrile (or 2,5-dimethoxy-3,4-methyldioxybenzonitrile), 1-nitro-2 -naphthalene nitrile (or 2- -1-nitronaphthalene), 3,5-dichloro-2-hydroxybenzonitrile, trans-1,4-dicyanocyclohexane, 3,3,3-triphenylpropionitrile, 4 -Cyano-2-phenylquinoline (or 2-phenyl-4-quinolinyl nitrile), phthalonitrile (or o-dicyanobenzene), 8-nitro-2-naphthonitrile (or 2-cyano) -8-nitronaphthalene), 5-chloro-2-naphthonitrile (or 5-chloro-2cyanophthalene), 5-chloro-1-naphthonitrile (or 5-chloro-1-cyanophthalene), 3,5-Dichloro-4-hydroxybenzonitrile, 4-nitrobenzonitrile, 5-bromo-1-naphthonitrile (or 1-bromo-5-cyanophthalene), 5-iodo-2-naphthonitrile (or 2-cyano-5-iodonaphthalene), 3-cyano-3-phenylpropionic acid, 2-cyano-2-propylpentamethyleneamine (or dipropylcyanoacetamide), 2 ,6-dibromobenzonitrile, 3-chloro-4-hydroxybenzonitrile, 5-chloro-2,4-dinitrobenzene-42- 200941582 carbonitrile, 4-benzylguanidinobenzonitrile ( Or N-benzylidene o-benzonitrile, 5-bromo-2-hydroxybenzonitrile, /2,3-diphenyl succinonitrile, isodecyl nitrile (or m-dicyanobenzene) , 2-hydroxy-4-nitrobenzonitrile (or 2-cyano-5-nitrophenol), A/-4-cyano-3,4-diphenylbutyric acid (or cU-2,3 -diphenylpentanenitrile), ί/-3-carboxy-2,2,3-trimethylcyclopentylacetonitrile, 5- 2-hydroxybenzonitrile (or 4-chloro-2-cyanophenol), 2,3-diphenylcinnamonitrile (or cyanotriphenylethylene), 1,7-dicyanophthalene, 4, 4'-Dicyanodiphenylmethane, 〇2,2·-biphenylic acid mononitrile (or 2-carboxylic acid-2'-cyanobiphenyl), 5-nitro-2-naphthonitrile (or 2-cyano-5-nitronaphthalene), 9-cyanoguanidine (or 9-phthalonitrile), 2,3-dicyanopyridine, 1,3-dicyanophthalene, 3-cyanocoumarin , 2-cyanocinnamic acid, 2-cyanobenzoic acid, 1,2-dicyanophthalene, 2-hydroxy-5-nitrobenzonitrile (or 2-cyano-4-nitrophenol), four Cyanoethylene, 5-nitro-1-naphthonitrile (or 1-cyano-5-nitronaphthalene), 1,4-dicyanophthalene, 1,6-dicyanophthalene, 1,5-di Cyanonaphthalene, 3-cyanobenzoic acid, 4-cyanobenzoic acid, p-carbonitrile (or p-dicyanobenzene), 1,8-dicyanophthalene, 4,4'-dicyanobiphenyl Base, ΙΟ 2,3-diphenyl succinonitrile, 1-cyano-9,1 〇-蒽醌, 2,3·dicyanonaphthalene, 2,7-dicyanophthalene, 2,6-dicyandi Keina. Quaternary nitrile", cationic nitrile The present invention additionally includes the following formula

Rj XRj X

其中Ri爲-Η、-CH3、C2-24-烷基或烯基、具有至少一種選 自下面取代基之經取代的C2-24-烷基或烯基:-(:1、-;81:、-OH、-NH2、-CN、具有Cm-烷基之烷基芳基或烯基芳基 200941582 ,或爲具有Ci-24-烷基和至少一種在芳香族環上之另外取 代基之經取代的烷基芳基或烯基芳基’R2和R3彼此獨立 地選自 ch2-cn、-ch3、-ch2-ch3、-CH2-CH2-CH3、-CH (CH3) -CH3 ' -CH2-OH ' -CH2-CH2-OH ' -CH ( OH) -CH3 ' -CH2-CH2-CH2-OH ' -CH2-CH ( OH) -CH3 ' -CH ( OH)-CH2-CH3、- ( CH2CH2-0) nH,其中 n=l、2、3 ' 4、5 或 6 ,和X爲陰離子。 通式涵蓋大量的陽離子性腈類,可以在本發明範圍內 @ 使用該腈類。特別優點,本發明之清潔劑(detergent, cleaner)包括陽離子性腈類,其中R!爲甲基、乙基、丙 基、異丙基或正丁基、正己基、正辛基、正癸基、正十二 基、正十四基、正十六基或正十八基。R2和R3較佳地選 自甲基、乙基、丙基、異丙基和羥基乙基,其中基團的一 者或二者亦可有利地爲氰基伸甲基。Wherein Ri is -Η, -CH3, C2-24-alkyl or alkenyl, substituted C2-24-alkyl or alkenyl having at least one substituent selected from the group consisting of: -(:1, -; 81: , -OH, -NH2, -CN, alkylaryl or alkenylaryl having a Cm-alkyl group 200941582, or an alternative having a Ci-24-alkyl group and at least one additional substituent on the aromatic ring Substituted alkylaryl or alkenylaryl 'R2 and R3 are independently selected from the group consisting of ch2-cn, -ch3, -ch2-ch3, -CH2-CH2-CH3, -CH(CH3)-CH3'-CH2- OH ' -CH2-CH2-OH ' -CH ( OH) -CH3 ' -CH2-CH2-CH2-OH ' -CH2-CH ( OH) -CH3 ' -CH ( OH)-CH2-CH3, - ( CH2CH2- 0) nH, wherein n = 1, 2, 3 '4, 5 or 6, and X is an anion. The formula encompasses a large number of cationic nitriles, which can be used within the scope of the invention @Special advantage, this The detergent of the invention includes cationic nitriles, wherein R! is methyl, ethyl, propyl, isopropyl or n-butyl, n-hexyl, n-octyl, n-decyl, ortho-xyl Or n-tetradecyl, n-hexadecanyl or n-octadecyl. R2 and R3 are preferably selected from the group consisting of methyl, ethyl, propyl and iso And a hydroxyethyl group, wherein one or both groups may advantageously extend cyano methyl.

關於輕易合成的理由,所給予的較佳者爲基團R1至 R3爲相同之化合物,例如,(CH3)3N( + )CH2-CN(X·)、 Q (CH3CH2)3N( + )CH2-CNX- ' (CH3CH2CH2)3N( + )CH2-CNX-、 (CH3CH(CH3))3N( + )CH2-CNX-或(HO-CH2-CH2)3N( + )CH2-CNX-,其中X-較佳地爲選自下列之陰離子:氫氧化物、 氯化物、溴化物、确化物、硫酸氨鹽(hydrogensulfate) 、甲基硫酸鹽(methosulfate)、對-甲苯磺酸鹽(甲苯磺 酸鹽)或二甲苯磺酸鹽。 典型的丙烯腈聚合物材料的範例(其充當製備本案聚 醯胺肟之前驅物)如下所示。數字是表示每一單體在聚合 -44- 200941582 物中的重量%。 90重量%丙烯腈 10重量%乙烯乙腈 50重量%丙烯腈 50重量%甲基丙烯腈 97重量%丙烯腈 3重量%乙酸乙烯酯 50重量%丙烯腈 50重量%乙酸乙烯酯 95重量%丙烯腈 5重量%甲基丙烯酸甲酯 65重量%丙烯腈 35重量%丙烯酸甲酯 45重量%丙烯腈 10重量%丙烯酸甲酯 45重量%乙酸乙烯酯 44重量%丙嫌腈 44重量%氯乙烯 12重量%丙嫌酸甲酯 93重量%丙烯腈 7重量% 2-乙烯基吡啶 26重量0/〇丙嫌腈 74重量% 丁二烯 40重量%丙嫌腈 60重量% 丁二烯 33重量〇/〇丙烯腈 67雷量%苯乙烯 100重量%丙烯腈 數種聚合物可市場購得,例如: 產品 製造商 組成物 Orion DuPont de Nemours 90重量%丙烯腈 Acrilan Chemstrand 90重量%丙烯腈 Creslan American Cyanamid 95-96重量%丙烯腈 Zefiran Dow Chemical Co., 90重量%丙烯腈 Verel Eastman 約50重量%丙傭膳 Dyrel Carbide & Carbon Chemical 40重量%丙稀腈-60重量%氯乙烯 Darlan B.F Goodrich 50莫耳軍暈%二氰亞乙烯-50莫耳重 量%乙酸乙烯酯 至腈類的特別有用途徑稱爲“氰乙基化作用”,其中丙 烯腈經歷與質子性親核劑(例如,醇類和胺類)之共轭加 成反應。亦可使用其他不飽和的腈類取代丙烯腈。 -45- 200941582 N〜+ Nuc -- N^^NucFor the reason of easy synthesis, it is preferred that the groups R1 to R3 are the same compound, for example, (CH3)3N(+)CH2-CN(X·), Q(CH3CH2)3N(+)CH2- CNX- ' (CH3CH2CH2)3N( + )CH2-CNX-, (CH3CH(CH3))3N( + )CH2-CNX- or (HO-CH2-CH2)3N( + )CH2-CNX-, where X- Preferably, the anion is selected from the group consisting of hydroxides, chlorides, bromides, confirmants, hydrogensulfate, methosulfate, p-toluenesulfonate (tosylate) or Xylene sulfonate. An example of a typical acrylonitrile polymer material, which serves as a precursor to the preparation of the polyamidoxime of the present invention, is shown below. The number is the weight % of each monomer in the polymerization -44- 200941582. 90% by weight of acrylonitrile 10% by weight of ethylene acetonitrile 50% by weight of acrylonitrile 50% by weight of methacrylonitrile 97% by weight of acrylonitrile 3% by weight of vinyl acetate 50% by weight of acrylonitrile 50% by weight of vinyl acetate 95% by weight of acrylonitrile 5 Weight% methyl methacrylate 65 wt% acrylonitrile 35 wt% methyl acrylate 45 wt% acrylonitrile 10 wt% methyl acrylate 45 wt% vinyl acetate 44 wt% propane nitrile 44 wt% vinyl chloride 12 wt% C Methyl ester 93% by weight acrylonitrile 7 wt% 2-vinyl pyridine 26 wt0 / 〇 嫌 nitrite 74 wt% Butadiene 40 wt% Cyan carbonitrile 60 wt% Butadiene 33 wt 〇 / 〇 acrylonitrile 67 liters % styrene 100% by weight Acrylonitrile Several polymers are commercially available, for example: Product manufacturer composition Orion DuPont de Nemours 90% by weight acrylonitrile Acrilan Chemstrand 90% by weight acrylonitrile Creslan American Cyanamid 95-96 weight % acrylonitrile Zefiran Dow Chemical Co., 90% by weight acrylonitrile Verel Eastman About 50% by weight propylene Dyrel Carbide & Carbon Chemical 40 % acrylonitrile-60% by weight of vinyl chloride Darlan BF Goodrich 50 Moor military halo% dicyandiethylene-50 molar weight % vinyl acetate to nitrile is a particularly useful route called "cyanoethylation", in which Acrylonitrile undergoes a conjugated addition reaction with protonic nucleophiles such as alcohols and amines. Other unsaturated nitriles can also be used in place of acrylonitrile. -45- 200941582 N~+ Nuc -- N^^Nuc

親核性醇-〇H 丙烯腈胺-NH2 氰乙基化的化合物 用於氰基乙基化反應的較佳胺類爲具有1至30個碳 原子之一級胺類和二級胺類,和聚乙烯胺。醇類可爲一級 、二級、或三級。氰基乙基化反應(或“氰烷基化作用”’ 使用非丙烯腈之不飽和腈)較佳地在氰基乙基化觸媒存在 下進行。較佳的氰基乙基化觸媒包括氫氧化鋰、氫氧化鈉 、氫氧化鉀和來自氫氧化四烷基銨之金屬離子自由鹼’例 如,氫氧化四甲基銨、TMAH五水合物、BTMAH (氫氧化 苄基四甲基銨)、TBAH、膽鹼、和THEMAH (氫氧化三 (2-羥基乙基)甲基銨)。所使用的觸媒含量典型地爲介 於0.05莫耳重量%和15莫耳重量%之間,基於不飽和腈計 〇 較佳地,氰基化物係衍生自下面基團:***糖醇、 丁四醇、甘油、異麥芽糖醇(isomalt)、乳糖醇、麥芽糖 醇、甘露糖醇、山梨醇、木糖醇、蔗糖和氫化的澱粉水解 化物(H S Η )。 來自羥基酸類群組:羥基苯基乙酸(苦杏仁酸)、2-羥基丙酸(乳酸)、乙醇酸、羥基琥珀酸(蘋果酸)、 2,3-二羥基丁二酸(酒石酸)、2-羥基·1,2,3-丙烷三羧酸 (檸檬酸)、抗壞血酸、2-羥基苯甲酸(水楊酸)、 3,4,5-三羥基苯甲酸(五倍子酸)。 來自糖酸類群組:半乳糖酸、甘露糖酸、fructonic 200941582 acid、***糖酸、木糖酸、核糖酸、2-去氧核糖酸 藻酸。 來自胺基酸類群組:丙胺酸、纈胺酸、白胺酸、 胺酸、脯胺酸、色胺酸、苯基丙胺酸、甲硫胺酸、甘 、絲胺酸、酪胺酸、蘇胺酸、半胱胺酸、天冬醯胺酸 醯胺酸、天冬胺酸、麩胺酸、離胺酸、精胺酸、和組 〇 〇 選自下列之多醇單體-或多羥基醇、或乙二醇醚 :乙醇、正或異丙醇、丁醇、乙二醇、丙二醇或丁二 甘油、二甘醇、丙基二甘醇或丁基二甘醇、己二醇、 醇甲基醚、乙二醇乙基醚、乙二醇丙基醚、乙二醇單 基醚、二甘醇甲基醚 '二甘醇乙基醚、丙二醇甲基醚 二醇乙基醚或丙二醇丙基醚、二丙二醇甲基醚或二丙 乙基醚、甲氧基三乙二醇、乙氧基三乙二醇或丁氧基 二醇、1-丁氧基乙氧基-2-丙醇、3-甲基-3-甲氧基丁 ® 丙二醇第三丁基醚、和季戊四醇。 選自下列之多醇聚合物群組:聚乙二醇和聚丙二? 聚乙二醇(縮寫PEGS) PEGs爲符合下面通式之 醇的聚合物: 、和 異白 胺酸 、麩 胺酸 群組 醇、 乙二 正丁 、丙 二醇 三乙 醇、 乙二 H、Nucleophilic alcohol-〇H acrylonitrile amine-NH2 cyanoethylated compound The preferred amines for the cyanoethylation reaction are amines having one to 30 carbon atoms and secondary amines, and Polyvinylamine. The alcohol can be of the first, second, or third grade. The cyanoethylation reaction (or "cyanoalkylation" using a non-acrylonitrile unsaturated nitrile) is preferably carried out in the presence of a cyanoethylation catalyst. Preferred cyanoethylation catalysts include lithium hydroxide, sodium hydroxide, potassium hydroxide, and metal ion free bases from tetraalkylammonium hydroxides such as tetramethylammonium hydroxide, TMAH pentahydrate, BTMAH (benzyltetramethylammonium hydroxide), TBAH, choline, and THEMAH (tris(2-hydroxyethyl)methylammonium hydroxide). The catalyst content used is typically between 0.05 mol% and 15 mol%, based on the unsaturated nitrile. Preferably, the cyanide is derived from the group: arabitol, butyl Tetrahydrin, glycerol, isomalt, lactitol, maltitol, mannitol, sorbitol, xylitol, sucrose, and hydrogenated starch hydrolyzate (HS®). From the group of hydroxy acids: hydroxyphenylacetic acid (mandelic acid), 2-hydroxypropionic acid (lactic acid), glycolic acid, hydroxysuccinic acid (malic acid), 2,3-dihydroxysuccinic acid (tartaric acid), 2 - Hydroxy·1,2,3-propanetricarboxylic acid (citric acid), ascorbic acid, 2-hydroxybenzoic acid (salicylic acid), 3,4,5-trihydroxybenzoic acid (galic acid). From the group of sugar acids: galactonic acid, mannonic acid, fructonic 200941582 acid, arabinic acid, xylogonic acid, ribonic acid, 2-deoxyribose alginic acid. From the group of amino acids: alanine, valine, leucine, aminic acid, valine, tryptophan, phenylalanine, methionine, glycine, serine, tyrosine, sul Amino acid, cysteine, aspartic acid valine, aspartic acid, glutamic acid, lysine, arginine, and group oxime selected from the following polyol monomers - or polyhydroxyl Alcohol, or glycol ether: ethanol, normal or isopropanol, butanol, ethylene glycol, propylene glycol or butyl diglycerin, diethylene glycol, propyl diethylene glycol or butyl diglycol, hexanediol, alcohol Methyl ether, ethylene glycol ethyl ether, ethylene glycol propyl ether, ethylene glycol monoether ether, diethylene glycol methyl ether 'diethylene glycol ethyl ether, propylene glycol methyl ether glycol ethyl ether or propylene glycol Propyl ether, dipropylene glycol methyl ether or dipropyl ethyl ether, methoxy triethylene glycol, ethoxy triethylene glycol or butoxy diol, 1-butoxy ethoxy-2-propanol Alcohol, 3-methyl-3-methoxybutane propylene glycol, tert-butyl ether, and pentaerythritol. A group of polyol polymers selected from the group consisting of polyethylene glycol and polypropylene. Polyethylene glycol (abbreviated as PEGS) PEGs are polymers of the following formula: , and isoleucine, glutamic acid group alcohol, ethylene di-n-butyl, propylene glycol, triethyl alcohol, ethylene

其中η可爲介於1(乙二醇,參見下面)和約16 之間 -47- 200941582Where η can be between 1 (ethylene glycol, see below) and between about 16 -47- 200941582

之値。聚乙二醇爲市場購得,例如,商品名 Carbowax. RTM. PEG 200 ( Union Carbide ) 、Emkapol® 200 ( ICIAfter that. Polyethylene glycol is commercially available, for example, under the trade names Carbowax. RTM. PEG 200 ( Union Carbide ), Emkapol® 200 ( ICI

Americas ) 、Lipoxol® 200 MED ( HOLS America )、Americas ), Lipoxol® 200 MED ( HOLS America ),

Polyglycol® E-200 ( Dow Chemical ) 、Alkapol® PEG 3 0 0 (Rhone-Poulenc ) 、Lutrol® E3 00 ( B ASF )、和具有較 高數目之相對應的商品名。 依據本發明可使用的聚丙二醇(PPGs)爲符合下面通 式之丙二醇的聚合物: ch3Polyglycol® E-200 (Dow Chemical), Alkapol® PEG 3 0 0 (Rhone-Poulenc), Lutrol® E3 00 (B ASF ), and a higher number of corresponding trade names. Polypropylene glycol (PPGs) which can be used in accordance with the invention are polymers which conform to the following general propylene glycol: ch3

其中η可爲介於1(丙二醇)和約12之間之値。當然 在此重要者特別爲二-、三-和四丙二醇,即,個別者爲上 式中η = 2、3和4。 來自有機氮化合物群組: 胺類:胺類爲有機化合物和包含氮作爲關鍵原子之一 類官能基。結構上胺類類似氨,其中一或多個氫原子被有 機取代基(如烷基、芳基和環狀基)置換。化合物包含有 下式的一或多個-ΝΗ-基團: R2Wherein η can be between 1 (propylene glycol) and about 12 Å. Of course, the important ones are especially di-, tri- and tetrapropanediol, i.e., the individual is η = 2, 3 and 4 in the above formula. From the group of organic nitrogen compounds: Amines: Amines are organic compounds and functional groups containing nitrogen as a key atom. The amines are structurally similar to ammonia in which one or more hydrogen atoms are replaced by organic substituents such as alkyl, aryl and cyclic groups. The compound contains one or more -ΝΗ- groups of the formula: R2

RiN—R3 醯胺類:醯胺爲氮取代基中的一者爲醯基的胺;其一 般由式:Ri (CO) NR2R3表示’其中R2和R3中之一者或 二者可爲氫。具體地,醯胺亦可被視爲羧酸的衍生物’其 -48- 200941582 中羥基已被胺或氨置換,其中-CH-或-CH2 -基團位在 CONH-基團之間。RiN-R3 decylamine: The guanamine is an amine which is a mercapto group in one of the nitrogen substituents; it is generally represented by the formula: Ri(CO) NR2R3' wherein one or both of R2 and R3 may be hydrogen. Specifically, the indoleamine can also be regarded as a derivative of a carboxylic acid in which the hydroxyl group in -48-200941582 has been replaced by an amine or ammonia, wherein the -CH- or -CH2- group is located between the CONH- groups.

醯亞胺-醯亞胺爲由2個鍵結至一級胺或氨之羰基所 組成之官能基。醢亞胺部分的結構如所示’其擁有鄰接至 ❹ 羰基之-CH-、-CH2-、或-CH3基團。 Ο 0 II II /C、 < N "r2 r3 來自胺基醇(或烷醇胺)群組:胺基醇類爲包含胺官 能基和醇官能基兩者之有機化合物,其中胺可以爲化學式 之一級或二級胺,其中X獨立地選自伸烷基、伸雜烷基、 ^ 伸芳基、伸雜芳基、伸烷基-雜芳基、或伸烷基-芳基。 ❹ r2The quinone imine-quinone imine is a functional group composed of two carbonyl groups bonded to a primary amine or ammonia. The structure of the quinone imine moiety is as shown, which has a -CH-, -CH2-, or -CH3 group adjacent to the fluorene carbonyl group. Ο 0 II II /C, < N " r2 r3 From the group of amino alcohols (or alkanolamines): Amino alcohols are organic compounds containing both amine functional groups and alcohol functional groups, wherein the amine can be A primary or secondary amine of the formula wherein X is independently selected from alkyl, heteroalkyl, aryl, heteroaryl, alkyl-heteroaryl, or alkyl-aryl. ❹ r2

;N-X—OH;N-X-OH

Ri 來自合成的聚合物類群組:合成的聚合物,例如,丙 酮-甲醛縮合物、丙酮-異丁醛縮合物、甲基乙基酮-甲醛縮 合物、聚(烯丙基醇)、聚(巴豆基醇)、聚(3-氯烯丙 基醇)、乙烯-一氧化碳共聚物、來自丙烯、乙烯和一氧 化碳之聚酮、聚(甲基烯丙基醇)、聚(甲基乙烯基酮) 、和聚(乙烯基醇)。 合成的聚合物(例如,丙酮-甲醛縮合物、丙酮-異丁 -49- 200941582 醛縮合物、甲基乙基酮-甲醛縮合物、聚(烯丙基醇)、 聚(巴豆基醇)、聚(3-氯烯丙基醇)、乙烯-一氧化碳共 聚物、來自丙烯、乙烯和一氧化碳之聚酮、聚(甲基烯丙 基醇)、聚(甲基乙烯基酮)、和聚(乙烯基醇))亦被 氰基乙基化和亦可以充當平台(platforms)以進一步修飾 成金屬-結合的聚合物類。 這些氰基乙基化物或氰烷基化物的腈基團可以與羥基 胺反應,以形成醯胺肟。文中所述之用於製備醯胺肟基團 的方法中,羥基胺、羥基胺鹽酸鹽、和羥基胺硫酸鹽爲羥 基胺的適當來源。若使用羥基胺鹽替代羥基胺自由鹼,應 使用鹼(例如,氫氧化鈉、碳酸鈉或金屬離子自由鹼如氫 氧化銨、氫氧化四烷基銨)以釋出羥基胺作爲反應之自由 鹼。 金屬離子自由鹼,例如氫氧化銨或氫氧化四烷基銨群 組如氫氧化四甲基銨、TMAH五水合物、BTMAH (氫氧化 苄基四甲基銨)、TBAH、膽鹼、和TEMAH (氫氧化三( 2-羥基乙基)甲基銨),爲較佳的。 金屬(例如銅和其他)與含有醯胺肟基團之分子(例 如’蔗糖和山梨醇之醯胺肟)強烈錯合,以結合金屬污染 物殘留物。 本發明提供結合至金屬氧化物表面以產生氧化障壁之 優點,特別是醯胺肟衍生自官能化的醯胺肟聚合物,例如 ’來自聚乙烯醇、聚丙烯腈和其共聚物。 本發明利用氰乙基化的化合物(參考“The Chemistry 200941582 of Acrylonitrile, 2nd ed.”)作爲合成醯胺肟類之起始物, 該參考文獻在此被倂入該文中所揭示之氰乙基化的化合物 之範圍內。合成醯胺肟類的最佳起始材料爲由氰乙基化的 糖醇(像蔗糖)或還原的糖醇(像山梨醇)所製備者。 本發明進一步提供於CMP過程期間增加金屬的大量 移除之優點,當文中所揭示之螯合劑(例如,( 1,2,3,4,5,6-(六-(2-醯胺肟基)乙氧基)己烷)與具有 © 氧化和還原電位之化合物(例如,羥基胺和其鹽類、過氧 化氫、肼)結合。 因爲文中所揭示之螯合劑不是以羧酸爲底,但卻包含 多個配位位置,本發明進一步提供更有效能和有效性的結 合至半導體製造過程中所發現之金屬離子之優點,例如, 特別是以使用銅作爲導電金屬之先進技術(leading edge technology)電獎蝕刻後的殘留物。 文中所揭示之螯合劑的另一優點是可使用稀釋的該螯 〇 合劑作爲後-銅CMP清潔劑,因爲化合物的這些基團的酸 性比有機酸小,且鹼性比氨、氫氧化膽鹼和THEMAH小 〇 因爲文中所揭示之螯合劑不是以羧酸爲底,但卻包含 多個配位位置,本發明進一步提供更有效能和有效性的結 合至半導體製造過程中所發現之金屬離子之優點,例如, 特別是以使用銅作爲導電金屬之先進技術(leading edge technology)電漿蝕刻後的殘留物。 文中所揭示之螯合劑的另一優點是可使用稀釋的該螯 -51 - 200941582 合劑作爲後-銅CMP清潔劑,因爲化合物的這些基團的酸 性比有機酸小,且鹼性比氨、氫氧化膽鹼和THEMAH小 Θ R2Ri from the group of synthetic polymers: synthetic polymers, for example, acetone-formaldehyde condensate, acetone-isobutyraldehyde condensate, methyl ethyl ketone-formaldehyde condensate, poly(allyl alcohol), poly (crotonyl alcohol), poly(3-chloroallyl alcohol), ethylene-carbon monoxide copolymer, polyketone derived from propylene, ethylene and carbon monoxide, poly(methylallyl alcohol), poly(methyl vinyl ketone) ), and poly(vinyl alcohol). Synthetic polymer (for example, acetone-formaldehyde condensate, acetone-isobutyl-49-200941582 aldehyde condensate, methyl ethyl ketone-formaldehyde condensate, poly(allyl alcohol), poly(crotyl alcohol), Poly(3-chloroallyl alcohol), ethylene-carbon monoxide copolymer, polyketone derived from propylene, ethylene and carbon monoxide, poly(methylallyl alcohol), poly(methyl vinyl ketone), and poly(ethylene) The base alcohols)) are also cyanoethylated and can also serve as platforms for further modification into metal-bound polymers. The nitrile groups of these cyanoethylates or cyanoalkylates can be reacted with hydroxyamines to form amidoxime. Among the methods for preparing amidoxime groups described herein, hydroxylamine, hydroxylamine hydrochloride, and hydroxylamine sulfate are suitable sources of hydroxylamine. If a hydroxylamine salt is used in place of the hydroxylamine free base, a base (for example, sodium hydroxide, sodium carbonate or a metal ion free base such as ammonium hydroxide or tetraalkylammonium hydroxide) should be used to liberate the hydroxylamine as the free base for the reaction. . Metal ion free bases such as ammonium hydroxide or tetraalkylammonium hydroxide groups such as tetramethylammonium hydroxide, TMAH pentahydrate, BTMAH (benzyltetramethylammonium hydroxide), TBAH, choline, and TEMAH (Tris(2-hydroxyethyl)methylammonium hydroxide) is preferred. Metals such as copper and others are strongly mismatched with molecules containing amidoxime groups (e.g., amidoxime of 'sucrose and sorbitol) to bind metal contaminant residues. The present invention provides the advantage of bonding to the surface of a metal oxide to create an oxidative barrier, particularly amidoxime derived from a functionalized amidoxime polymer, such as 'from polyvinyl alcohol, polyacrylonitrile, and copolymers thereof. The present invention utilizes a cyanoethylated compound (see "The Chemistry 200941582 of Acrylonitrile, 2nd ed.") as a starting material for the synthesis of amidoxime, which is incorporated herein by reference to the cyanoethyl group disclosed herein. Within the scope of the compound. The most preferred starting materials for the synthesis of amidoxime are those prepared from cyanoethylated sugar alcohols (like sucrose) or reduced sugar alcohols (like sorbitol). The present invention further provides the advantage of increasing the large amount of metal removal during the CMP process, as disclosed herein (e.g., (1,2,3,4,5,6-(hexa-(2-amidoamine). Ethoxy)hexane) is combined with a compound having an oxidation and reduction potential (for example, a hydroxylamine and a salt thereof, hydrogen peroxide, hydrazine). Since the chelating agent disclosed herein is not based on a carboxylic acid, However, it contains a plurality of coordination sites, and the present invention further provides the advantages of more efficient and effective combination of metal ions found in the semiconductor manufacturing process, for example, in particular, advanced technology using copper as a conductive metal (leading edge technology) a residue after etching. Another advantage of the chelating agent disclosed herein is that the diluted chelating agent can be used as a post-copper CMP cleaner because these groups of compounds are less acidic than organic acids, and Alkaline to ammonia, choline hydroxide and THEMAH sputum Because the chelating agent disclosed herein is not based on carboxylic acid, but contains multiple coordination sites, the present invention further provides a combination of more efficient energy and effectiveness. The advantages of metal ions found in semiconductor manufacturing processes, for example, especially after plasma etching using leading edge technology using copper as a conductive metal. Another advantage of the chelating agents disclosed herein is that The diluted chelate-51 - 200941582 mixture is used as a post-copper CMP cleaner because these groups are less acidic than organic acids and are more basic than ammonia, choline hydroxide and THEMAH.

XX

製備醯胺肟的一般程序 氰乙基化作用製備腈化合物之範例: 製備β-乙氧基丙腈,c2h5-o-ch2-ch2-cn:General procedure for the preparation of amidoxime Examples of the preparation of nitrile compounds by cyanoethylation: Preparation of β-ethoxypropionitrile, c2h5-o-ch2-ch2-cn:

將25 ml的2重量%水性氫氧化鈉和26 g ( 33 ml )的 乙醇置於250 ml的試劑瓶中,加入26.5 g(33 ml)的丙 烯腈,且用緊緊密合軟木塞塞緊瓶口。用搖動機搖動得到 的澄清均相液體2小時。於第1個1 5分鐘期間,混合物 的溫度增加15°C至20°C,之後逐漸下降到室溫;約10 分鐘之後,分離2個液體層。移除上層,且加入少量的5 重量%乙酸直到石蕊爲中性;丟棄下面水層。用無水硫酸 鎂乾燥,在172-174°C蒸餾和收集β-乙氧基丙腈。產量爲 3 2 g ° β-正丙氧基丙腈,C3H7a-0- CH2-CH2-CN : 將0.15 g的氣氧化鉀和33 g (41 ml)的乾燥正丙醇 引導至150 ml的螺栓頭燒瓶,溫和加熱,直到固體溶解 ,之後冷卻至室溫。夾住燒瓶的頸子,且燒瓶配有滴液漏 斗、機械攪拌器和溫度計(用夾子適當地支撐)。從滴液 漏斗導入26.5 g ( 33 ml )的純淨丙烯腈攪拌並攪拌之,歷 -52- 200941582 經2 · 5 -3 0分鐘(每隔約2秒1滴)。不容許混合物溫度上 升大於35-45°C;當需要時,將反應燒瓶浸入冷水浴中。 當所有的丙烯腈被加入’在沸水浴中回流下加熱1小時; 混合物變黑。冷卻、過濾和蒸餾。在18 7- 189°C收集β-正 丙氧基丙腈。產量爲38 g。 β-二乙基胺基丙腈,(c2H5) 2n-ch2-ch2-cn: 42.5 g(60 ml)的新鮮蒸餾的二乙胺和26.5 g(33 U ml)的純淨丙烯腈在250 ml配有回流冷凝管之圓底燒瓶 中混合。在50°C的水浴中加熱10小時,接著在室溫靜置 2天。在水浴中蒸餾掉過量的二乙胺’和在減壓下從克來 森(Claisen )燒瓶蒸餾殘留物。在75 -77°C/l 1 mm收集 β-二乙基胺基丙腈。產量爲54 g。 β-二-正丁 基胺基丙腈,(C4H9a) 2N-CH2-CH2-CN: 關於二乙基化合物’使用64.5 g(85 ml)的再蒸餾的 二-正丁基胺和26.5 g( 33 ml)的純淨丙烯腈繼續進行。 © 在50。C加熱且靜置2天之後’在減壓下(空氣浴)蒸餾 整個產物;丟棄含有未變化的二-正丁基胺之低沸點部分 ,和在120-122。110 mm收集β -二-正丁基胺基丙腈。產 量爲55 g。 丙二酸乙基正丙基-2-氰基乙基乙酯 將8.0 g ( 10.0 ml)的再蒸餾丙烯腈加到丙二酸乙基 正丙基酯(30.2 g.)和30重量%氣氧化鉀(4.0 g)的甲 醇溶液在第三丁基醇(1〇〇 g)中的攪拌溶液。在加入期 -53- 200941582 間,反應混合物保持在30°-35°C且攪拌達另一 3小時。 溶液用稀釋的鹽酸(1: 4)中和,用水稀釋和用醚萃取。 用無水硫酸鎂乾燥醚萃取液,蒸餾掉醚:殘留物(丙二酸 乙基正丙基-2-氰基乙基酯;1 1 g)在冰中冷卻固化,且在 冰冷的乙醇中再結晶之後於3 1°-32°C溶解。 製備氰乙基化的化合物Place 25 ml of 2% by weight aqueous sodium hydroxide and 26 g (33 ml) of ethanol in a 250 ml reagent bottle, add 26.5 g (33 ml) of acrylonitrile, and tightly close the bottle with a tight cork stopper. mouth. The clarified homogeneous liquid obtained by shaking with a shaker was allowed to stand for 2 hours. During the first 15 minutes, the temperature of the mixture was increased by 15 ° C to 20 ° C, and then gradually decreased to room temperature; after about 10 minutes, the two liquid layers were separated. The upper layer was removed and a small amount of 5% by weight acetic acid was added until the litmus was neutral; the lower aqueous layer was discarded. Drying with anhydrous magnesium sulfate, distillation and collection of β-ethoxypropionitrile at 172-174 °C. Yield 3 2 g ° β-n-propoxypropionitrile, C3H7a-0-CH2-CH2-CN : Guide 0.15 g of potassium oxychloride and 33 g (41 ml) of dry n-propanol to 150 ml of bolt The head flask was gently heated until the solids dissolved and then cooled to room temperature. The neck of the flask was clamped, and the flask was equipped with a drip funnel, a mechanical stirrer, and a thermometer (properly supported by a clip). 26.5 g (33 ml) of pure acrylonitrile was introduced from the dropping funnel and stirred, and the temperature was -52-200941582 over 2 · 5 - 30 minutes (1 drop every 2 seconds). The temperature of the mixture was not allowed to rise above 35-45 ° C; the reaction flask was immersed in a cold water bath when needed. When all of the acrylonitrile was added, it was heated under reflux in a boiling water bath for 1 hour; the mixture turned black. Cool, filter and distillate. The β-n-propoxypropionitrile was collected at 18 7-189 °C. The yield is 38 g. --Diethylaminopropionitrile, (c2H5) 2n-ch2-ch2-cn: 42.5 g (60 ml) of freshly distilled diethylamine and 26.5 g (33 U ml) of pure acrylonitrile in 250 ml Mix in a round bottom flask with a reflux condenser. It was heated in a water bath at 50 ° C for 10 hours, and then allowed to stand at room temperature for 2 days. Excess diethylamine was distilled off in a water bath and the residue was distilled from a Claisen flask under reduced pressure. The β-diethylaminopropionitrile was collected at 75 -77 ° C / l 1 mm. The yield is 54 g. β-Di-n-butylaminopropionitrile, (C4H9a) 2N-CH2-CH2-CN: About diethyl compound '64.5 g (85 ml) of re-distilled di-n-butylamine and 26.5 g ( 33 ml) of pure acrylonitrile continues. © at 50. C is heated and allowed to stand for 2 days 'distilling the entire product under reduced pressure (air bath); discarding the low boiling portion containing unaltered di-n-butylamine, and collecting β-di at 120-122.110 mm n-Butylaminopropionitrile. The yield is 55 g. Ethyl propyl cyanoethyl ethyl malonate 8.0 g (10.0 ml) of re-distilled acrylonitrile to ethyl n-propyl malonate (30.2 g.) and 30% by weight of gas A stirred solution of potassium oxide (4.0 g) in methanol in tert-butyl alcohol (1 g). During the addition period -53 - 200941582, the reaction mixture was maintained at 30 ° - 35 ° C and stirred for another 3 hours. The solution was neutralized with diluted hydrochloric acid (1:4), diluted with water and extracted with ether. The ether extract was dried over anhydrous magnesium sulfate and the ether was distilled off: residue (ethyl i-propyl-2-cyanoethyl malonate; 1 g) solidified in ice and cooled in ice cold ethanol After crystallization, it dissolves at 31 ° - 32 ° C. Preparation of cyanoethylated compounds

氰乙基化的二胺基環己烷係依據US 6,245,932 (其倂 入本文作爲參考)予以製備,氰乙基化的甲基環己基胺在 水存在下輕易地予以製備。The cyanoethylated diaminocyclohexane is prepared in accordance with US 6,245,932, which is incorporated herein by reference, and the cyanoethylated methylcyclohexylamine is readily prepared in the presence of water.

〇 -54- 200941582〇 -54- 200941582

分析顯示:當單獨使用水作爲觸媒促進劑時’幾乎未 產生展示二級胺氫反應且由結構c和D表示之化合物。 腈化合物與羥基胺反應形成醯胺肟化合物^ 聚醯胺肟的製備和分析(參見,U.s 3,345,344 ) 8〇重量份的聚丙烯腈(分子量約13〇,〇〇〇,非常細微 的粉末形式(-300篩目))被懸浮於300重量份的硫酸羥 基銨、140重量份的氫氧化鈉和2500重量份的去離子水的 溶液中。溶液的pH爲7.6。混合物受熱至90°C,且保持 在該溫度達12小時,整個時間皆在激烈攪拌下。其被冷 卻至35 °C,且產物被過濾和用去離子水重複清洗。樹脂 在整個反應期間保持不溶,但藉由化學和加熱而有點變軟 。此使得其從非常細微粉末漸漸變成10至20篩目的小團 簇。產物稱重爲1 3 0克。產量總是比理論値大,因爲緊 緊咬合的鹽。產物實質上爲具有下面重複單元之聚醯胺 肟。 硫酸羥基胺和氫氧化鈉的混合物可用等莫耳的經基胺 自由鹼溶液置換。 -55- 200941582 CH —CH2-CH—CH2-Analysis showed that when water alone was used as the catalyst promoter, almost no compound showing the secondary amine hydrogen reaction and represented by structures c and D was produced. Reaction of a nitrile compound with a hydroxylamine to form an amidoxime compound. Preparation and analysis of a polyamidoxime (see, Us 3,345,344) 8 parts by weight of polyacrylonitrile (molecular weight of about 13 Å, hydrazine, very fine powder form ( -300 mesh)) was suspended in a solution of 300 parts by weight of ammonium hydroxysulfate, 140 parts by weight of sodium hydroxide and 2500 parts by weight of deionized water. The pH of the solution was 7.6. The mixture was heated to 90 ° C and maintained at this temperature for 12 hours with vigorous stirring for the entire time. It was cooled to 35 ° C and the product was filtered and washed repeatedly with deionized water. The resin remained insoluble throughout the reaction but was somewhat softened by chemistry and heating. This causes it to gradually change from a very fine powder to a small cluster of 10 to 20 mesh. The product was weighed to 130 grams. The output is always larger than the theoretical one because of the tightly bound salt. The product is essentially a polyamidoxime having the following repeating unit. The mixture of hydroxylamine sulfate and sodium hydroxide can be replaced with an equimolar base amine free base solution. -55- 200941582 CH —CH2-CH—CH2-

CN C=N. ΌΗ 接著藉由已知杜馬斯和臘希方法(Dumas and Raschig methods )分析此產物的部分,以分析總氮和肟氮,發現 下面= 雷量% 總氮(杜馬斯方法) 22.1 肟氮(臘希方法) 6.95 醯胺肟氮(肟氮含量的2倍)(計算) 13.9 腈氮(介於總氮和醯胺肟氮之間的差)(計算) 8.2 來自環脂族附近一級胺的氰乙基化作用的反應產物的 轉化(參見,U.S 6,245,932), 例如,氰乙基化的甲基環己基胺CN C=N. ΌΗ The parts of this product are then analyzed by the known Dumas and Raschig methods to analyze total nitrogen and nitrogen, and the following = ray % total nitrogen (Dumas) Method) 22.1 Niobium nitrogen (Rashi method) 6.95 Amidoxime nitrogen (twice the nitrogen content) (calculated) 13.9 Nitrile nitrogen (difference between total nitrogen and guanamine 肟N) (calculated) 8.2 From the ring Conversion of the reaction product of cyanoethylation of a primary amine near the aliphatic (see, US 6,245,932), for example, cyanoethylated methylcyclohexylamine

h3c IH3c I

nh2ohNh2oh

-56- 200941582 由於大量的醯胺肟化合物非市場購得。當摻混清潔調 合物時,醯胺肟螯合化合物亦可在原位製備。 下面爲光阻劑清除劑調合物,其可以包含本發明之醯 胺肟化合物一起使用: 起始 步驟1之後 步驟2之後 結束 成分 MW 莫耳 wt 莫耳 wt 莫耳 Wt 莫耳 Wt 清除劑組 成物 步驟1 胺 2-吡咯啶酮 85.11 1.00 85.11 0.00 0.00 0.00 0.00 0.00 0.00 0重量% 腈 丙烯腈 53.00 1.00 53.00 0.00 0.00 0.00 0.00 0.00 0.00 0重量% 金屬離子自由鹼 ΤΜΑΗ 91.00 0.05 4.55 0.05 4.55 0.05 4.55 0.05 4.55 2重量% 水 18.00 0.76 13.65 0.76 13.65 0.76 13.70 0.76 13.68 6重量0/〇 氰乙基化的化合 物 137.10 0.00 0.00 1.00 137.10 0.00 0.00 0.00 0.00 0重量% 步驟2 氧化/還原化合 物 羥基胺 31.00 1.00 31.00 0.00 0.00 0.00 0.00 0.00 0.00 0重量% 水 水 18.00 1.72 31.00 0.00 0.00 1.72 31.00 1.72 31.00 14重量% 麵肟 酿時 170.00 0.00 0.00 0.00 0.00 1.00 170,00 1.00 170.00 78雷量% OH N-.NH2 <Y 219.20 100重量% e-56- 200941582 Since a large amount of amidoxime compounds are not commercially available. The amidoxime chelate compound can also be prepared in situ when the cleaning composition is blended. The following is a photoresist remover blend which may be used together with the amidoxime compound of the present invention: After the initial step 1 and after the step 2, the component MW Mox wt Moter wt Mot Wt Mot Wt scavenger composition Step 1 Amine 2-pyrrolidone 85.11 1.00 85.11 0.00 0.00 0.00 0.00 0.00 0.00 0% by weight Nitrile acrylonitrile 53.00 1.00 53.00 0.00 0.00 0.00 0.00 0.00 0.00% by weight Metal ion free base ΤΜΑΗ 91.00 0.05 4.55 0.05 4.55 0.05 4.55 0.05 4.55 2 Weight % Water 18.0 0.76 13.65 0.76 13.65 0.76 13.70 0.76 13.68 6 Weight 0 / oxime cyanoethylated compound 137.10 0.00 0.00 1.00 137.10 0.00 0.00 0.00 0.00 0% by weight Step 2 Oxidation / reduction compound hydroxylamine 31.00 1.00 31.00 0.00 0.00 0.00 0.00 0.00 0.00 0% by weight Water 18.0 1.72 31.00 0.00 0.00 1.72 31.00 1.72 31.00 14% by weight When the noodle is brewed 170.00 0.00 0.00 0.00 0.00 1.00 170,00 1.00 170.00 78 Thunder% OH N-.NH2 <Y 219.20 100% by weight e

-57- 200941582 清除組成物 成分 清除劑組成物 金屬離子自由鹼 TMAH 2重量% 水 水 20重量% 醯胺肟 OH N^.NH2 (V 78重量% 100重量%-57- 200941582 Removal composition Ingredients Scavenger composition Metal ion free base TMAH 2% by weight Water Water 20% by weight Amidoxime OH N^.NH2 (V 78% by weight 100% by weight

衍生自氨的醯胺肟的範例Example of amidoxime derived from ammonia

r3 1 II C 1 ch2 Η Η2Ν——ΟΗ Ri r2 r3 腈 醯胺肟 -Η -Η Η Ν II ν^Ν^ν 1:3 ΟΗ ν^νη2 ΟΗ Ν ΟΗ ι 1 ι νη2 νη2 1:3:3 CH3CH2 Η Η 1:2 ?Η ^ ?Η νη2 νη2 1:2:2 CH3CH2 CH3CH2 Η Ν〆 1:1 、 ?Η νη2 1:1:1 -58- 200941582 衍生自檸檬酸的醯胺肟R3 1 II C 1 ch2 Η Η2Ν——ΟΗ Ri r2 r3 nitrile oxime Η-Η -Η Η Ν II ν^Ν^ν 1:3 ΟΗ ν^νη2 ΟΗ Ν ΟΗ ι 1 ι νη2 νη2 1:3:3 CH3CH2 Η Η 1:2 ?Η ^ ?Η νη2 νη2 1:2:2 CH3CH2 CH3CH2 Η Ν〆 1:1 , ?Η νη2 1:1:1 -58- 200941582 Amidoxime derived from citric acid

-59- 200941582-59- 200941582

衍生自丙二醇的醯胺肟 NH,Amidoxime NH derived from propylene glycol,

nh2 -60- 200941582Nh2 -60- 200941582

衍生自季戊四醇的醯胺肟-D SI H〇 OH h2n-oh 醯胺肟化合物 OH 1:1 1 HO ¥H2 V〇H iH -61 - 200941582Amidoxime-D SI H〇 OH h2n-oh amidoxime compound derived from pentaerythritol OH 1:1 1 HO ¥H2 V〇H iH -61 - 200941582

衍生自季戊四醇的 藤胺肟-DS2 HO^ OH r\ HO 、〇H h2n-oh 醯胺肟化合物 ν^^°-Λ_0°η 1:2 1 nh2 ^OH 0h 2 〇H h〇^ J^2 Th2 oh 衍生自季戊 3醇的醯胺肟-DS3 HO、 OH HO’ 、〇H h2n-oh 醯胺肟化合物 N \ P〆 1 N t: \〇 nh2 ^-OH 〇H Η u\ \ 1:3 2 N \ \〇 nh2 H(T ^ nh2 3 nh2 h2\ 0 H(i ^〇--X^〇 >、〇 h2n hDerived from pentaerythritol, guanamine-DS2 HO^ OH r\ HO, 〇H h2n-oh amidoxime compound ν^^°-Λ_0°η 1:2 1 nh2 ^OH 0h 2 〇H h〇^ J^2 Th2 oh amidoxime derived from pentaerythritol-DS3 HO, OH HO', 〇H h2n-oh amidoxime compound N \ P〆1 N t: \〇nh2 ^-OH 〇H Η u\ \ 1 :3 2 N \ \〇nh2 H(T ^ nh2 3 nh2 h2\ 0 H(i ^〇--X^〇>,〇h2n h

-62- 200941582-62- 200941582

α-經取代的乙酸 R o r^Sdh -ch3 乙酸· -ch2oh 乙醇酸 -ch2nh2 甘胺酸 -CHO 乙醛酸 -63- 200941582--substituted acetic acid R o r^Sdh -ch3 acetic acid · -ch2oh glycolic acid -ch2nh2 glycine acid -CHO glyoxylic acid -63- 200941582

-64 - 200941582-64 - 200941582

衍生自亞胺基二乙酸的醯胺肟Amidoxime derived from iminodiacetic acid

衍生自2,5-哌畊二酮 的醯胺肟 反應物 η2ν-οη η2ν-οη η2ν-οη 1 1 1 2 1 2 2 Η 丫。 0人Ν」 Η 0 >—ΝΗ 〇 Μ η2ν-{ Ν Η0/ Ν ( ν) Η ΗΟΝ=^ νη2 Η \ Ν- ΗΟΝ=^ Ν] 2Ν >=ΝΟΕ -Ν \ η2 -65- 200941582 衍生自氰基吡啶的醯胺肟 反應物 h2n_〇h 1594-57-6 C/ N NOH 0 2、3或4-氰基吡啶 2、3或4-醯胺肟吡淀 4-醯胺肟-吡啶 製造醯胺肟化合物的腈前驅物之反應: 二乙胺之氰乙基化作用:Amidoxime reactant derived from 2,5-piperidinone η2ν-οη η2ν-οη η2ν-οη 1 1 1 2 1 2 2 Η 丫. 0人Ν” Η 0 >—ΝΗ 〇Μ η2ν-{ Ν Η0/ Ν ( ν) Η ΗΟΝ=^ νη2 Η \ Ν- ΗΟΝ=^ Ν] 2Ν >=ΝΟΕ -Ν \ η2 -65- 200941582 Derived Amidoxime reaction from cyanopyridine h2n_〇h 1594-57-6 C/N NOH 0 2, 3 or 4-cyanopyridine 2, 3 or 4-decylpyridinium 4-amidoxime - Reaction of Pyridine to Produce Nitrile Precursor of Amidoxime Compound: Cyanoethylation of Diethylamine:

二乙胺 化學式:C4H„N 分子量:73.14Diethylamine Chemical formula: C4H„N Molecular weight: 73.14

丙烯脯 化學式:C3H3N : 53 06Propylene oxime Chemical formula: C3H3N : 53 06

化學式:C7H14N2 奸量:126.20 二乙胺(1 g,13.67 mmol)和丙嫌腈( 0.798 g’ 15 mmol,1.1 eq )於水(1〇 cm3 )中所形成的溶液在室溫攪 拌3小時,接著混合物經二氯甲烷(2 x 50 cm3 )萃取。 有機萃取液在減壓下蒸發’製得純質氰乙基化的化合物3_ (二乙胺基)丙腈(1.47g,85·2重量%),爲油狀物。 甘胺酸之單氰乙基化作用: ft IMAH(leq) {} -乂,〜导 2-胺基乙酸 2*(2_氣基乙基胺基)乙酸 化學式:C2H5N02化學嘹·*^1 化學式:C5H,M202 分子量:75.07 0置W 分子量 :128.13 甘胺酸(5 g’ 67 mm〇l)懸浮於水(1〇 cm3)中’並 緩緩加入丁1^1八11(25重量%水溶液,24.3 g,67 mmol),Chemical formula: C7H14N2 Amount of rape: 126.20 A solution of diethylamine (1 g, 13.67 mmol) and a mixture of cyanonitrile (0.798 g '15 mmol, 1.1 eq) in water (1 〇 cm 3 ) was stirred at room temperature for 3 hours. The mixture was then extracted with dichloromethane (2 x 50 cm3). The organic extract was evaporated under reduced pressure to give a pure cyanoethylated compound of 3-(diethylamino)propionitrile (1.47 g, 85.2% by weight) as an oil. Monocyanoethylation of glycine: ft IMAH(leq) {} -乂,~2-aminoacetic acid 2*(2_glyylethylamino)acetic acid Chemical formula: C2H5N02Chemical 嘹·*^1 Chemical formula: C5H, M202 Molecular weight: 75.07 0 Set W Molecular weight: 128.13 Glycine (5 g' 67 mm〇l) suspended in water (1〇cm3)' and slowly added Ding 1^1 8 11 (25% by weight Aqueous solution, 24.3 g, 67 mmol),

利用冰浴以保持溫度在<3()。€。接著混合物冷卻至10 °C ,及加入丙烯腈(3.89 g,73 mmol )。攪拌混合物一夜, -66- 200941582 緩緩地升溫至室溫。接著混合物經HCl ( 6 Μ,1 1 .1 cm3 ) 中和,濃縮至15 cm3,以EtOH稀釋至1〇〇 cm3。過爐以 收集固體沉澱物,溶於熱水(6 cm3 )中,並以EtOH ( I3 cm3)再沉澱,製得2- (2-氰基乙基胺基)乙酸(5.94 g, 69.6 重量 %),爲白色固體,mp 192 〇C(mp 190-191。〇 哌哄之氰乙基化作用: ❹Use an ice bath to keep the temperature at <3(). €. The mixture was then cooled to 10 ° C and acrylonitrile (3.89 g, 73 mmol) was added. The mixture was stirred overnight, -66-200941582 and slowly warmed to room temperature. The mixture was then neutralized with HCl (6 Μ, 11.1 cm3), concentrated to 15 cm3 and diluted to 1 〇〇 cm3 with EtOH. The furnace was passed to collect a solid precipitate, dissolved in hot water (6 cm3), and reprecipitated with EtOH (I3 cm3) to obtain 2-(2-cyanoethylamino)acetic acid (5.94 g, 69.6 wt%). ), as a white solid, mp 192 〇C (mp 190-191. Cyanoethylation of hydrazine: ❹

mm 化學式:C4H10N2 分子童:86.14 丙烯脯 化學式:c,H3N 分子:! : 53.06Mm Chemical formula: C4H10N2 Molecular children: 86.14 Propylene oxime Chemical formula: c, H3N Molecule:! : 53.06

3,3’-(哌哄-1,4-二基)二丙腈 化學式:CleH16N4 分子量:192.26 哌哄(1 g,11.6 mmol)和丙烯腈(1.6 g,30.16 mmol,2.6 eq)於水(10 cm3)所形成的溶液在室溫攪拌 5小時,接著混合物經二氯甲烷(2 X 50 cm3 )萃取。有 機萃取液在減壓下蒸發,製得純質二氰乙基化的化合物 3,3’-(哌畊-1,4-二基)二丙腈(2.14 g,94.7 重量 % ) ’3,3'-(piperidin-1,4-diyl)dipropionitrile Chemical formula: CleH16N4 Molecular weight: 192.26 piperazine (1 g, 11.6 mmol) and acrylonitrile (1.6 g, 30.16 mmol, 2.6 eq) in water ( The resulting solution was stirred at room temperature for 5 hours, then the mixture was extracted with dichloromethane (2×50 cm3). The organic extract was evaporated under reduced pressure to give a pure di-cyanoethylated compound 3,3'-(piped-1,4-diyl)dipropionitrile (2.14 g, 94.7 wt%)

爲白色固體,mp 66-67 °C。 2-乙氧基乙醇之氰乙基化作用:As a white solid, mp 66-67 °C. Cyanoethylation of 2-ethoxyethanol:

2-乙氧基乙酵 化學式:CMuA 分子量:90.12 丙嫌腈 化學式二CAN 分子量:53.062-ethoxyethyl yeast Chemical formula: CCuA Molecular weight: 90.12 Acrylic nitrile Chemical formula II CAN Molecular weight: 53.06

Qt Triton B 純淨,RT· 75.5%Qt Triton B pure, RT · 75.5%

3-(2-乙氧基乙氧基)丙腈 化學式:C7HuN〇2 分子量:143.18 在 2-乙氧基乙醇(1 g,11.1 mmol)和 Triton B ( 40 重量% MeOH溶液,0.138 g,0.33 mmol)之經冰-水冷卻 的混合物中加入丙烯腈(0.618 g,11.6 mmol),混合物 在室溫攪拌24小時。接著以0.1 M HC1 ( 3.3 cm3)中和 ,及以CH2C12 (2 X 10 cm3)萃取。萃取液在減壓下濃縮 -67- 200941582 ,殘餘物經Kugelrohr蒸 基乙氧基)丙腈(1.20 g 餾器蒸餾,製得產物3- ( 2-乙氧 ,75.5重量% ),爲無色油狀物 ,bp 1 00- 1 30 °C/20 Torr 0 2- (2 -二甲胺基乙氧基)乙醇之氰乙基化作用·3-(2-ethoxyethoxy)propanenitrile Chemical formula: C7HuN〇2 Molecular weight: 143.18 in 2-ethoxyethanol (1 g, 11.1 mmol) and Triton B (40% by weight in MeOH, 0.138 g, 0.33) To the ice-water cooled mixture was added acrylonitrile (0.618 g, 11.6 mmol) and the mixture was stirred at room temperature for 24 hours. It was then neutralized with 0.1 M HCl (3.3 cm3) and extracted with CH2C12 (2 X 10 cm3). The extract was concentrated under reduced pressure -67-200941582, and the residue was purified by Kugelrohr-diethyl propylacetonitrile (1.20 g distillation to give the product 3-(2- ethoxy, 75.5 wt%) as a colorless oil. Cyanidelation of bp 1 00- 1 30 °C/20 Torr 0 2-(2-dimethylaminoethoxy)ethanol·

2-(2-二甲胺基)乙氧基)乙酵 化學式:CJiuNO, 分子量:133.192-(2-Dimethylamino)ethoxy)ethylation Chemical formula: CJiuNO, Molecular weight: 133.19

丙嫌脾 化學式: 分子纛:53.06C is suspected of spleen. Chemical formula: Molecular enthalpy: 53.06

3·(2·(2·(二甲胺基)乙氧基)乙氧基)丙腈 化學式:ΟΑ,βΛ 分子童:186.25 ❹ 在2-(2-二甲胺基)乙氧基)乙醇(1 g’ 7.5mmo1) 和 Triton B (40 重量 % Me〇H 溶液,0.094 g,0.225 mmol )之經冰-水冷卻的混合物中加入丙烯腈(〇·418 g,7.9 mmol ),混合物在室溫攪拌24小時。接著經0· 1 M HC1 (2.3 cm3)中和,並以 CH2CI2 (2 x 10 cm3)萃取。萃取 液在減壓下濃縮,殘餘物經管柱層析純化(矽膠,Et20, 10 重量 % CH2C12,0-10 重量 % EtOH ),製得 3- ( 2- ( 2-(二甲胺基)乙氧基)乙氧基)丙腈,爲油狀物。3·(2·(2·(dimethylamino)ethoxy)ethoxy)propanenitrile Chemical formula: ΟΑ,βΛ Molecular children: 186.25 ❹ in 2-(2-dimethylamino)ethoxy)ethanol Add acrylonitrile (〇·418 g, 7.9 mmol) to an ice-water cooled mixture of (1 g' 7.5 mmo1) and Triton B (40 wt% Me〇H solution, 0.094 g, 0.225 mmol). Stir for 24 hours. It was then neutralized with 0·1 M HC1 (2.3 cm3) and extracted with CH2CI2 (2 x 10 cm3). The extract is concentrated under reduced pressure, and the residue is purified by column chromatography (EtOAc, Et20, 10 wt% CH2C12, 0-10 wt% EtOH) to give 3-(2-(2-(dimethylamino)) Oxy) ethoxy) propionitrile, an oil.

異丁醛之氰乙基化作用:Cyanoethylation of isobutyraldehyde:

異丁醛 化學式:COW) 分子置:72.11Isobutyraldehyde Chemical formula: COW) Molecular setting: 72.11

CatTritnB 純淨,RTCatTritnB Pure, RT

4+二甲基-5·®基戊腈 化學式:C7HuNO 肝量:125.17 丙嫌腈 化學式:c3h,n 分子童:53.06 異丁醛(1 g,13.9 mmol)和丙烯腈(0.81 g,15 mmol )充分混合’並於冰浴中冷卻。加入 Triton B ( 40 重量% MeOH溶液’ 0.58 g,1.4 mmol)。混合物在室溫 攪拌一夜。接著經0.1 M HC1 ( 14 cm3 )中和,及以 * CHzCh ( 100 cm3 )萃取。萃取液在減壓下濃縮,殘餘物 -68- 200941582 經Kugelrohr蒸餾器蒸餾,製得產物4,4-二甲基-5-酮基戊 腈(0.8 g,50.7 重量 %),爲油狀物,bp 1 25- 1 3 0 °C/2 0 Torr ° 苯胺之氰乙基化作用:4+ Dimethyl-5·® valeronitrile Chemical formula: C7HuNO Liver volume: 125.17 Acridine nitrile Chemical formula: c3h, n Molecular children: 53.06 isobutyraldehyde (1 g, 13.9 mmol) and acrylonitrile (0.81 g, 15 mmol ) Mix well' and cool in an ice bath. Triton B (40 wt% MeOH solution '0.58 g, 1.4 mmol) was added. The mixture was stirred at room temperature overnight. It was then neutralized with 0.1 M HCl (14 cm3) and extracted with *CHzCh (100 cm3). The extract was concentrated under reduced pressure and the residue was purified from EtOAc EtOAc EtOAc EtOAc EtOAc EtOAc (EtOAc) , bp 1 25- 1 3 0 °C/2 0 Torr ° cyanoethylation of aniline:

苯胺化學式:c3h3n 化學式:CiH7N 分子量:53 06 分子量:93.13Aniline chemical formula: c3h3n Chemical formula: CiH7N Molecular weight: 53 06 Molecular weight: 93.13

3·(苯基腿)丙腈 化學式:C9H10N2 分子 1: : 146.193. (Phenyl leg) propionitrile Chemical formula: C9H10N2 Molecular 1: 1: 146.19

二氧化矽矽藉由在真空下加熱至100 °c以上而被活 化及接著在氮氣下使之冷卻至室溫。使經活化的二氧化矽 (ίο g)吸收苯胺(1.86 g’ 20 mm〇i)和丙嫌腈(2.65 g .50 mmol),將燒瓶緊密地封閉。接著以磁攪拌器在60 °C攪拌內容物6天。之後’使混合物冷卻至室溫’並以 MeOH萃取。萃取液蒸發至乾燥’殘餘物在高真空下經 Kugelrohr蒸餾器蒸餾’製得產物3-(苯基胺基)丙腈( 2.29 g,78.4重量。/&lt;»),爲油狀物’靜置後結晶;bp 120-150 °C/l-2 Torr (文獻 bP 120 °c/1 Torr) ’ mP 50·5·52·5 °C。 乙二胺之氰乙基化作用:The cerium oxide was activated by heating to 100 ° C or more under vacuum and then cooled to room temperature under nitrogen. The activated cerium oxide (ίο g) was occluded with aniline (1.86 g' 20 mm 〇i) and a acrylonitrile (2.65 g. 50 mmol), and the flask was tightly closed. The contents were then stirred at 60 ° C for 6 days with a magnetic stirrer. The mixture was then cooled to room temperature and extracted with MeOH. The extract was evaporated to dryness <yield <RTI ID=0.0># </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> <RTIgt; Post-crystallization; bp 120-150 °C / l-2 Torr (bp 120 °c / 1 Torr) 'mP 50·5·52·5 °C. Cyanoethylation of ethylenediamine:

乙二胺 化學式:C!HgN2 分子 1: : 60.10 丙嫌腈 化學式:c3h3n 分子置:53.06Ethylenediamine Chemical formula: C!HgN2 Molecule 1: : 60.10 Acridine nitrile Chemical formula: c3h3n Molecular setting: 53.06

在 40 °C 將丙烯腈(110 g’ 137 cm3’ 2·08 mo1)加至 -69- 200941582 之 25 40 的 、 ,, 重 乙二胺(25 g,27.8 cm3’ 0.416 mol)和水( 294 cm3) 劇烈攪拌的混合物中歷時3 0分鐘。添加期間’必須以 °C水浴冷卻混合物以保持溫度在40 °C。接著混合物在 。匸繼續攪拌2小時及在80 °C攪拌2小時。蒸發掉過量 丙烯腈和半量的水,使殘餘物冷卻至室溫,得白色固體 以MeOH -水(9: 1)再結晶,製得純質產物3,3’,3”,3 (乙烷-1,2-二基二(氮三基))四丙腈(86.6 g’ 76.4 量%),爲白色晶體,mp 63-65 °C。 乙二醇之氰乙基化作用:Add acrylonitrile (110 g' 137 cm3' 2·08 mo1) to -40-200941582 at 40 °C at 40 °C, with heavy ethylenediamine (25 g, 27.8 cm3' 0.416 mol) and water (294 Cm3) The vigorously stirred mixture lasted for 30 minutes. During the addition period, the mixture must be cooled in a °C water bath to maintain the temperature at 40 °C. Then the mixture is in. The mixture was stirred for 2 hours and stirred at 80 ° C for 2 hours. Excess acrylonitrile and a half amount of water were evaporated off, and the residue was cooled to room temperature to give a white solid, which was recrystallized from MeOH-water (9:1) to yield the pure product 3,3',3",3 (hexane -1,2-Diylbis(nitrotriyl))tetrapropanenitrile (86.6 g' 76.4% by weight) as white crystals, mp 63-65 ° C. Cyanoethylation of ethylene glycol:

乙二酵 化學式:(:2叫 分子* : 62.07 丙烯腈 化學式:c3h3n 分子置:53.06 50.9%Ethylene fermentation: (: 2 is called molecule *: 62.07 acrylonitrile Chemical formula: c3h3n Molecular setting: 53.06 50.9%

Cat Triton B 純淨-RT ,Cat Triton B Pure-RT,

3,3’-(乙院-1,2-二基二(氣基))二丙腈 化學式:C«H12N2〇2 分子童:168.19 40 浴 合 中 y 色 40 浴 混 ( 液 小規模:乙二醇(1 g’ 16_1 mmol)與 Triton B( 重量% MeOH溶液,0.22 g,0.53 mmol)混合,並於冰 中冷卻的情況下加入丙烯腈(1.71 g,32.2 mmol)。混 物在室溫攪拌60小時,接著以0· 1 M HC1 ( 0.6 cm3 ) 和,及以CH2C12 ( 80 cm3 )萃取。萃取液在減壓下濃縮 殘餘物經Kugelrohr蒸餾器蒸餾,製得3,3’-(乙烷-1,2- 基二(氧基))二丙腈(1.08 g,39.9重量% ),爲淺 油狀物,bp 1 50- 1 70 °C/20 Torr。 大規模:乙二醇(32.9 g,0.53 mol)與 Triton B( 重量% MeOH溶液,2.22 g,5.3 mmol)混合,並於冰 中冷卻的情況下加入丙烯腈(76.2 g,1.44 mol )。使 合物緩緩升溫至室溫並攪拌60小時,接著以0.1 M HC1 50 cm3)中和,及以 CH2C12 (300 cm3)萃取。使萃取 -70- 200941582 通過矽膠墊三次以減低棕色物質,製得86 g (定量)產物 ,爲棕色油狀物,以1H-NMR檢測爲純質,含有10 g水( 總重96 g,由1H NMR積分大小計算水的含量)。 丙二酸二乙酯之氰乙基化作用:3,3'-(B--1,2-diylbis(gas-based)) dipropanenitrile Chemical formula: C«H12N2〇2 Molecular children: 168.19 40 Bath in y color 40 bath mixed (liquid small scale: B The diol (1 g' 16_1 mmol) was mixed with Triton B (wt% MeOH solution, 0.22 g, 0.53 mmol) and acrylonitrile (1.71 g, 32.2 mmol) was added with cooling in ice. Stir for 60 hours, then extract with 0·1 M HC1 (0.6 cm3) and with CH2C12 (80 cm3). The extract is concentrated under reduced pressure and the residue is distilled through a Kugelrohr distiller to obtain 3,3'-(B Alkan-1,2-ylbis(oxy))dipropionitrile (1.08 g, 39.9 wt%) as a light oil, bp 1 50-1 70 ° C / 20 Torr. Large scale: ethylene glycol ( 32.9 g, 0.53 mol) was mixed with Triton B (wt% MeOH solution, 2.22 g, 5.3 mmol), and acrylonitrile (76.2 g, 1.44 mol) was added while cooling in ice. The mixture was slowly warmed to room. It was stirred and stirred for 60 hours, then neutralized with 0.1 M HCl 1 50 cm 3 ), and extracted with CH 2 C 12 (300 cm 3 ). The extract -70-200941582 was passed through a silicone pad three times to reduce the brown matter, and 86 g (quantitative) of the product was obtained as a brown oil, which was purified by 1H-NMR, containing 10 g of water (total weight 96 g, The water content was calculated by 1H NMR integral size). Cyanoethylation of diethyl malonate:

丙二酸二乙酯 化學式·· C7Hi2〇4 分子量:160.17Diethyl malonate Chemical formula · C7Hi2〇4 Molecular weight: 160.17

分子量:53 06 2,2-二(2·概基乙基)丙二酸二乙酯 化學式:C,3Hl8N20« 肝量:266.29 〇 〇 在由丙二酸二乙醋(1 g,6.2 mmol)和 Triton B (40 重量 % MeOH 溶液,0.13 g,0_31 mmol )於二噁烷(1.2 cm3 )所形成的溶液中逐滴加入丙烯腈(0.658 g,12.4 mmol),混合物在60 °C攪拌一夜。接著混合物冷卻至室 溫,並以0.1 M HC1 ( 3 cm3 )中和,將之倒入冰-水(10 cm3)中。晶體在30分鐘內沉澱出。過濾以收集晶體,並 以EtOH再結晶(於冰箱中冷卻後過濾出),製得2,2-二 (2-氰基乙基)丙二酸二乙酯(1.25 g,75.8重量%), 爲白色固體,mp 62.2-63.5 °C。 2,2-二(2-氰基乙基)丙二酸二乙酯之水解反應:Molecular weight: 53 06 2,2-di(2·anthylethyl)malonate Chemical formula: C,3Hl8N20« Liver volume: 266.29 〇〇 in diethyl oxalate (1 g, 6.2 mmol) An acrylonitrile (0.658 g, 12.4 mmol) was added dropwise to a solution of Triton B (40 wt% MeOH solution, 0.13 g, 0-31 mmol) in dioxane (1.2 cm3), and the mixture was stirred at 60 ° C overnight. The mixture was then cooled to room temperature and neutralized with 0.1 M HCl (3 cm3) and poured into ice-water (10 cm3). The crystals precipitated within 30 minutes. Filtration to collect the crystals, and recrystallization with EtOH (cooling in a refrigerator and filtration) to obtain diethyl 2,2-bis(2-cyanoethyl)malonate (1.25 g, 75.8 wt%). As a white solid, mp 62.2-63.5 °C. Hydrolysis of diethyl 2,2-bis(2-cyanoethyl)malonate:

分子邏::266.29 分子置:210.19 在室溫將2,2-二(2-氰基乙基)丙二酸二乙酯(2 g’ 7.51 mmol)加至 TMAH(25 重量%水溶液,10.95 g, 3 0.04 mmol)中。混合物經攪拌24小時,接著冷卻至〇 -71 - 200941582 ◦C。力口入 12 M HC1( 2.69 cm3,32 · 1 mmol )和冰(3 g ) 之混合物,使混合物經CH2C12 (5x50 cm3)萃取。萃取液 在真空下蒸發,製得2,2-二(2-氰基乙基)丙二酸(〇·25 g,15.8重量% ),爲無色非常黏稠的油狀物(文獻分解 値 1 5 8 0 C )。 由甘胺酸製備2-(二(2-氰基乙基)胺基)乙酸之二 氰乙基化作用:Molecular Logic:: 266.29 Molecular Placement: 210.19 Add 2,2-bis(2-cyanoethyl)malonate diethyl ester (2 g' 7.51 mmol) to TMAH (25% by weight aqueous solution, 10.95 g) at room temperature , 3 0.04 mmol). The mixture was stirred for 24 hours and then cooled to 〇-71 - 200941582 ◦C. A mixture of 12 M HC1 (2.69 cm3, 32 · 1 mmol) and ice (3 g) was added and the mixture was extracted with CH2C12 (5×50 cm3). The extract was evaporated under vacuum to obtain 2,2-bis(2-cyanoethyl)malonic acid (〇·25 g, 15.8% by weight) as a colorless, very viscous oil (documentation 値1 5 8 0 C ). Preparation of 2-(bis(2-cyanoethyl)amino)acetic acid by diacetylation of glycine:

甘胺酸(2-胺基乙酸) 化學式:C湖〇2 分子量:75.07 丙烯腈 化學式:c3h3n 分子量:53.06 ΙΜΑΗΠ eq)Glycine (2-Aminoacetic acid) Chemical formula: C Lake 〇 2 Molecular weight: 75.07 Acrylonitrile Chemical formula: c3h3n Molecular weight: 53.06 ΙΜΑΗΠ eq)

2-(二(2-氰基乙基)胺基)乙酸 化學式:CsH„N302 分子量:181.192-(bis(2-cyanoethyl)amino)acetic acid Chemical formula: CsH„N302 Molecular weight: 181.19

甘胺酸(5 g,67 mmol )懸浮於水(10 cm3 )中,緩 緩加入TMAH ( 25重量%水溶液,24.3 g,67 mmol ) ’利 用冰浴以保持溫度爲&lt;30 °C。接著將混合物冷卻至1〇 °C ,加入丙嫌腈(7.78 g,146 mmol)。攪拌混合物一夜’ 使混合物緩緩地升溫至室溫。接著使用回流冷凝管以在50 ° C加熱2小時。以冰冷卻後’混合物經H C1 ( 6 Μ,1 1 .1 cm3 )中和,並濃縮至得黏質油狀物。將其溶於丙酮(100 cm3),過濾以除去NMe4Cl。濾液在減壓下濃縮,製得油 狀物,再以丙酮(100 cm3)處理一次及過濾以除去多的 NMe4Cl。濃縮濾液,得2-(二(2-氰基乙基)胺基)乙酸 (11.99 g,99.3重量% ),爲無色黏質油狀物,在室溫一 週後結晶,製得固體產物,mp 73 °C (文獻mp 77.8-78.8 °C)。雙重13c訊號顯示於CDC13溶液中呈部分兩性離子 形態。 -72- 200941582 當於文獻報導的步驟中使用Na〇H時’所形成的NaC1 較易除去,且只需進行一次丙酮處理。 由甲基二乙醇胺製備3,3’-(2,2’-(甲基氮二基) 二(乙烷-2,1-二基)二(氧基))二丙腈之二氰乙基化作 用 &amp;甲基二乙酵胺 丙烯μ 化學式:c5hi3no2化學式:c3h3m 分子量:119.16 分子量:53.06Glycine (5 g, 67 mmol) was suspended in water (10 cm3), and TMAH (25% by weight aqueous solution, 24.3 g, 67 mmol) was slowly added to use an ice bath to maintain the temperature &lt; 30 °C. The mixture was then cooled to 1 ° C and a mixture of acrylonitrile (7.78 g, 146 mmol) was added. The mixture was stirred overnight. The mixture was slowly warmed to room temperature. Next, a reflux condenser was used to heat at 50 ° C for 2 hours. After cooling with ice, the mixture was neutralized with H C1 (6 Μ, 11.1 cm3) and concentrated to give a viscous oil. This was dissolved in acetone (100 cm3) and filtered to remove NMe4Cl. The filtrate was concentrated under reduced pressure to give an oil, which was then treated with acetone (100 cm3) and filtered to remove excess NMe. The filtrate was concentrated to give 2-(bis(2-cyanoethyl)amino)acetic acid (11.99 g, 99.3 wt%) as a colorless oily oil which crystallised after one week at room temperature to give a solid product, mp 73 °C (literature mp 77.8-78.8 °C). The dual 13c signal is shown in the partially zwitterionic form in the CDC13 solution. -72- 200941582 When Na〇H was used in the procedure reported in the literature, the NaC1 formed was easier to remove and only one acetone treatment was required. Preparation of dicyanoethyl 3,3'-(2,2'-(methylazadiyl)bis(ethane-2,1-diyl)bis(oxy))dipropionitrile from methyldiethanolamine Chemical &amp; Methyldiethylacetamide propylene μ Chemical formula: c5hi3no2 Chemical formula: c3h3m Molecular weight: 119.16 Molecular weight: 53.06

744% 3,3’*(2,2’-(甲基氣二»二(乙院-2,1-二基)二(氧基))二丙腈 化學式:C„H19N,〇2 分子量:225.29 在iV-甲基二乙醇胺(2 g,17 mmol)和丙稀腈(2.33 g,42 mmol )之冷卻且攪拌的混合物中加入TMAH ( 25重 量%水溶液,0.25 cm3,0.254 g,7 mmol)。接著攪拌混 合物一夜,使緩緩地升溫至室溫。接著使用 Et2〇和 CH2C12之混合物(1 : 1,250 cm3)以進行矽膠過濾,濾 液在減壓下蒸發,製得3,3’-(2,2’-(甲基氮二基)二( 乙烷-2,1-二基)二(氧基))二丙腈(2.85 g,74.4重量744% 3,3'*(2,2'-(methyl 2,2-di(2-phenylene)di(oxy))dipropionitrile) Chemical formula: C„H19N,〇2 Molecular weight: 225.29 TMAH (25% by weight aqueous solution, 0.25 cm3, 0.254 g, 7 mmol) was added to a cooled and stirred mixture of iV-methyldiethanolamine (2 g, 17 mmol) and acrylonitrile (2.33 g, 42 mmol). Then, the mixture was stirred overnight, and the temperature was gradually raised to room temperature. Then, a mixture of Et2 and CH2C12 (1:1, 250 cm3) was used for filtration of the silica gel, and the filtrate was evaporated under reduced pressure to obtain 3,3'- (2,2'-(methylazadiyl)bis(ethane-2,1-diyl)bis(oxy))dipropionitrile (2.85 g, 74.4 wt%

%),爲無色油狀物。 甘胺酸酐之二氰乙基化作用:%) is a colorless oil. Dicyanoethylation of glycine anhydride:

3,3,_(2,5-二酮哌哄-1,4-二基)二丙腈 化學式:CI0HuN4Oj 分子量:220.233,3,_(2,5-diketopiprazol-1,4-diyl)dipropionitrile Chemical formula: CI0HuN4Oj Molecular weight: 220.23

甘胺酸酐 化學式:cjwm 分子:! : 114.10 丙烯赝 化學式:c3h3n 分子量:53.06 甘胺酸酐(2 g,17.5 mmol)與丙烯腈(2.015 g,38 mmol)在0。(:混合,加入TMAH ( 25重量%水溶液,0.1 cm3,0.1 g,2.7 mmol)。接著攪拌混合物一夜,使之緩 緩地升溫至室溫。所形成的固體以EtOH再結晶,製得 -73- 200941582 3,3,-(2,5 -二酮哌哄-1,4 -二基)二丙腈(2_35 g,61 重量 %),爲白色固體,mpl7卜173°C(文獻mP166°c)。 乙醯胺之二氰乙基化作用:Glycine anhydride Chemical formula: cjwm Molecule:! : 114.10 Propylene oxime Chemical formula: c3h3n Molecular weight: 53.06 Glycine (2 g, 17.5 mmol) and acrylonitrile (2.015 g, 38 mmol) at 0. (: Mixing, adding TMAH (25% by weight aqueous solution, 0.1 cm3, 0.1 g, 2.7 mmol). The mixture was stirred overnight and allowed to warm slowly to room temperature. The solid formed was recrystallized from EtOH to yield -73 - 200941582 3,3,-(2,5-diketopiper-1,4-diyl)dipropionitrile (2_35 g, 61% by weight) as a white solid, mp 7 173 ° C (mP166 °c) Diacetylation of acetaminophen:

化學式:C3H3N 况从二(2-氰基乙基)乙醯胺 分子量:53.06 化學式:C,H„N30 分子量:165.19 Λ 乙醃胺 化學式:c2h5no 分子量:59.07 乙醯胺(2 g,33.9 mmol)與丙稀腈(2.26 g’ 42.7 mmol)在0 °C混合,加入TMAH(25重量%水溶液, ❿ 0.06 cm3,0.06 g,1.7 mmol)。接著擾梓混合物~夜,使 緩緩地升溫至室溫。利用Et20/CH2C12 ( 200 cm3 )使混合 物經矽膠墊過濾,濾液在減壓下濃縮。產物於Kugelrohr 蒸餾器中在150 °C/2 mmHg下旋轉加熱以除去副產物’製 得二(2-氰基乙基)乙醯胺(0.89 g,15.9重量%) ,爲黏質油狀物。 由於醯胺的轉動,因此醯胺之取代基是不均等的。 氨之三氰乙基化作用: © 丙嫌請 化學式:c3h3n nChemical formula: C3H3N Condition from bis(2-cyanoethyl)acetamide Molecular weight: 53.06 Chemical formula: C, H „ N30 Molecular weight: 165.19 Λ Ethylamine chemical formula: c2h5no Molecular weight: 59.07 Acetamide (2 g, 33.9 mmol) Mix with acrylonitrile (2.26 g' 42.7 mmol) at 0 ° C, add TMAH (25% by weight aqueous solution, ❿ 0.06 cm3, 0.06 g, 1.7 mmol). Then turbulent mixture ~ night, slowly warm to room The mixture was filtered through a pad using Et20/CH2C12 (200 cm3), and the filtrate was concentrated under reduced pressure. The product was heated in a Kugelrohr distiller at 150 °C / 2 mmHg to remove by-products. -Cyanoethyl)acetamide (0.89 g, 15.9% by weight), which is a viscous oil. The substituent of decylamine is unequal due to the rotation of guanamine. : © C. Please chemical formula: c3h3n n

3’3’,3”-S*三丙腈 化學式:CsHuN, 分子量:176.22 將氨(35重量%水溶液,4.29 g,88 mmol)逐滴加至 經冰冷卻之AcOH ( 5.5 g,91.6 mm〇i )的水溶液(9.75 cm3)中,繼之加入丙烯腈(4.65 g,87.6 m〇l)。混合物 -74-3'3',3"-S* tripropionitrile chemical formula: CsHuN, molecular weight: 176.22 Ammonia (35 wt% aqueous solution, 4.29 g, 88 mmol) was added dropwise to ice-cold AcOH (5.5 g, 91.6 mm 〇) In the aqueous solution of i) (9.75 cm3), followed by the addition of acrylonitrile (4.65 g, 87.6 m〇l). Mixture -74-

丙嫌腈 化學式:c3h3n 分子量:53.06Acridine nitrile Chemical formula: c3h3n Molecular weight: 53.06

2,4-二氰昏2-(2-氰基乙基)丁豳按 化學式:C9H10N4O 肝量:190.20 200941582 在回流的情況下攪拌3天,接著以冰冷卻’及加入 水溶液(25重量%水溶液,10·94 g’ 30 mmo1)。 使混合物保持冷卻1小時。過濾以收集所形成的晶 以水沖洗。產物於高真空下乾燥’製得3,3’,3”-氮 腈(2.36 g,45.8重量% ),爲白色固體,mp 59-文獻 mp 59 °C )。 當使用NaOH以中和反應(文獻報導的步驟) 率較高,54.4重量%。 2-氰基乙醯胺之二氰乙基化作用: 2-氣基乙醢胺 化學式:C3H4N20 分子Jt : 84.08 在冷卻和攪拌的情況下’在由氰基乙醯胺(2. 29.7 mmol)和 Triton 8(40重量% MeOH 溶液,C 0.7 mmol )於水(5 cm3 )中所形成的混合物中,加 腈(3.18 g,59.9 mmol) ’歷時30分鐘。接著混 室溫攪拌30分鐘,接著使靜置1小時。加入EtOΗ )和lMHCl(0.7cm3),加熱混合物直到全部固 溶解。冷卻至室溫,得晶體,過濾以收集之,並以 再結晶,製得2,4-二氰基-2- ( 2-氰基乙基)丁醯胺 ,84.7重量%),爲淡黃色固體,mp 118-120 °C mp 1 1 8°C )。 鄰胺基苯甲腈之兄二氰乙基化作用: TMAH 利用冰 體,並 基三丙 61°C ( 時,產 52 g, 丨.3 g, 入丙烯 合物在 (20 g 體完全 EtOH (4.8 g (文獻 -75- 2009415822,4- dicyanose 2-(2-cyanoethyl)butanin according to the formula: C9H10N4O Liver amount: 190.20 200941582 Stir under reflux for 3 days, followed by cooling with ice 'and adding aqueous solution (25% by weight aqueous solution) , 10.94 g' 30 mmo1). The mixture was kept to cool for 1 hour. Filtration to collect the formed crystals was rinsed with water. The product was dried under high vacuum to give 3,3',3"-azonitrile (2.36 g, 45.8 wt%) as a white solid, mp 59-liters mp 59 ° C). The reported rate of the literature) is higher, 54.4% by weight. Dicyanoethylation of 2-cyanoacetamide: 2-epoxyacetamide Chemical formula: C3H4N20 Molecular Jt: 84.08 Under cooling and stirring' Nitrile (3.18 g, 59.9 mmol) was added to a mixture of cyanoacetamide (2.27 mmol) and Triton 8 (40 wt% MeOH solution, C 0.7 mmol) in water (5 cm3). It was stirred for 30 minutes at room temperature, then allowed to stand at room temperature for 30 minutes, then allowed to stand for 1 hour. EtO(R) and 1 M HCl (0.7 cm3) were added, and the mixture was heated until all solid solution was dissolved. Cooling to room temperature, crystals were obtained, filtered to collect, and Recrystallization, 2,4-dicyano-2-(2-cyanoethyl)butanamine, 84.7 wt%, as pale yellow solid, mp 118-120 ° C mp 1 1 8 ° C Diacetylaminoethylation of o-aminobenzonitrile: TMAH utilizes ice, and the base is propylene at 61 ° C (when, 52 g, 丨.3 g, into the acrylate compound) (20 g body complete EtOH (4.8 g (literature -75- 200941582

3,3’·(2·«*苯基氣二基)二醜 化學式:C„H,,N, 分子置:224.06 鄰胺基苯甲腈 化學式:⑽叫 分子纛:118.143,3'·(2·«*Phenyl diyl) ugly Chemical formula: C„H,,N, Molecular setting: 224.06 o-aminobenzonitrile Chemical formula: (10) called molecular 纛: 118.14

鄰胺基苯甲腈(2 g’ 16.9 mmol)與丙嫌腈(2.015 g ,38 mmol )在0。(:混合,加入TMAH ( 25重量%水溶液 ,0.1 cm3,0.1 g,2.7 mmol)。接著攪拌混合物一夜’使 緩緩地升溫至室溫。將產物溶於CH2Cl2 ’使用Et2〇和 CH2CI2的混合物(1 : 1 ’ 250 cm3)使產物通過砂膠而過 濾。將濾液蒸發至乾燥,固態產物經Et0H ( 5 cm3 )再結 晶,製得3,3’-(2 -氰基苯基氮二基)二丙腈(2·14 g, 56.5重量%),爲灰白色固體’ mP 79-82 °C。 丙二腈之二氰乙基化作用:The o-aminobenzonitrile (2 g' 16.9 mmol) and the C-acrylonitrile (2.015 g, 38 mmol) were at 0. (: Mix, add TMAH (25% by weight aqueous solution, 0.1 cm3, 0.1 g, 2.7 mmol). Stir the mixture overnight and slowly warm to room temperature. Dissolve the product in CH2Cl2' using a mixture of Et2 and CH2CI2 ( 1 : 1 '250 cm3) The product was filtered through a sand paste. The filtrate was evaporated to dryness and the solid product was recrystallized from Et0H (5 cm3) to give 3,3'-(2-cyanophenylnitrodiyl). Dipropionitrile (2·14 g, 56.5 wt%), as an off-white solid 'mP 79-82 ° C. Dicyanoethylation of malononitrile:

1_...— ' 66.06 : 53-06 戊院七^-四甲腈 化學式:〇湖4 分子量:172.19 丙二腈(5 g,75.7 mmol)溶於二噁烷(1〇 cm3) ’ 繼之加入氫氧化三甲基苄銨(Trit〇n B,40重量% MeOH 溶液,1.38 g,3.3 mmol )。冷卻混合物且同時加入丙烯 腈(8.3 g,156 mmol)。攪拌混合物一夜,使緩緩地升溫 至室溫。接著以HC1 ( 1 Μ ’ 3.3 cm3 )中和,及倒入冰-水 中。混合物經CH2C12 ( 2〇〇 cm3 )萃取,萃取液在減壓下 -76- 200941582 蒸發。產物經管柱層析純化(矽膠,1: 1 EtO Ac-石油醚 ),繼之再結晶,製得戊烷-1,3,3,5-四甲腈(1.86 g,14.3 重量%) ,mp 90-92°C (文獻 mp 92。〇 。 季戊四醇之四氰乙基化作用:1_...— ' 66.06 : 53-06 院院七^-tetracarbonitrile Chemical formula: 〇湖4 Molecular weight: 172.19 Malononitrile (5 g, 75.7 mmol) dissolved in dioxane (1〇cm3) ' Trimethylbenzylammonium hydroxide (Trit〇n B, 40% by weight MeOH solution, 1.38 g, 3.3 mmol) was added. The mixture was cooled and acrylonitrile (8.3 g, 156 mmol) was added at the same time. The mixture was stirred overnight and allowed to slowly warm to room temperature. It is then neutralized with HC1 (1 Μ '3.3 cm3) and poured into ice-water. The mixture was extracted with CH.sub.2Cl.sub.2 (.sup.2.sup.3) and evaporated. The product was purified by column chromatography (chrome, 1:1 EtO Ac- petroleum ether), followed by recrystallization to yield pentane-1,3,3,5-tetracarbonitrile (1.86 g, 14.3 wt%), mp 90-92 ° C (literature mp 92. 〇. Tetracyanoethylation of pentaerythritol:

戊四酵,· 嫌腈一二 化學式:CsHuO.化荸式·。挪 分子量:136.15分子置:53.06 3,3’&gt;(2,2-二«2-氣基乙氧基)甲基)丙院-1,3.二基)二(氧基)二丙腈 化學式:&lt;:|7關404 好量:348.40 季戊四醇(2 g,14.7 mmol )與丙烯腈(5 cm3,4.03 g,76 mmol)混合,混合物於冰浴中冷卻,且同時加入氫 氧化四甲銨(=TMAH,25重量%水溶液,0.25 cm3,0.254 g,7 mmol )。接著混合物在室溫攪拌20小時。在反應時 間後,使用Et20和CH2C12的混合物(1 : 1,250 cm3 ), 以矽膠過濾混合物,瀘液在減壓下蒸發,製得3,3’-(2,2-二((2-氰基乙氧基)甲基)丙烷-1,3-二基)二(氧基) 〇 二丙腈(5.12g,100重量%),爲無色油狀物。 山梨糖醇之六氰乙基化作用: -77- 200941582Heptafuran, · suspected nitrile one or two chemical formula: CsHuO. phlegm. Molecular weight: 136.15 Molecular setting: 53.06 3,3'&gt;(2,2-bis«2-carboethoxy)methyl)propylamine-1,3.diyl)di(oxy)dipropionitrile Chemical formula: &lt;:|7 off 404 Quantity: 348.40 Pentaerythritol (2 g, 14.7 mmol) was mixed with acrylonitrile (5 cm3, 4.03 g, 76 mmol), the mixture was cooled in an ice bath, and tetramethyl hydroxide was added at the same time. Ammonium (=TMAH, 25 wt% aqueous solution, 0.25 cm3, 0.254 g, 7 mmol). The mixture was then stirred at room temperature for 20 hours. After the reaction time, a mixture of Et20 and CH2C12 (1:1, 250 cm3) was used, and the mixture was filtered with silica gel, and the mash was evaporated under reduced pressure to obtain 3,3'-(2,2-di((2- Cyanoethoxy)methyl)propane-1,3-diyl)di(oxy)phosphonium dipropionitrile (5.12 g, 100% by weight) was obtained as a colorless oil. Hexacyanoethylation of sorbitol: -77- 200941582

分子量:182.17Molecular weight: 182.17

1,2,3Λ5,6·六《CM2-氰基乙基)己糖酵 化學式:cmh32n6o6 分子量:500.55 〇 山梨糖醇(2 g,11 mmol)與丙嫌腈(7 cm3,5.64 g ,106 mmol )混合,混合物於冰浴中冷卻,且同時加入氫 氧化四甲錢(=TMAH、25重量%水溶液,0.25 cm3,0.254 g,7 mmol )。接著混合物在室溫攪拌48小時,24小時 後加入另一份0.25 cm3 TMAH。在反應時間後,使用Et20 和CH2C12的混合物(1 : 1,250 cm3 )以矽膠過濾混合物 ,濾液在減壓下蒸發,製得全氰乙基化的產物(4.12 g, 75重量%),爲無色油狀物。 由二乙醇胺製備3,3’-(2,2’-(2-氰基乙基氮二基) 二(乙烷-2,1-二基)二(氧基))二丙腈之三氰乙基化作 用: -78- 2009415821,2,3Λ5,6·6 "CM2-cyanoethyl" hexose fermentation formula: cmh32n6o6 Molecular weight: 500.55 sorbitol (2 g, 11 mmol) and acridine (7 cm3, 5.64 g, 106 mmol The mixture was mixed and cooled in an ice bath, and tetramethylammonium hydroxide (=TMAH, 25% by weight aqueous solution, 0.25 cm3, 0.254 g, 7 mmol) was added at the same time. The mixture was then stirred at room temperature for 48 hours and after 24 hours another 0.25 cm3 TMAH was added. After the reaction time, a mixture of Et20 and CH2C12 (1:1,250 cm3) was used to filter the mixture with hydrazine, and the filtrate was evaporated under reduced pressure to give the product (4.12 g, 75 wt. Colorless oil. Preparation of 3,3'-(2,2'-(2-cyanoethyldiazepine)bis(ethane-2,1-diyl)bis(oxy))dipropionitrile from Cyanide Ethylation: -78- 200941582

3,3Μ2,2,·(2·氣基乙基氮二基)二(乙院·2,1·二*)二(氧 基))二丙腈 化學式:C13H2〇N4〇2 肝量:264.323,3Μ2,2,·(2·Gasylethylnitrodiyl)di(Ethylene, 2,1·di*)bis(oxy))dipropionitrile Chemical formula: C13H2〇N4〇2 Liver volume: 264.32

二乙醇胺 化學式:。通抓 分子置:105.14 丙嫌腈 化學式:c3h3n 分子量:53.06 在以冰冷卻及攪拌的情況下,在由二乙醇胺(2 g ’ 19 mmol)和 ΤΜΑΗ( 25 重量 %水溶液,0.34 cm3,0.35 g ,9.5 mmol )於二噁烷(5 cm3 )所形成的溶液中,逐滴加 入丙烯腈(3.53 g,66.1 mmol)。接著攪拌混合物一夜’ 使升溫至室溫。加入更多的丙烯腈(1.51 g,28 mmol)和 TMAH ( 0.25 cm3 - 7 mmol ),繼續再攪拌24小時。以矽 膠墊過濾粗質混合物(以Et20/CH2C12爲洗提液),並蒸 發以除去二噁烷。殘餘物經管柱層析純化(矽膠,以Et2〇) 洗提以除除雜質,繼之以EtOAc以洗提出產物),製得 3,3’-(2,2’-(2-氰基乙基氮二基)二(乙烷-2,卜二基)二 (氧基))二丙腈(1.67 g,33重量% ),爲油狀物。 製備醯胺肟化合物之反應 由乙腈製備羥基乙脒之反應:Diethanolamine Chemical formula: Through-molecular setting: 105.14 Acrylic nitrile chemical formula: c3h3n Molecular weight: 53.06 Under ice cooling and stirring, in the case of diethanolamine (2 g '19 mmol) and hydrazine (25% by weight aqueous solution, 0.34 cm3, 0.35 g, 9.5 mmol) of acrylonitrile (3.53 g, 66.1 mmol) was added dropwise to a solution of dioxane (5 cm3). The mixture was then stirred overnight and allowed to warm to room temperature. More acrylonitrile (1.51 g, 28 mmol) and TMAH (0.25 cm3 - 7 mmol) were added and stirring was continued for a further 24 hours. The crude mixture (using Et20/CH2C12 as the eluent) was filtered with a pad of hydrazine and evaporated to remove dioxane. The residue was purified by column chromatography (gluent, Et2) eluting to remove impurities, followed by EtOAc to elute the product to give 3,3'-(2,2'-(2-cyano) Alkyldiyl)di(ethane-2,diyl)bis(oxy))dipropionitrile (1.67 g, 33% by weight) is an oil. Preparation of amidoxime compound The reaction of preparing hydroxyacetamidine from acetonitrile:

化學式:qH3N ΛΤ-翔基乙脒 : 41.05 化學式:C2H6N2O 分子置:74·08 乙腈(0.78 g,1 9 mmol )和羥基胺(50重量%水溶液 '4.65 cm3,5.02 g,76 mmol,4 eq )於 EtOH ( l〇〇 cm3 )所形成的溶液在回流的情況下攪拌1小時,接著在減壓 -79- 200941582Chemical formula: qH3N ΛΤ-翔基乙脒: 41.05 Chemical formula: C2H6N2O Molecular setting: 74·08 acetonitrile (0.78 g, 19 mmol) and hydroxylamine (50% by weight aqueous solution '4.65 cm3, 5.02 g, 76 mmol, 4 eq) The solution formed in EtOH ( l〇〇cm3 ) was stirred under reflux for 1 hour, followed by decompression at -79-200941582

下除去溶劑,殘餘物經iPr〇H再結晶,製得產物#,-羥基 乙脒(0.63 g,45 重量 % ),爲固體,mp 1 3 4.5 - 1 3 6.5 °C 由辛腈製備ΛΤ-羥基辛脒之反應:The solvent was removed, and the residue was recrystallized from iPrHH to yield product #,- hydroxyethylhydrazine (0.63 g, 45% by weight) as a solid, mp 1 3 4.5 - 1 3 6.5 ° C. Hydroxy hydrazine reaction:

羥基辛眯 化學式:C8H18N20 分子1:: 158.24 nh2Hydroxyxin oxime Chemical formula: C8H18N20 Molecule 1:: 158.24 nh2

NHjOH 辛嫌 &quot; 化學式:c8Hi5n 74e% 分子量:125.21 ❹ 辛腈(1 g,7_99 mmol)和經基胺(50重量%水溶液 ’ 0.74 cm3,0.79 g,12 mmol,1.5 eq)於 EtOH ( 1 cm3 )中在室溫攪拌7天。接著加入水(10 cm3 )。此造成晶 體沉澱出,過濾以收集晶體,並於高真空線內乾燥,製得 產物iV’-羥基辛脒(0.94 g,74.6重量% ),爲白色固體, mp 73 -75 °C。 由氯乙腈製備2-氯-ΛΤ-羥基乙眯之反應:NHjOH is suspected &quot; chemical formula: c8Hi5n 74e% Molecular weight: 125.21 ❹ octyl nitrile (1 g, 7_99 mmol) and transamine (50% by weight aqueous solution '0.74 cm3, 0.79 g, 12 mmol, 1.5 eq) at EtOH (1 cm3) Stir at room temperature for 7 days. Then add water (10 cm3). This caused the crystals to precipitate, which were filtered to collect crystals and dried in a high vacuum line to give the product iV'-hydroxyoctane (0.94 g, 74.6 wt%) as a white solid, mp 73 - 75 °C. Preparation of 2-chloro-indole-hydroxyethylhydrazine from chloroacetonitrile:

QQ

氯乙腈 化學式:c^h2cin 肝量:75.50 NHjOl ^ΟΗ30-!Chloroacetonitrile Chemical formula: c^h2cin Liver volume: 75.50 NHjOl ^ΟΗ30-!

50°C 3/J嚇 NOH 、nh2 2-菊γΛΓ-翔基乙脒 化學式:C2H5CIN20 分子: 108.53 ❹ 氯乙腈(1 g,13 mmol )和羥基胺(50重量%水溶液 ’ 0.89 cm3,0.96 g,14.6 mmol,1.1 eq)於 EtOH ( 1 cm3 )中在30-50 °C下攪拌30分鐘。接著混合物經Et20(3 x 5 0 cm3 )萃取。萃取液在減壓下蒸發,製得產物2_氯_ -羥基乙脒(0.81 g,57.4重量%),爲黃色固體,mp 79-80。(:。 由2-氰基乙酸乙酯製備3-胺基-N-羥基-3-(羥基亞胺 -80- 200941582 基)丙醯胺之反應:50 °C 3/J scare NOH, nh2 2-Chrysanthemum ΛΓ-Xingji acetylene Chemical formula: C2H5CIN20 Molecule: 108.53 ❹ Chloroacetonitrile (1 g, 13 mmol) and hydroxylamine (50% by weight aqueous solution '0.89 cm3, 0.96 g, 14.6 mmol, 1.1 eq) was stirred in EtOH (1 cm3) at 30-50 °C for 30 min. The mixture was then extracted via Et20 (3 x 50 cm3). The extract was evaporated under reduced pressure to give EtOAc (EtOAc: EtOAc) (:. Preparation of 3-amino-N-hydroxy-3-(hydroxyimine-80-200941582)propanamide from 2-cyanoacetic acid ethyl acetate:

2-氰基乙酸乙酯 678% 3-胺基-私趣基-3-(翔基亞胺基)丙醢胺 化學式:C5H7N02 化學式:c3h7n3o3 分子量:113.11 分子量:133.11 氰基乙酸乙酯(1 g,8·84 mmol )和羥基胺(50重量 % 水溶液,1.19 cm3,1.29 g,19.4 mmol,2.2 eq)於Ethyl 2-cyanoacetate 678% 3-Amino-Phenyl-3-(X-yl imino)propanamine Chemical formula: C5H7N02 Chemical formula: c3h7n3o3 Molecular weight: 113.11 Molecular weight: 133.11 Ethyl cyanoacetate (1 g , 8.84 mmol) and hydroxylamine (50% by weight aqueous solution, 1.19 cm3, 1.29 g, 19.4 mmol, 2.2 eq)

EtOH ( 1 cm3 )中在室溫靜置1小時,偶而搖動之。過濾 以收集所形成的晶體,並於高真空線內乾燥,製得無色固 體,3·胺基-iV-羥基-3-(羥基亞胺基)丙醯胺,mp 158°C (分解)(文獻mp 150 °C )。 由3-羥基丙腈製備N’,3-二羥基丙脒之反應:It was allowed to stand at room temperature for 1 hour in EtOH (1 cm3), occasionally shaking. Filtration to collect the formed crystals, and drying in a high vacuum line to obtain a colorless solid, 3·amino-iV-hydroxy-3-(hydroxyimino)propanamide, mp 158 ° C (decomposition) Document mp 150 °C). Preparation of N',3-dihydroxypropionate from 3-hydroxypropionitrile:

ΛΓ,3·二經基丙眯 化學式:C3H*N2〇2 分子量:104.11ΛΓ,3·二经基丙眯 Chemical formula: C3H*N2〇2 Molecular weight: 104.11

3-羥基丙腈 修式:C3HsNO 分子量:71.08 nh2oh iPrOH40°C 8獨 60% 3-羥基丙腈和羥基胺的等莫耳混合物在40 °C和攪拌 的情況下加熱8小時。溶液靜置一夜,得略灰白色微細沉 澱物。過濾出沉澱的固體,並以iPrOH沖洗,及乾燥,得 純質白色微細晶狀固體#’,3_二羥基丙脒,mp 94 °C。 由2-氰基乙酸製備3-胺基- 3-(羥基亞胺基)丙酸的 異構物之反應:3-hydroxypropionitrile Modification: C3HsNO Molecular weight: 71.08 nh2oh iPrOH 40 ° C 8 alone 60% The equimolar mixture of 3-hydroxypropionitrile and hydroxylamine was heated at 40 ° C for 8 hours with stirring. The solution was allowed to stand overnight to give a slightly off-white fine precipitate. The precipitated solid was filtered, washed with iPrOH, and dried to give purified white fine crystallites. Preparation of isomers of 3-amino-3-(hydroxyimino)propionic acid from 2-cyanoacetic acid:

2-ms乙酸 化學式:C3H3N〇i 分子量:85.062-ms acetic acid Chemical formula: C3H3N〇i Molecular weight: 85.06

2cqMi:OH2cqMi: OH

3-胺基基亞胺基)丙酸(2)-3-胺基-3·(趣基亞胺基)丙酸 主要產物次要產物 化學式:C3HiN2〇3 分子置:118.09 2-氰基乙酸(1 g,11.8 mmol)溶於 EtOH (10 cm3) -81 - 200941582 中,加入羥基胺(50重量%水溶液,0.79 cm3,0.85 g, 12.9 mmol,1.1 eq)。混合物升溫至40 °C,歷時30分鐘 ,過濾出所形成的晶體(氰基乙酸羥基銨),並溶於水( 5 cm3 )中。加入額外的羥基胺(50重量%水溶液,0.79 cm3,0.85 g,12.9 mmol,1.1 eq),混合物在室溫攪拌一 夜。加入乙酸(3 cm3 ),使混合物靜置數小時。過濾出 沉澱的固體,並於高真空線內乾燥,製得產物3-胺基-3-(羥基亞胺基)丙酸(0.56 g,40重量% ),爲白色固體 ,mpl36_5°C(文獻値144〇C),爲二種異構物。 使用FTIR和NMR以鑑定產物,結果如下:Vmax ( KBr ) / 公分.1 3 5 00 -3 000 ( br ) ,3188,2764,1691, 1551,1 3 95,1 3 56,1 265 和 1076 ; δΗ ( 300 MHz ; DMSO-d6 ; Me4Si ) 1 0.0-9.0 ( br,NOH 和 COOH ) ,5.47 ( 2 H, br s,NH2 )和 2.93(2 H,s,CH2 ) ; 5C ( 75 MHz ; DMSO-d6 ; Me4Si) 170.5 (COOH 次要異構物),170.2( COOH主要異構物),152.8 (C (NOH) NH2主要異構物 )’ 148.0 ( C ( NOH ) NH2 次要異構物),37.0(&lt;:112次 要異構物)和34.8(CH2主要異構物)。 由己二腈製備iV'AT6-二羥基己二脒之反應:3-Aminoimido)propionic acid (2)-3-Amino-3·(Aminoimido)propionic acid Main product Secondary product Chemical formula: C3HiN2〇3 Molecular setting: 118.09 2-cyanoacetic acid (1 g, 11.8 mmol) was dissolved in EtOH (10 cm3) - 81 - 200941582, and hydroxylamine (50% by weight aqueous solution, 0.79 cm3, 0.85 g, 12.9 mmol, 1.1 eq) was added. The mixture was warmed to 40 ° C for 30 minutes, and the formed crystals (hydroxyammonium cyanoacetate) were filtered off and dissolved in water (5 cm3). Additional hydroxylamine (50% by weight aqueous solution, 0.79 cm3, 0.85 g, 12.9 mmol, 1.1 eq) was added and the mixture was stirred at room temperature overnight. Acetic acid (3 cm3) was added and the mixture was allowed to stand for several hours. The precipitated solid was filtered off and dried in a high vacuum line to give the product 3-amino-3-(hydroxyimino)propionic acid (0.56 g, 40 wt%) as a white solid, mp.値144〇C), two isomers. FTIR and NMR were used to identify the product as follows: Vmax (KBr) / cm.1 3 5 00 -3 000 (br), 3188, 2764, 1691, 1551, 1 3 95, 1 3 56, 1 265 and 1076; δ Η ( 300 MHz ; DMSO-d6 ; Me4Si ) 1 0.0-9.0 ( br , NOH and COOH ) , 5.47 ( 2 H, br s, NH 2 ) and 2.93 (2 H, s, CH 2 ) ; 5C ( 75 MHz ; DMSO -d6 ; Me4Si) 170.5 (COOH minor isomer), 170.2 (COOH major isomer), 152.8 (C (NOH) NH2 main isomer) ' 148.0 ( C ( NOH ) NH 2 minor isomer ) , 37.0 (&lt;: 112 minor isomers) and 34.8 (CH2 major isomers). Preparation of iV'AT6-dihydroxyhexanedioxime from adiponitrile:

己二脯 化學式:(:娜2 分子置:108.14己二脯 Chemical formula: (: Na 2 Molecular Set: 108.14

ΛΓ1,·二羥基己二脒 化學式:〇Η14Ν40! 分子量:174.20 己二腈(1 g ’ 9 mmol )和羥基胺(50重量%水溶液 200941582 ,1.24 cm3 ’ 1.34 g,20 mmol,2.2 eq )於 EtOH ( 10 cm3 )中在室溫攪拌2天,接著在80。0攪拌8小時。冷卻混 合物,過濾以收集沉澱的晶體,並於高真空線內乾燥,製 得產物二羥基己二脒(1.19 g,75.8重量%),爲 白色固體,mp 160_5(分解)(文獻分解値168-1 70。〇 由癸二腈製備二羥基癸烷二(脒)之反應:ΛΓ1,·Dihydroxyhexanediindole Chemical formula: 〇Η14Ν40! Molecular weight: 174.20 Adiponitrile (1 g '9 mmol) and hydroxylamine (50% by weight aqueous solution 200941582, 1.24 cm3 ' 1.34 g, 20 mmol, 2.2 eq) at EtOH (10 cm3) was stirred at room temperature for 2 days, followed by stirring at 80. 0 for 8 hours. The mixture was cooled, filtered to collect the precipitated crystals, and dried in a high vacuum line to give the product dihydroxyhexanediamine (1.19 g, 75.8 wt%) as a white solid, mp 160_5 (decomposition) (literature decomposition 値 168- 1 70. Preparation of dihydroxydecane di(脒) from quinone dinitrile:

分子量:164.25 C,〇H22N402 分子量:230.31 ❹ 癸二腈(1 g,6 mmol)和經基胺(50重量%水溶液 ,0 · 8 5 cm3,0 · 8 8 g,1 3.4 mmol,2.2 eq )於 EtOH ( 12 cm3)中在室溫攪拌2天,接著在80 °C下攪拌8小時。 冷卻混合物,過濾以收集沉澱的晶體,並於於高真空線內 乾燥,製得產物iV’1,’1 二羥基癸烷二脒(1 g,72.5重 量 % ) ; mp 1 82 °C。 由2-氰基乙醯胺製備3-胺基-3-(羥基亞胺基)丙醯 胺之反應=Molecular weight: 164.25 C, 〇H22N402 Molecular weight: 230.31 癸 癸 dinitrile (1 g, 6 mmol) and transamine (50% by weight aqueous solution, 0 · 8 5 cm 3 , 0 · 8 8 g, 1 3.4 mmol, 2.2 eq) It was stirred at room temperature for 2 days in EtOH (12 cm3), followed by stirring at 80 °C for 8 hours. The mixture was cooled, filtered to collect precipitated crystals, and dried in a high vacuum line to give the product iV'1,'1 dihydroxydecanedioxane (1 g, 72.5 wt%); mp 1 82 °C. Preparation of 3-amino-3-(hydroxyimino)propanamide from 2-cyanoacetamide =

2-氰基乙酸胺 化學式:QIW) 分子量:84.082-cyanoacetic acid amine Chemical formula: QIW) Molecular weight: 84.08

3-胺*·3碟基碰基)丙酿 化學式:c3h7n3o2 分子量:117-Π nh2oh ΒΟΗ回流 2-氰基乙醯胺(1 g ’ 1 1 ·9 mmol )和羥基胺(0.8 cm3 ,13 mmol,1.1 eq)於EtOH (6 cm3)中在回流的情況下 攪拌2.5小時。在減壓下除去溶劑,以CH2C12沖洗殘餘物 -83- 200941582 1.23 g ,製得產物3-胺基-3-(羥基亞胺基)丙醯胺 88.3重量%),爲白色固體,mp 159。(:。 由乙醇腈製備ΛΤ, 2-二羥基乙脒之反應:3-amine*·3 disc bases) propyl formula: c3h7n3o2 Molecular weight: 117-Π nh2oh ΒΟΗ reflux 2-cyanoacetamide (1 g '1 1 ·9 mmol) and hydroxylamine (0.8 cm3, 13 mmol , 1.1 eq) was stirred under reflux for 2.5 hours in EtOH (6 cm3). The solvent was removed under reduced pressure. EtOAc EtOAc m. (:. Preparation of hydrazine, 2-dihydroxyacetamidine from glycolonitrile:

nh2oh EOH回流 乙酵腈 傾式:C2H3NO 分子量:57·05Nh2oh EOH reflux acetonitrile Nitrate: C2H3NO Molecular weight: 57.05

ΛΓ,2·二羯基乙脒 化學式:CjHeNiOi 分子量:90.08ΛΓ,2·二羯基乙脒 Chemical formula: CjHeNiOi Molecular weight: 90.08

乙醇腈(1 g,17.5 mmol )和羥基胺(50重量%水&amp; . ~fXi 液,2.15 cm3,35 mmol,2 eq )於 EtOH ( 10 cm3)中 回流的情況下攪拌6小時,接著在室溫攪拌24小時° $ 發溶劑,殘餘物經管柱層析純化(矽膠,1:3^〇 + CH2C12),製得產物U-二羥基乙脒( 0.967 g,61.4重 量%),爲灰白色固體,mp 63-65 °C。 由戊二腈製備4-氰基-ΛΤ-羥基丁脒之反應: νη2οη 回流 ΗΟΗGlycolonitrile (1 g, 17.5 mmol) and hydroxylamine (50% by weight water &amp; .fXi solution, 2.15 cm3, 35 mmol, 2 eq) were stirred for 6 hours under reflux in EtOH (10 cm3), then After stirring at room temperature for 24 hours, the solvent was evaporated, and the residue was purified by column chromatography (EtOAc, EtOAc, EtOAc (EtOAc) , mp 63-65 °C. Preparation of 4-cyano-indole-hydroxybutanin from glutaronitrile: νη2οη reflux ΗΟΗ

戊二腈 化學式:(:湖2 好量:94.11 ΝΟΗ 4-Λ»-ΛΤ-徑基丁脒 化學式:0:細3〇 肝量:127.14 戊二腈(0.93 g,10 mmol)和羥基胺(50重量%水溶 液,1.22 cm3,20 mmol)的溶液在回流的情況下攪拌1〇 小時,接著在減壓下除去揮發性物質,製得產物4-氰基-羥基丁脒(1.30 g,100重量% ),爲白色固體,mp 99.5-101 °C。 由亞胺基二乙腈製備2,2’-氮二基二羥基乙脒) 之反應: -84- 200941582Chemical formula of glutaronitrile: (: Lake 2 Good amount: 94.11 ΝΟΗ 4-Λ»-ΛΤ-diabase 脒 Chemical formula: 0: Fine 3 〇 Liver amount: 127.14 Glutaronitrile (0.93 g, 10 mmol) and hydroxylamine ( A 50% by weight aqueous solution, 1.22 cm3, 20 mmol) was stirred under reflux for 1 hour, then the volatiles were removed under reduced pressure to give the product 4-cyano-hydroxybutane (1.30 g, 100 weight). % ), as a white solid, mp 99.5-101 ° C. Preparation of 2,2'-azenediyldihydroxyethane from iminodiacetonitrile: -84- 200941582

Νί^ΟΗ BOHRTΝί^ΟΗ BOHRT

ΝΗζ 亞胺基二乙腈 μ _ 2,2,·想二*二(ΛΓ,-理基Ζ脒) 化學式:C4HsN3 8&amp;7/〇 化學式:C4H„N5〇2 分子童:95.10 分子量:161.16 市售的亞胺基二乙腈(Alfa-Aesar)ΝΗζ iminodiacetonitrile μ _ 2, 2, · think two * two (ΛΓ, - Ζ脒 Ζ脒) Chemical formula: C4HsN3 8 &amp; 7 / 〇 chemical formula: C4H „ N5 〇 2 molecular children: 95.10 Molecular weight: 161.16 Iminodiacetonitrile (Alfa-Aesar)

藉由By

純化:將該化合物分散於水中並以二氯甲烷萃取’接著$ 發萃取液中的有機溶劑,製得白色固體。純化的亞胺基二 乙腈(0.82 g )和羥基胺(50重量%水溶液,2.12 ml, 2·28 g,34.5 mmol,4 eq)於 MeOH(6.9 ml)和水(6.8 ml)中在室溫攪拌48小時。在減壓下蒸發揮發性物質, 得無色液體,以EtOH (40 〇C)碾製,製得2,2’-氮二基二 (f -羥基乙脒)(1.23 g,88.7重量% ),爲白色固體, mp 1 3 5 - 1 3 6°C (文獻 mp 138。〇 。 由3-甲基胺基丙腈製備ΛΤ-羥基-3-(甲基胺基)丙脒 之反應Purification: The compound was dispersed in water and extracted with dichloromethane&apos; followed by an organic solvent in the extract to give a white solid. Purified iminodiacetonitrile (0.82 g) and hydroxylamine (50% by weight in water, 2.12 ml, 2·28 g, 34.5 mmol, 4 eq) in MeOH (6.9 ml) and water (6.8 ml) Stir for 48 hours. The volatiles were evaporated under reduced pressure to give a colorless liquid, which was taken from EtOH (40 〇C) to give 2,2'-azenediyldi(f-hydroxyethyl hydrazide) (1.23 g, 88.7 wt%), As a white solid, mp 1 3 5 - 1 3 6 ° C (literature mp 138. 〇. Preparation of ΛΤ-hydroxy-3-(methylamino)propene oxime from 3-methylaminopropionitrile

NH2OH EtOH30»50°C 3/J塒 RT2M 游 3-甲基胺基丙腈 化學式:。湖2 分子量:84.12 99.5% #,-淫基-3·(甲基胺基)丙脒 修式: 肝置:117.15 3-甲基胺基丙腈(1 g,11·9 mmol)和羥基胺(50重 量%水溶液,0.8 cm3,0.864 g,13.1 mmol,1.1 eq)於 EtOH ( 1 cm3)所形成的溶液在30-50°C攪拌3小時,接 著在室溫攪拌一夜。在減壓下除去溶劑(旋轉蒸發器,接 著高真空線),製得產物羥基-3-(甲基胺基)丙脒( 1.3 87 g,99.5重量%),爲濃稠淡黃色油狀物。 由3-(二乙胺基)丙腈製備3-(二乙胺基)-ΛΤ-羥基 -85- 200941582 丙脒之反應:NH2OH EtOH30»50°C 3/J埘 RT2M Tour 3-Methylaminopropionitrile Chemical formula:. Lake 2 Molecular weight: 84.12 99.5% #,-淫基-3·(Methylamino) propyl hydrazine: Liver: 117.15 3-Methylaminopropionitrile (1 g, 11.9 mmol) and hydroxylamine A solution of (50% by weight aqueous solution, 0.8 cm3, 0.864 g, 13.1 mmol, 1.1 eq) in EtOH (1 cm3) was stirred at 30-50 ° C for 3 hours, then stirred at room temperature overnight. The solvent was removed under reduced pressure (rotary evaporator, followed by high vacuum line) to yield the product hydroxy-3-(methylamino)propanone (1.387 g, 99.5 wt%) as a thick yellow oil. . Preparation of 3-(diethylamino)-hydrazine-hydroxy-85-200941582 from 3-(diethylamino)propionitrile

1.5 eq&gt;H2OH EtOH回流 24小時 92.6%1.5 eq>H2OH EtOH reflux 24 hours 92.6%

3·(二乙胺基W·羥基丙脒 化學式:c7h17n3o 分子量:159.23 3·(二乙胺基)丙腈 化學式:c7h14n2 分子 1: : 126.203·(Diethylamino W·hydroxypropyl hydrazine Chemical formula: c7h17n3o Molecular weight: 159.23 3·(diethylamino)propionitrile Chemical formula: c7h14n2 Molecular 1: 1: 126.20

3-(二乙胺基)丙腈(1 g,8 mmol )和 NH20H ( 50 重量 %水溶液,〇·73 cm3,11·9 mmol)於 EtOH ( 10 cm3) 所形成的溶液經回流加熱24小時,接著以旋轉蒸發器除 去溶劑和過量的羥基胺。殘餘物經冷凍乾燥,並保持在高 真空線內直到緩緩固化,製得3-(二乙胺基羥基丙 脒(1.18g,92_6 重量 %),爲白色固體,mp 52-54°C。 由3,3’,3”-氮基三丙腈與羥基胺製備3,3’,3”-氮基三( V’-羥基丙脒)之反應:A solution of 3-(diethylamino)propionitrile (1 g, 8 mmol) and NH20H (50% by weight aqueous solution, 〇·73 cm3, 11.9 mmol) in EtOH (10 cm3) was heated under reflux for 24 hours. The solvent and excess hydroxylamine were then removed on a rotary evaporator. The residue was lyophilized and kept in a high vacuum line until slowly solidified to give 3-(diethylamine hydroxypropyl hydrazide (1.18 g, 92-6 wt%) as a white solid, mp 52-54 °C. Preparation of 3,3',3"-nitrotris(V'-hydroxypropionamidine) from 3,3',3"-azatripropionitrile and hydroxylamine:

3,3,,3,,-氮基三丙腈 化學式:C,HI2N&lt; 分子:! : 176.223,3,,3,,-Nitrotripropanenitrile Chemical formula: C, HI2N &lt; Molecular:! : 176.22

肝量:275.31Liver volume: 275.31

3,3’,3”-氮基三丙腈(2 g,11.35 mmol)和羥基胺( 50 重量 %水溶液,2·25 g,34 mmol)於 EtOH(25 cm3) 所形成的溶液在80 °C攪拌一夜,接著在室溫攪拌24小 時。過濾以收集白色沉澱物,並於高真空下乾燥,製得 3,3’,3”-氮基三(#’-羥基丙眯)(1.808,57.6重量%), 爲白色晶狀固體,mpl 95-1 97°C (分解)。 -86- 200941582 由3- (2-乙氧基乙氧基)丙腈製備3-(2_乙氧基乙氧 基)-ΛΤ-羥基丙脒之反應:A solution of 3,3',3"-azatripropionitrile (2 g, 11.35 mmol) and hydroxylamine (50% by weight aqueous solution, 2·25 g, 34 mmol) in EtOH (25 cm3) at 80 ° C was stirred overnight, then stirred at room temperature for 24 hours. Filtration to collect a white precipitate and dried under high vacuum to give 3,3',3"-nitrotris(#'-hydroxypropionamidine) (1.808, 57.6 wt%) as a white crystalline solid, mpl 95-1 97 ° C (decomposed). -86- 200941582 Preparation of 3-(2-ethoxyethoxy)-hydrazine-hydroxypropionate from 3-(2-ethoxyethoxy)propanenitrile:

N0HN0H

3-(2-乙氧基乙氧基)-ΛΤ-羥基丙脒 化學式:C7H16N203 分子量:176.213-(2-ethoxyethoxy)-anthracene-hydroxypropionin Chemical formula: C7H16N203 Molecular weight: 176.21

ISeqMljOH 八人 /職回浪 3&lt;2-乙氧基乙隸)丙腈 —^6% 化學式:C7H13N〇2 分子量:143.18 3-(2 -乙氧基乙氧基)丙睛(1 g,7 mmol)和 NH2OH ( 50 重量 %水溶液,0.64 cm3,10.5 mmol)於 EtOH ( 1 0 cm3 )所形成的溶液在回流的情況下加熱24小 時’接著以旋轉蒸發器除去溶劑和過量的羥基胺。殘餘物 經冷凍乾燥’並保持在高真空線內數小時,製得3- (2 -乙 氧基乙氧基)-iV’-羥基丙脒(1.2 g,97.6重量%),爲無 色油狀物。 由3-(2-(2-(二甲胺基)乙氧基)乙氧基)丙腈製 備3-(2-(2-(二甲胺基)乙氧基)乙氧基)_jv,_羥基丙 脒之反應:ISeqMljOH 八人/职回浪3&lt;2-ethoxyethyl)propanonitrile-^6% Chemical formula: C7H13N〇2 Molecular weight: 143.18 3-(2-ethoxyethoxy) propyl eye (1 g, 7 A solution of mmol) and NH2OH (50% by weight aqueous solution, 0.64 cm3, 10.5 mmol) in EtOH (1 0 cm3) was heated under reflux for 24 hours. Then solvent and excess hydroxylamine were removed on a rotary evaporator. The residue was lyophilized and kept in a high vacuum line for several hours to give 3-(2-ethoxyethoxy)-iV'-hydroxypropionamidine (1.2 g, 97.6 wt%) as a colorless oil. Things. Preparation of 3-(2-(2-(dimethylamino)ethoxy)ethoxy)_jv from 3-(2-(2-(dimethylamino)ethoxy)ethoxy)propanenitrile, _Hydroxypropyl hydrazine reaction:

3-(2·(2-( 乙氧基)丙腈 .1/· 3-(2-(2·(二甲胺基)乙氧基)乙氧基)-W經基丙脒 CsHltN2〇i C»H21N3〇3 肝 1: : 186.25 肝量:219.28 3-(2-(2-(二甲胺基)乙氧基)乙氧基)丙腈(0.5 g,2.68 mmol)和 NH2OH ( 50 重量%水溶液,0.25 cm3, 4 mmol)於EtOH ( 10 cm3)所形成的溶液在80 °C攪拌 24小時,接著以旋轉蒸發器除去溶劑和過量的羥基胺。殘 餘物經冷凍乾燥,並保持在高真空線內數小時,製得3-( 2-(2-(二甲胺基)乙氧基)乙氧基)_#’_羥基丙脒( -87- 200941582 0.53 g,90.1重量%),爲淡黃色油狀物。 由3,3’- ( 2,2’- ( 2-氰基乙基氮二基)二(乙烷·2,1-二基)二(氧基))二丙腈與羥基胺製備3,3’-(2,2’-( 3-胺基-3-(羥基亞胺基)丙基氮二基)二(乙烷-2,1-二基 ))二(氧基)二(羥基丙眯)之反應:3-(2·(2-(ethoxy)propionitrile.1/· 3-(2-(2·(dimethylamino)ethoxy)ethoxy)-W via propyl hydrazine CsHltN2〇i C»H21N3〇3 Liver 1:: 186.25 Liver: 219.28 3-(2-(2-(Dimethylamino)ethoxy)ethoxy)propanenitrile (0.5 g, 2.68 mmol) and NH2OH (50 weight The solution of % aqueous solution, 0.25 cm3, 4 mmol) in EtOH (10 cm3) was stirred at 80 °C for 24 hours, then the solvent and excess hydroxylamine were removed on a rotary evaporator. The residue was lyophilized and kept high. A few hours in the vacuum line, 3-(2-(2-(dimethylamino)ethoxy)ethoxy)_#'_hydroxypropyl hydrazine (-87- 200941582 0.53 g, 90.1% by weight) was obtained. It is a pale yellow oil. From 3,3'-( 2,2'-( 2-cyanoethylazodiyl)di(ethane·2,1-diyl)di(oxy))dipropene Preparation of 3,3'-(2,2'-(3-amino-3-(hydroxyimino)propylnitrodiyl)di(ethane-2,1-diyl)) from nitrile and hydroxylamine Reaction of (oxy)di(hydroxypropionamidine):

定童 H洳 - „ 3,3’-(2,2Μ3-胺基-3-(裡基碰基)丙基氮二基)二(乙烷·2,1-二基))二(氧基)二(ΛΤ-羥基丙味) 化學式:C13H29N7O, 分子量:363,41定童H洳- „ 3,3′-(2,2Μ3-Amino-3-(Ricyl)propylazodiyl)di(ethane·2,1-diyl))di(oxy) ) II (ΛΤ-hydroxypropyl taste) Chemical formula: C13H29N7O, Molecular weight: 363,41

3,3’-(2,2,-(2-氰基乙基氮二基)二(乙烷-2,1-二 基)二(氧»)二丙腈 化學式:C13H20N4〇2 分子 1: : 264.32 NH2〇H (4 eq) BOH,80°C 24'i僻 以 ΝΗ2ΟΗ ( 0.74 cm3,12.1 mmol)於 EtOH ( 8 cm3) 中處理3,3’-(2,2’-(2-氰基乙基氮二基)二(乙烷- 2,1-—基)一(氧基))一丙睛(0.8 g,3 mmol),得 3,3’-(2,2,-(3-胺基-3-(羥基亞胺基)丙基氮二基)二(乙 烷-2,1,二基))二(氧基)二(ΛΤ-羥基丙脒)(1.〇9 g, 100重量%),爲油狀物。 由亞胺基二丙腈製備3,3’-氮二基二羥基丙脒) 之反應:3,3'-(2,2,-(2-Cyanoethylnitrodiyl)di(ethane-2,1-diyl)bis(oxy))dipropionitrile Chemical formula: C13H20N4〇2 Molecular 1: : 264.32 NH2〇H (4 eq) BOH, 80 ° C 24'i ΝΗ 2ΟΗ (0.74 cm3, 12.1 mmol) in EtOH (8 cm3) 3,3'-(2,2'-(2-cyanide Benzyldiyldiyl)di(ethane-2,1-yl)mono(oxy))propanyl (0.8 g, 3 mmol) gives 3,3'-(2,2,-(3) -amino-3-(hydroxyimino)propylnitrodiyl)di(ethane-2,1,diyl))bis(oxy)bis(indolyl-hydroxypropionamidine) (1.〇9 g , 100% by weight, as an oil. The reaction of 3,3'-azadiyldihydroxypropionate from iminodipropionitrile:

二基二(ΛΓ.-羥基丙眯) 化學式:CiH15N502 分子量:189.22Dibasic bis(ΛΓ.-hydroxypropionamidine) Chemical formula: CiH15N502 Molecular weight: 189.22

亞胺基二丙腈 821% 化學式:〇6Η9Ν3 分子置:123.16 N^OH E10H 80°C 8小時 RT2天 亞胺基二丙腈(1 g,8 mmol)和經基胺(50重量% 水溶液 ’ 1 cm3,1·07 g,16 mmol,2 eq)於 EtOH ( 8 cm3 )中在室溫攪拌2天,接著在80 °C攪拌8小時。冷卻混 合物,過濾以收集沉澱的晶體,並於高真空線內乾燥,製 -88- 200941582 得產物3,3’-氮二基二(羥基丙脒)(1.24 g,82.1重 量%),爲白色固體,mP180°C(文獻値160。〇 。 由3,3’,3”,3”’-(乙烷-1,2-二基二(氮三基))四丙 腈製備3,3’,3”,3”’-(乙烷-1,2-二基二(氮三基.))四( 羥基丙眯)以產製EDTA類似物之反應:Iminodipropionitrile 821% Chemical formula: 〇6Η9Ν3 Molecular setting: 123.16 N^OH E10H 80°C 8 hours RT2 day iminodipropionitrile (1 g, 8 mmol) and transamine (50% by weight aqueous solution' 1 cm3, 1·07 g, 16 mmol, 2 eq) was stirred at room temperature for 2 days in EtOH (8 cm3), followed by stirring at 80 °C for 8 hours. The mixture was cooled, filtered to collect the precipitated crystals, and dried in a high vacuum line to give the product 3,3'-azadiyldi(hydroxypropionamidine) (1.24 g, 82.1% by weight) as white. Solid, mP 180 ° C (Ref. 値 160. 〇. Preparation of 3,3', from 3,3',3",3"'-(ethane-1,2-diylbis(nitrotriyl))tetrapropionitrile , 3", 3"'-(ethane-1,2-diylbis(azatriyl.))tetrakis(hydroxypropionamidine) for the production of EDTA analogues:

3,3’,3”,3”’-(乙烷-1,2-二基二(氮三基))四丙腈 化學式:C14H20N6 好量:272.35 ❹ 3,3’,3”,3”’-(乙烷-1,2-二基二(氮三基))四(^羯基丙腺) 化學式:C14H32N10O4 分子量:404.47 3,3’,3”,3”’-(乙烷-1,2-二基二(氮三基))四丙腈( 1 g,4 mmol )和 NH2OH ( 50 重量 %水溶液,1 · 1 cm3, 18.1 mmol)於EtOH (10 cm3)所形成的溶液在80。(:攪 拌24小時,接著使冷卻至室溫。過濾以收集所形成的固 體,及在真空下乾燥,製得3,3’,3”,3”’ -(乙烷-1,2-二基 二(氮三基))四(#,-羥基丙脒)(1.17 g,76.4重量% ),爲白色固體,mp 191-192 °C。 由3,3’-(2,2-二((2-氰基乙氧基)甲基)丙烷-1,3-二基)二(氧基)二丙腈與羥基胺製備3,3’-(2,2-二(( 3-(羥基胺基)-3-亞胺基丙氧基)甲基)丙烷-1,3-二基) 二(氧基)二(JV-羥基丙脒)之反應: -89- 2009415823,3',3",3"'-(ethane-1,2-diylbis(nitrotriyl))tetrapropanenitrile Chemical formula: C14H20N6 Good amount: 272.35 ❹ 3,3',3",3" '-(Ethyl-1,2-diylbis(nitrotriyl))tetra(^-decyl-propyl) Chemical formula: C14H32N10O4 Molecular weight: 404.47 3,3',3",3"'-(ethane-1 , a solution of 2-diylbis(nitrotriyl))tetrapropanenitrile (1 g, 4 mmol) and NH2OH (50% by weight aqueous solution, 1 · 1 cm3, 18.1 mmol) in EtOH (10 cm3) at 80 . (: stirring for 24 hours, then cooling to room temperature. Filtration to collect the solid formed, and drying under vacuum to obtain 3,3',3",3"'-(ethane-1,2-di Base 2 (nitrotriyl))tetrakis(#,-hydroxypropionamidine) (1.17 g, 76.4% by weight) as a white solid, mp 191-192 ° C. From 3,3'-(2,2-di( Preparation of 3,3'-(2,2-di((3-(hydroxyl)) by (2-cyanoethoxy)methyl)propane-1,3-diyl)di(oxy)dipropionitrile and hydroxylamine Reaction of amino)-3-iminopropylpropoxy)methyl)propane-1,3-diyl)di(oxy)di(JV-hydroxypropionamidine): -89- 200941582

3,3M2,2-二((2-氰基乙氧基)甲基)丙院-1,3-二基)二 (縫)二丙脯 化學式:C„H24N«0« 肝量:348.403,3M2,2-bis((2-cyanoethoxy)methyl)propyl-1,3-diyl)di(slit) dipropionin Chemical formula: C„H24N«0« Liver volume: 348.40

3,3’似二基)-3-亞胺基丙氧基)甲基)丙院-U-二 基)二(錄)二(//-雖丙狀) 化學式: 分子* : 480.52 在3,3’-(2,2-二((2-氰基乙氧基)甲基)丙烷-1,3-二基)二(氧基)二丙腈(1 g,2.9 mmol )於 EtOH ( 1〇 ml )所形成的溶液中加入NH2OH ( 50重量%水溶液,〇·88 ml &gt; 0.948 g &gt; 14.4 mmol ),混合物在 80 °C 攪拌 24 小時 ,接著冷卻至室溫。於旋轉蒸發器中蒸發溶劑和過量的 NH2OH,繼之在高真空下蒸發12小時,得3,3’-(2,2-二 ((3-(羥基胺基)-3-亞胺基丙氧基)甲基)丙烷-1,3·二 基)二(氧基)二(ΛΓ-羥基丙脒)(0.98 g,70_3重量% ),爲白色固體,mp 60 °C。 由3,3’-(2-氰基苯基氮二基)二丙腈與羥基胺製備 3,3’-(2-(#’-經基甲脒基(〇31^&amp;111丨111丨&lt;1〇71))苯基氮一 基)二(ΛΤ-羥基丙脒)之反應:3,3'-like diyl)-3-iminopropylpropoxy)methyl)propyl-U-diyl)di(recorded) II (/-- although propyl) Chemical formula: Molecule* : 480.52 in 3 , 3'-(2,2-bis((2-cyanoethoxy)methyl)propane-1,3-diyl)bis(oxy)dipropionitrile (1 g, 2.9 mmol) in EtOH ( 1 〇 ml ) The resulting solution was added with NH 2 OH (50% by weight aqueous solution, 〇·88 ml &gt; 0.948 g &gt; 14.4 mmol), and the mixture was stirred at 80 ° C for 24 hours, and then cooled to room temperature. The solvent and excess NH2OH were evaporated in a rotary evaporator, followed by evaporation under high vacuum for 12 hours to give 3,3'-(2,2-bis((3-(hydroxyamino))-3-imidopropyl) Oxy)methyl)propane-1,3·diyl)di(oxy)bis(indole-hydroxypropionamidine) (0.98 g, 70_3 wt%) as a white solid, mp 60 °C. Preparation of 3,3'-(2-(#'-carbylcarbenyl) from 3,3'-(2-cyanophenylnitrodiyl)dipropionitrile and hydroxylamine (〇31^&amp;111丨111丨&lt;1〇71))Phenylnitro-yl)di(anthracene-hydroxypropionamidine) reaction:

苯基氮二基)二丙腈 化學式:C„HuN4 分子置:224.26 3,3Μ2ΚΛΤ·徑基甲脒基)苯基氛二基)二經基丙脒) 化學式:C„H21Nt〇3 分子量:323.35 以 NH2OH ( 1.23 nU,20 mmol)於 EtOH ( 1〇 ml)中 處理3,3’-(2-氰基苯基氮二基)二丙腈(1 g,4·4ό mmol 200941582 ),得粗產物,以CH2C12碾製,製得3,3’- ( 2- ( 羥基 甲脒基)苯基氮二基)二(ΛΤ-羥基丙脒)(1.44 g,100 重量%),爲固體,81。C分解。 由iV,#-二(2-氰基乙基)乙醯胺與羥基胺製備二 (3-胺基-3-(羥基亞胺基)丙基)乙醯胺之反應:Phenyl nitrogen diyl) dipropionitrile chemical formula: C„HuN4 Molecular setting: 224.26 3,3Μ2ΚΛΤ·diamylcarbenyl)phenyl aryldiyl) dipyridylpyridinium) Chemical formula: C„H21Nt〇3 Molecular weight: 323.35 3,3'-(2-Cyanophenylnitrodiyl)dipropionitrile (1 g, 4·4ό mmol 200941582) was treated with NH2OH (1.23 nU, 20 mmol) in EtOH (1 mL). The product was triturated with CH2C12 to give 3,3'-(2-(hydroxymethylmercapto)phenylnitrodiyl)bis(indole-hydroxypropionamidine) (1.44 g, 100% by weight) as a solid, 81 . C decomposition. Preparation of bis(3-amino-3-(hydroxyimino)propyl)acetamide from iV, #-bis(2-cyanoethyl)acetamide and hydroxylamine:

以 NH2OH ( 0.56 m卜 9.1 mmol)於 EtOH ( 5 ml)中 處理二(2-氰基乙基)乙醯胺(0.5 g,3.03 mmol ) ,得二(3-胺基-3-(羥基亞胺基)丙基)乙醯胺( 0.564 g,100 重量 %),爲白色固體,mp 56.4-58 °C。Treatment of bis(2-cyanoethyl)acetamide (0.5 g, 3.03 mmol) in NH2OH (0.56 m, 9.1 mmol) in EtOH (5 ml) afforded bis(3-amino-3-(hydroxy) Amino)propyl)acetamide (0.564 g, 100% by weight) as a white solid, mp 56.4-58 °C.

由3,3’- ( 2,2’-(甲基氮二基)二(乙烷-2,1-二基) 二(氧基))二丙腈與羥基胺製備3,3’-(2,2’-(甲基氮 二基)二(乙烷- 2,1-二基)二(氧基))二(ΛΤ-羥基丙 脒)之反應: | ^.N NH20H(4eq 3,3、(2,2,-(甲基氣二*)二(乙庚-2,1-二基)二(氧 ' 基))二丙腈 定* 化學式:C„H19N3〇2 分子量:225.29 Γ I r 3,3’-(2,2’·{甲基氮二基)二(乙烷-2,1·二基)二(氧基))二 (ΛΓ-麟丙脒&gt; 化學式:。„聊50« 分子置:291.35 以 NH2OH ( 0.82 nU,13.3 mmol)於 EtOH ( 10 ml) 中處理3,3’-(2,2’-(甲基氮二基)二(乙烷-2,1-二基) 二(氧基))二丙腈(lg,4.4 mmol ),得 3,3 ’ - ( 2,2 ’- (甲基氮二基)二(乙烷-2,1-二基)二(氧基))二( JV,-羥基丙脒)(1.28 g,100重量%),爲油狀物。 -91 - 200941582 由二醇衍生物 3,3’-(乙院-1,2-二基二(氧基))二 丙腈製備3,3,-(乙烷-1,2-二基二(氧基))二(#’_經基 丙脒)之反應:Preparation of 3,3'-(3,3-(2,2'-(methylazadiyl)bis(ethane-2,1-diyl)di(oxy))dipropionitrile and hydroxylamine Reaction of 2,2'-(methylnitrodiyl)di(ethane-2,1-diyl)bis(oxy))bis(indolyl-hydroxypropionate): | ^.N NH20H (4eq 3, 3, (2,2,-(methyl gas di*) bis(ethylheptyl-2,1-diyl)bis(oxy'yl))dipropiononitrile *Chemical formula: C„H19N3〇2 Molecular weight: 225.29 Γ I r 3,3'-(2,2'·{methylnitrodiyl)di(ethane-2,1·diyl)bis(oxy))di(ΛΓ-麟丙脒) Chemical formula:. „聊50« Molecular setting: 291.35 Treatment of 3,3'-(2,2'-(methylnitrodiyl)di(ethane-2, with NH2OH (0.82 nU, 13.3 mmol) in EtOH (10 ml) 1-diyl)di(oxy))dipropionitrile (lg, 4.4 mmol) gives 3,3 '-(2,2 '-(methylnitrodiyl)di(ethane-2,1-di Bis(di)(oxy))bis(JV,-hydroxypropionamidine) (1.28 g, 100% by weight), as an oil. -91 - 200941582 From the diol derivative 3,3'-(B-Yuan-1 ,2-diylbis(oxy))dipropionitrile to prepare 3,3,-(ethane-1,2-diylbis(oxy)) (# '_ Through-propionamidinate) to react:

3,3’-(乙烷-1,2-二基二(氧基))二(W-羥基丙眯) 化學式:CtHuNA 分子量:234.25 3,3’-(乙院*1,2-二基二(氧基))二丙腈 化學式:ChHuNjOj 分子量:168.19 3,3’-(乙烷-1,2-二基二(氧基))二丙腈(1 g’ 5 mmol )和 NH2OH ( 50 重量 %7jC 溶液 ’ 0.77 cm3 ’ 12.5 mmol)於EtOH( 10 cm3)所形成的溶液在80 °C攪拌24 小時,接著在室溫攪拌24小時。蒸發溶劑和過量的 NH2OH,殘餘物經冷凍乾燥,製得3,3’-(乙烷-1,2-二基 二(氧基))二(#,-羥基丙脒)(1.33 g,100重量%) ,爲黏質油狀物。 由3,3’-(哌哄-1,4-二基)二丙腈製備3,3’-(哌哄-1,4-二基)二羥基丙脒)之反應:3,3'-(ethane-1,2-diylbis(oxy))di(W-hydroxypropionamidine) Chemical formula: CtHuNA Molecular weight: 234.25 3,3'-(B-*1,2-diyl) Di(oxy))dipropionitrile chemical formula: ChHuNjOj Molecular weight: 168.19 3,3'-(ethane-1,2-diylbis(oxy))dipropionitrile (1 g' 5 mmol) and NH2OH (50 The solution of the weight % 7jC solution '0.77 cm3 '12.5 mmol) in EtOH (10 cm3) was stirred at 80 ° C for 24 hours, followed by stirring at room temperature for 24 hours. The solvent and excess NH2OH were evaporated, and the residue was lyophilized to give 3,3'-(ethane-1,2-diylbis(oxy))bis(#,-hydroxypropionamidine) (1.33 g, 100). % by weight) is a viscous oil. The reaction of preparing 3,3'-(piperidin-1,4-diyl)dihydroxypropionate from 3,3'-(piperidin-1,4-diyl)dipropionitrile:

NGHNGH

3,3’顿哄-M-二*)二醜 化學式:CI0H,6N* 分子量:192.26 13,3'ton 哄-M-two*) two ugly Chemical formula: CI0H, 6N* Molecular weight: 192.26 1

NOH 3,3’·(岐哄-1,4-二基)二(AT-趣基丙滕) 化學式:Ci〇HmN6〇2 分子 1:: 258.32 3,3,-(哌哄-1,4-二基)二丙腈(1 g,5.2 mmol)和 NH2OH ( 50 重量 %7_R 溶液,0.96 cm3,15.6 mmol )於NOH 3,3'·(岐哄-1,4-diyl)di(AT-Cetylpropanol) Chemical formula: Ci〇HmN6〇2 Molecule 1:: 258.32 3,3,-(piperidin-1,4 -diyl)dipropionitrile (1 g, 5.2 mmol) and NH2OH (50 wt% 7_R solution, 0.96 cm3, 15.6 mmol)

EtOH ( 10 cm3 )所形成的溶液在回流的情況下加熱24小 時’接著冷卻混合物至室溫。過濾以收集所形成的固體, 並於高真空線內乾燥,製得3,3’-(哌畊-1,4-二基)二( -92- 200941582 羥基丙眯)(1.25 g,93_3重量❶/〇),爲白色固體, 238°C (分解)(&gt;220 °C變成棕色)。 由氰乙基化的山梨糖醇化合物與羥基胺製備 1,2,3,4,5,6-六-〇-〔3-(羥基胺基)_3_亞胺基丙基〕己糖 醇之反應:The solution formed by EtOH (10 cm3) was heated under reflux for 24 hours. Then the mixture was cooled to room temperature. Filtration to collect the formed solids and drying in a high vacuum line to obtain 3,3'-(piped-1,4-diyl)di(-92-200941582 hydroxypropionamidine) (1.25 g, 93_3 by weight ❶/〇), as a white solid, 238 ° C (decomposition) (&gt; 220 ° C turns brown). Preparation of 1,2,3,4,5,6-hexa-indole-[3-(hydroxyamino)-3-iminopropyl]hexitol from a cyanoethylated sorbitol compound and a hydroxylamine reaction:

U,3,4,5,6-六-0*2·{氣基乙基)己糖醇 化學式:ΟμΗμΠΑ 好量:500.55 NH}OH 〇5 cq) EtOH, IOeC 30橫U,3,4,5,6-six-0*2·{gasethylethyl)hexitol Chemical formula: ΟμΗμΠΑ Good amount: 500.55 NH}OH 〇5 cq) EtOH, IOeC 30 horizontal

1,2,3,435,6-六0-[3-(羥基胺基)-3-亞胺基丙基】己糖酵 化學式:C24H30N12O12 分子 1: : 698.73 山梨糖醇之氰乙基化產物(0.48 g,0_96 mmol )和 NH2〇H( 50 重量 %水溶液,0.41 ml,0.44 g,6.71 mmol) 於EtOH(5 ml)所形成的溶液在80 °C攪拌24小時。蒸 發溶劑,殘餘物的NMR分析顯示轉換不完全。將產物溶 ® 於水(10 ml )和 EtOH ( 100 ml ),加入 NH2OH ( 0.5 g, 7.6 mmol)。混合物在80 °C再攪拌7小時。反應後除去 所有揮發性物質,得1,2,3,4,5,6-六-〇-〔3-(羥基胺基)-3_亞胺基丙基〕己糖醇(0.67 g,1〇〇重量%),爲白色固 體,mp 92-94°C (分解)。 由苯甲腈製備羥基苯甲脒之反應: -93- 2009415821,2,3,435,6-hexa-[3-(hydroxyamino)-3-iminopropyl]hexasaccharide Chemical formula: C24H30N12O12 Molecular 1: : 698.73 cyanoethylated product of sorbitol (0.48 g, 0-96 mmol) and a solution of NH2 〇H (50% by weight aqueous solution, 0.41 ml, 0.44 g, 6.71 mmol) in EtOH (5 ml) were stirred at 80 ° C for 24 hours. The solvent was evaporated, and NMR analysis of the residue showed that the conversion was incomplete. The product was dissolved in water (10 ml) and EtOH (100 ml), and then NH2OH (0.5 g, 7.6 mmol). The mixture was stirred at 80 ° C for an additional 7 hours. After the reaction, all volatile substances were removed to obtain 1,2,3,4,5,6-hexa-indole-[3-(hydroxyamino)-3-iminopropyl]hexitol (0.67 g, 1). 〇〇% by weight) as a white solid, mp 92-94 ° C (decomposed). Preparation of hydroxybenzimid from benzonitrile: -93- 200941582

NOHNOH

翌基苯甲脒 化學式:c7h*n2〇 分子 1:: 136.15 苯甲腈(0.99 cm3,1 g,9.7 mmol )和羥基胺(50重 量%水溶液,0.89 cm3,0.96 g,14.55 mmol,1.5 eq)於Mercaptobenzamide chemical formula: c7h*n2〇 molecule 1:: 136.15 benzonitrile (0.99 cm3, 1 g, 9.7 mmol) and hydroxylamine (50% by weight aqueous solution, 0.89 cm3, 0.96 g, 14.55 mmol, 1.5 eq) to

EtOH ( 10 cm3 )中在回流的情況下攪拌48小時。在減壓 下蒸發溶劑,及將水(1 〇 cm3 )加至殘餘物中。混合物經 二氯甲烷(1〇〇 cm3)萃取,有機萃取液在減壓下蒸發。 殘餘物經管柱層析純化,製得產物-羥基苯甲眯(1.32 g,100重量%),爲白色晶狀固體’ mp 79-81°C(文獻値 79-80 °C)。此步驟適合於所有帶有苯環的起始物。 由3·苯基丙腈製備羥基_3_苯基丙脒之反應:The mixture was stirred under reflux for 48 hours in EtOH (10 cm3). The solvent was evaporated under reduced pressure, and water (1 〇 cm 3 ) was added to the residue. The mixture was extracted with dichloromethane (1 mL) and evaporated. The residue was purified by column chromatography to yield EtOAc (EtOAc: EtOAc: EtOAc: This step is suitable for all starting materials with a benzene ring. Preparation of hydroxy_3_phenylpropanoid from 3·phenylpropionitrile:

3-雜丙腈 化學式:CsH^N 肝* : 13U7 理基-3·苯基丙脒 化學式:C9H12N20 分子: : 164.203-heteropropanenitrile Chemical formula: CsH^N Liver* : 13U7 基--3 phenylpropanoid Chemical formula: C9H12N20 Molecule: : 164.20

3 -苯基丙腈(1 g ’ 7.6 mmo 1 )與羥基胺(5 〇重量%水 溶液,0.94 cm3,15.2 mmol ’ 2 eq)於 EtOH ( 7.6 cm3) 中根據與製備ΛΤ-羥基苯甲脒相同的方法進行反應(使用 EtOAc於萃取),製得產物-羥基-苯基丙眯(〇·88 g ,70.5重量% ),爲白色固體’ mP 42-43。(:。 由間甲基苯甲腈製備羥基-3-甲基苯甲眯之反應: -94- 2009415823-Phenylpropionitrile (1 g ' 7.6 mmo 1 ) and hydroxylamine (5 〇 wt% aqueous solution, 0.94 cm3, 15.2 mmol ' 2 eq) in EtOH (7.6 cm3) according to the same procedure as for the preparation of ΛΤ-hydroxybenzhydrazide The reaction was carried out (extraction with EtOAc) to give the product - hydroxy-phenyl propyl hydrazide (p. 88 g, 70.5 wt. (:. Preparation of hydroxy-3-methylbenzimid from m-methylbenzonitrile: -94- 200941582

NOHNOH

間甲基苯甲腈 ΛΓ-趣基-3·甲基苯甲脒 化學式:C8H7N 化學式:CgH1DN20 分子量:117.15 分子;1: 150.18m-Methylbenzonitrile ΛΓ-Fun-3·Methylbenzhydrazine Chemical formula: C8H7N Chemical formula: CgH1DN20 Molecular weight: 117.15 Molecule; 1: 150.18

間甲基苯甲腈(1 g,8.54 mmol )和羥基胺(0.78 cm3,12.8 mmol,1.5 eq)於 EtOH( 8.5 cm3)中之反應係 根據與製備ΛΤ-羥基苯甲脒相同的方法而進行,製得產物 ΛΤ-羥基-3-甲基苯甲眯(1.25 g,97.7重量%),爲白色固 體,mp 92°C (文獻値 88-90。〇 。 由苯乙腈製備羥基-2-苯基乙脒之反應:The reaction of m-methylbenzonitrile (1 g, 8.54 mmol) and hydroxylamine (0.78 cm3, 12.8 mmol, 1.5 eq) in EtOH (8.5 cm3) was carried out in the same manner as in the preparation of hydrazine-hydroxybenzhydrazide. The product ΛΤ-hydroxy-3-methylbenzimidazole (1.25 g, 97.7% by weight) was obtained as a white solid, mp 92 ° C (p. 88-90. 〇. hydroxy-2-benzene from phenylacetonitrile) Reaction of base acetylene:

經基-2-苯基乙脒 化學式:C8H10N2O 分子量:150.18Mercapto-2-phenylethylhydrazine Chemical formula: C8H10N2O Molecular weight: 150.18

化學式:c8h7n 分子置:117.15 nh2ohChemical formula: c8h7n Molecular setting: 117.15 nh2oh

EtOH回流 苯乙腈(1 g,8.5 mmol )和羥基胺(50重量%水溶液 ’ 1.04 cm3,17 mmol,2 eq)於 EtOH ( 8.5 cm3)中根據 備羥基苯甲胱相同的方法進行反應(使用EtOAc 於萃取)’製得產物ΛΤ-羥基-2-苯基乙脒(1.04 g,81.9 重量’爲淡黃色固體,mp 63.5-64.5 °C(文獻値57-59 °C )。 由鄰胺基苯甲腈製備2-胺基-iV,-羥基苯甲眯之反應:EtOH reflux phenylacetonitrile (1 g, 8.5 mmol) and hydroxylamine (50% by weight aqueous solution '1.04 cm3, 17 mmol, 2 eq) in EtOH (8.5 cm3). For the extraction of 'product ΛΤ-hydroxy-2-phenylacetamidine (1.04 g, 81.9 wt' as pale yellow solid, mp 63.5-64.5 ° C (literature 値 57-59 ° C). From o-aminobenzene Preparation of 2-Amino-iV,-hydroxybenzimidazole from carbonitrile:

NH2〇H EtOH回流 903% 鄰胺基苯甲腈 化學式:C7H6N2 分子童:118.14NH2〇H EtOH reflux 903% o-aminobenzonitrile Chemical formula: C7H6N2 Molecular child: 118.14

NOHNOH

胺基經基苯甲脒 化學式:C7H9N30 肝量:151.17 -95- 200941582 鄰胺基苯甲腈(1 g,8.5 mm〇i)和經基胺(5〇重量% 水溶液,0.57 cm3 ’ 9.3 mmol ’ 1 .1 eq )於 EtOH ( 42.5 cm3 )中在回流的情況下攪拌24小時’接著在減壓下除去 揮發性物質,殘餘物於水(5 cm3)和ch2C12(1〇〇 cm3) 間分配。有機層於旋轉蒸發器中蒸發繼之於高真空線內蒸 發至乾燥,製得產物2-胺基羥基苯甲脒(1.16 g, 90.3 重量 %),爲固體,mp 85·86 °C。 由酞腈製備異吲哚啉-1,3-二酮二肟之反應:Amino-based benzoyl hydrazine Chemical formula: C7H9N30 Liver volume: 151.17 -95- 200941582 o-aminobenzonitrile (1 g, 8.5 mm 〇i) and transamine (5 〇 wt% aqueous solution, 0.57 cm3 '9.3 mmol' 1 .1 eq ) was stirred under reflux for 24 hours in EtOH (42.5 cm3). The volatile material was then removed under reduced pressure and the residue was partitioned between water (5 cm3) and ch2C12 (1 〇〇 cm3). The organic layer was evaporated in a rotary evaporator and evaporated to dryness in vacuo to yield product 2-amino hydroxybenzamide (1.16 g, 90.3 wt%) as a solid, mp 85.86 °C. Preparation of isoindoline-1,3-dione dioxime from phthalonitrile:

ϋΒβ 化學式:c«h^ 分子邐::128.13 異吲哚啉-1,3-二酮二肟 化學式:咖聊 肝童:177.16 酞腈(1 g ’ 7.8 mmol )和羥基胺(1 .9 cm3,3 1.2 mmol ’ 4 eq )於EtOH ( 25 cm3 )中在回流的情況下攪拌 60小時’接著在減壓下除去揮發性物質,殘餘物經EtOH (2 cm3 )和CH2C12 ( 2 cm3 )沖洗,製得環狀產物異吲哚 ◎ 啉-1,3 -二酮二肟(1.18 g’ 85.4重量% ),爲淡黃色固體 ,mp 272-275°C (分解)(文獻値 271。〇 。 由2-氰基苯基乙腈製備環化產物3_胺基異喹啉4 ( 4H)-酮脂或3-(經基胺基)_3,4-二氫異嗤啉-1_胺之反應 -96- 200941582ϋΒβ Chemical formula: c«h^ Molecular enthalpy::128.13 Isoporphyrin-1,3-dione dioxime Chemical formula: café: 177.16 phthalonitrile (1 g ' 7.8 mmol ) and hydroxylamine (1.99 cm3) , 3 1.2 mmol ' 4 eq ) was stirred under reflux for 60 hours in EtOH (25 cm3). The volatiles were then removed under reduced pressure and the residue was rinsed with EtOH (2 cm3) and CH2C12 (2 cm3). The cyclic product isoindole oxaline-1,3-dione dioxime (1.18 g' 85.4% by weight) was obtained as a pale yellow solid, mp 272-275 ° C (decomposition) (literature 値 271. 〇. Preparation of cyclized product 3-aminoisoquinoline 4 ( 4H)-ketoester or 3-(transamino)-3,4-dihydroisoindoline-1 -amine by 2-cyanophenylacetonitrile 96- 200941582

2-Λ*苯基乙腈 化學式:CANz 肝量:M2.16 3-胺基異瓣1(4H)備 3·(羥基胺基)·3,4-二氫異嗤琳小胺 化學式:c,h„n3o 分子量:177.20 2-氰基苯基乙腈(1 g,7 mmol )和羥基胺(1.7 cm3 ,28·1 mmol,4 eq)於 EtOH(25 cm3)所形成的溶液在 回流的情況下攪拌60小時,接著在減壓下除去揮發性物 質。殘餘物經EtOH-水(1 : 4,15 cm3 )再結晶,製得環 狀產物3-胺基異喹啉-1(4H)-酮肟或3-(羥基胺基)-3,4-二氫異喹啉-1-胺(1.15 g,85.9重量% ),爲固體, mp 92.5-94.5 °C。 由肉桂腈製備羥基肉桂脒之反應:2-Λ*phenylacetonitrile chemical formula: CANz liver quantity: M2.16 3-aminoiso-valve 1 (4H) prepared 3·(hydroxylamino)·3,4-dihydroisoindolylamine small chemical formula: c, h„n3o Molecular weight: 177.20 2-cyanophenylacetonitrile (1 g, 7 mmol) and hydroxylamine (1.7 cm3, 28.1 mmol, 4 eq) in EtOH (25 cm3) in the form of reflux After stirring for 60 hours, the volatile matter was removed under reduced pressure. The residue was recrystallized from EtOH-water (1: 4, 15 cm3) to give the title product 3-aminoisoquinoline-1(4H)-one. Hydrazine or 3-(hydroxyamino)-3,4-dihydroisoquinolin-1-amine (1.15 g, 85.9 wt%) as a solid, mp 92.5-94.5 ° C. Preparation of hydroxycinnamin from cinnamonitrile reaction:

肉桂脯 化學式:c9h7n 分子量:129.16 ,羥基肉桂脒 化學式:C9H10N2O 分子置:162.19 肉桂腈(1 g,7.74 mmol )和羥基胺(0.71 cm3,1 1.6 mmol,1.5 eq)於 EtOH (7 cm3)中根據 A06 所述反應( 純化時需要進行二次層析分離),製得ΛΤ-羥基肉桂脒( 0.88 g’ 70重量。/。),爲淡橙色固體,mp 85-87°C (文獻 値 93 °C)。 由5-氰基酞內酯製備產物羥基-1-酮基-1,3-二氫異 苯並呋喃-5-甲脒之反應: -97 - 200941582Cinnamon 脯 chemical formula: c9h7n Molecular weight: 129.16, hydroxy-cinnamon hydrazine Chemical formula: C9H10N2O Molecular setting: 162.19 Cinnamonitrile (1 g, 7.74 mmol) and hydroxylamine (0.71 cm3, 1 1.6 mmol, 1.5 eq) in EtOH (7 cm3) The reaction described in A06 (secondary chromatographic separation is required for purification) to obtain hydrazine-hydroxycinnamin (0.88 g' 70 wt%) as a pale orange solid, mp 85-87 ° C (literature 値93 ° C). Preparation of the product hydroxy-1-keto-1,3-dihydroisobenzofuran-5-formamidine from 5-cyano azlactone: -97 - 200941582

N^zOH BOHRT60/J 僻 回舶獨 化學式:c9h5no2 肝纛:159.14 86.2%N^zOH BOHRT60/J secluded back to the original chemical type: c9h5no2 liver sputum: 159.14 86.2%

心羥基-1-酮基·1,3·二氫異苯並呋喃-5-甲脒 化學式:C^HgNA 分子置:192.17Heart hydroxy-1-keto-1,3,dihydroisobenzofuran-5- formazan Chemical formula: C^HgNA Molecular setting: 192.17

5-氰基酞內酯(1 g,6.28 mmol)和羥基胺(50重量 %水溶液,0.77 cm3,0.83 g,12.6 mmol,2 eq)於 EtOH (50 cm3 )所形成的溶液在室溫攪拌60小時,接著在回 流的情況下攪拌3小時。冷卻至室溫並靜置一夜後,過濾 以收集所形成的固體,並於高真空線內乾燥,製得產物 羥基-1-酮基-1,3-二氫異苯並呋喃-5-甲脒(1.04 g, 86.2重量%),爲白色固體,mp 223-226°C (分解)。 由4-氯苯甲腈製備產物4-氯羥基苯甲脒之反應:A solution of 5-cyano azlactone (1 g, 6.28 mmol) and hydroxylamine (50% by weight in water, 0.77 cm3, 0.83 g, 12.6 mmol, 2 eq) in EtOH (50 cm3) was stirred at room temperature 60 After an hour, it was stirred for 3 hours under reflux. After cooling to room temperature and allowing to stand overnight, it was filtered to collect the solid formed, and dried in a high vacuum line to obtain the product hydroxy-1-keto-1,3-dihydroisobenzofuran-5-脒 (1.04 g, 86.2% by weight) as a white solid, mp 223-226 ° C (decomposed). The reaction of 4-chlorobenzhydryl starting from 4-chlorobenzonitrile:

4·*(苯甲脯 化學式:C7H4CIN 肝量:137.57 4-氣^遵基苯甲脒 化學式:C7HtON20 分子童:170.604·*(Benzamidine 脯 Chemical formula: C7H4CIN Liver volume: 137.57 4-gas ^ Benzyl benzamidine Chemical formula: C7HtON20 Molecular child: 170.60

4-氯苯甲腈(1 g,7.23 mmol)和羥基胺(50重量% 水溶液,0.67 cm3,10.9 mmol,1.5 eq)於 EtOH ( 12.5 cm3 )所形成的溶液在回流的情況下攪拌48小時。在減壓 下除去溶劑’殘餘物經CH2C12 ( 10 cm3 )沖洗,製得產物 4-氯- JNT-羥基苯甲脒(0.94 g,76重量%),爲白色固體 ,mp 1 3 3- 1 3 5 °C ° 由3-(本基胺基)丙膳製備iV’-經基- 3-(苯基胺基) -98- 200941582 丙眯之反應:A solution of 4-chlorobenzonitrile (1 g, 7.23 mmol) and hydroxylamine (50% by weight aqueous solution, 0.67 cm3, 10.9 mmol, 1.5 eq) in EtOH (12.5 cm3) was stirred under reflux for 48 hours. The solvent was removed under reduced pressure. The residue was purified eluting with CH.sub.2 C.sub.3 (10 cm3) to give the product 4-chloro-JNT-hydroxybenzamide (0.94 g, 76 wt%) as a white solid, mp 1 3 3- 1 3 Preparation of iV'-trans-yl-3-(phenylamino)-98- 200941582 by 3-(carbylamino)propanol at 5 °C °

3-(苯基胺基)丙腈 化學式:C9H10N2 分子 1: : 146.19 90.1% 1.5eqNH20H EtCH回流 24小時3-(phenylamino)propanenitrile Chemical formula: C9H10N2 Molecular 1: 1: 146.19 90.1% 1.5eqNH20H EtCH reflux 24 hours

V-羥基-3·(苯基胺基)丙眯 化學式:C9H13N30 分子量:179.22 3-(苯基胺基)丙腈(1 g,6.84 mmol)和 NH2〇H( 50 重量 %水溶液,0.63 cm3,10.26 mmol)於 EtOH ( 10 cm3 )所形成的溶液在回流的情況下加熱24小時,接著以V-hydroxy-3·(phenylamino)propanone Chemical formula: C9H13N30 Molecular weight: 179.22 3-(phenylamino)propionitrile (1 g, 6.84 mmol) and NH2〇H (50% by weight aqueous solution, 0.63 cm3, 10.26 mmol) of the solution formed in EtOH (10 cm3) was heated under reflux for 24 hours, then

旋轉蒸發器除去溶劑和過量的羥基胺。於殘餘物中加入水 (10 cm3),及以CH2C12 ( 100 cm3)萃取混合物。萃取 液在減壓下濃縮,殘餘物經管柱層析純化(矽膠,Et20 ) ,製得ΛΤ-羥基-3-(苯基胺基)丙脒(0.77 g,62.8重量 %),爲白色固體,mp 93-95°C (文獻 mp 91-91.5°C)。 由4_吡啶甲腈製備產物ΛΤ-羥基異菸鹼脒之反應: 76.7%The solvent and excess hydroxylamine were removed on a rotary evaporator. Water (10 cm3) was added to the residue, and the mixture was extracted with CH2C12 (100 cm3). The extract was concentrated under reduced pressure, and the residue was purified mjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjjj Mp 93-95 ° C (literature mp 91-91.5 ° C). Reaction of the product ΛΤ-hydroxyisonicotin oxime prepared from 4_pyridine carbonitrile: 76.7%

羥基異菸鐮脒 化學式:C6H7n3o 肝量:137.14Hydroxyl isoniazid Chemical formula: C6H7n3o Liver volume: 137.14

4韻:啶甲腈 化學式: 分子量:104.11 NHjOH EtOH回流 4-吡啶甲腈(1 g,9.6 mmol)和羥基胺(50重量%水 溶液,0.88 cm3,14.4 mmol,1.5 eq)於 EtOH ( 10 cm3) 中在回流的情況下攪拌18小時,接著在減壓下除去揮發 性物質,殘餘物經EtOH再結晶,製得產物羥基異菸 鹼脒(1.01 g,76.7 重量 ,爲固體,mp 203-205 °C。 由山梨醇製備多取代-(2-醯胺肟基)乙氧基)己烷的 氰乙基化作用: -99- 200941582 1. 1升的3頸圓底燒瓶在氮氣下配有機械攪拌器、回 流冷凝管、溫度計和1〇〇 ml添加漏斗。將氫氧化鋰單水 合物(1.0 g,23.8 mmol, 0.036 eq)的水(18.5 ml)溶液 加到燒瓶中,接著以1份方式加入山梨醇(120 g,659 mmol )和水(100 ml )。溶液於水浴中升溫至42°C,和 經由添加漏斗以逐滴丙烯腈(43,6 ml,659 mmol,和1.0 eq)處理之達2小時,且同時維持溫度在42°C。完成加入 之後,溶液升溫至50-5 5°C達4小時,之後冷卻至室溫。 反應藉由加入乙酸(2.5 ml)而被中和,和在室溫靜置一 夜。溶液在減壓下蒸發,製得產物,爲澄清、黏質油狀物 (155.4 g)。 氫氧化四甲基銨可用於替代氫氧化鋰。 元素分析:發現値4 0.9 5重量% C ; 3.8 5重量% N。 IR光譜顯示象徵腈基團的腈峰在2255(^1^1。 2. 1升的3頸圓底燒瓶在氮氣下配有機械攪拌器、回 流冷凝管、溫度計、和1 00 ml添加漏斗。將氫氧化鋰( 1.0 g, 23·8 mmol,0.036 eq)的水(18.5 ml)溶液加到燒 瓶中,接著加入第1份的山梨醇(60.0 g, 329 mmol )和 水(5 0 ml )。溶液於水浴中升溫至42。C,和經由添加漏 斗以逐滴丙烯腈(42 ml, 63 3 mmol, 0.96 eq)處理之達1 小時’且同時維持溫度在42。C。將第2份的山梨醇(60 g,329 mmol )和水(50 ml )加到燒瓶中。以逐滴方式加 入第2份的丙烯腈(89.1 ml, 1.344 mol,2.04 eq),歷經 1小時。完成加入之後,溶液升溫至5 0-5 5 °C達4小時, -100- 200941582 之後冷卻至室溫。反應藉由加入乙酸(2.5 ml )而被中和 ,和在室溫靜置一夜。溶液在減壓下蒸發,製得到產物, 爲澄清、黏質油狀物(228.23 g)。 氫氧化四甲基銨可用於替代氫氧化鋰。 元素分析:發現値49.16重量% C; 10.76重量% N。 IR光譜顯示象徵腈基團的腈峰在2252(^1^1。 3. 配有機械攪拌器、回流冷凝管、氮氣沖洗、滴液 〇 漏斗、和溫度計之1 000 ml的3-頸圓底燒瓶中置入水( 18.5 ml )和氫氧化鋰單水合物(1.75 g)和第1份的山梨 醇(44.8 g)。溶液用水浴邊攪拌受熱至42°C,和將第2 份的山梨醇(39.2 g )直接加到反應燒瓶中。接著經由 5 00 ml添加漏斗將第1份的丙烯腈(100 ml )逐滴加到反 應中,歷經2小時。反應稍微放熱,使溫度增加至51 °C 。加入最後份的山梨醇(32 g),總量達0.638莫耳,接 著加入最後一份的丙烯腈(190 ml ),歷經2.5小時,保 ® 持反應溫度低於60°C (使用的丙烯腈的總量爲4.41莫耳 )。反應溶液之後受熱至50-5 5 °C達4小時。溶液之後冷 卻至室溫,和反應藉由加入乙酸(2.5 ml)而被中和。在 減壓下移除溶劑製得產物,爲澄清、黏質油狀物(324 g )° 氫氧化四甲基銨可用於替代氫氧化鋰。 IR光譜顯示象徵腈基團的腈峰在22 51 cnT1。 4. 製備(1,2,3,4,5,6-(六-(2-醯胺肟基)乙氧基) 己烷己糖醇: -101 - 2009415824 rhyme: pyridine carbonitrile chemical formula: molecular weight: 104.11 NHjOH EtOH reflux 4-pyridine carbonitrile (1 g, 9.6 mmol) and hydroxylamine (50% by weight aqueous solution, 0.88 cm3, 14.4 mmol, 1.5 eq) in EtOH (10 cm3) The mixture was stirred under reflux for 18 hours, then the volatiles were removed under reduced pressure and the residue was recrystallized from EtOH to give the product hydroxyisonicosine oxime (1.01 g, 76.7 weight, solid, mp 203-205 ° C. Preparation of cyanoethylation of polysubstituted-(2-amidinoinyl)ethoxy)hexane from sorbitol: -99- 200941582 1. 1 liter 3-neck round bottom flask equipped with nitrogen under nitrogen Agitator, reflux condenser, thermometer and 1 〇〇 ml addition funnel. A solution of lithium hydroxide monohydrate (1.0 g, 23.8 mmol, 0.036 eq) in water (18.5 ml) was added to the flask, followed by the addition of sorbitol (120 g, 659 mmol) and water (100 ml) in 1 part. . The solution was warmed to 42 ° C in a water bath and treated with dropwise acrylonitrile (43, 6 ml, 659 mmol, and 1.0 eq) via an addition funnel for 2 hours while maintaining the temperature at 42 °C. After the completion of the addition, the solution was warmed to 50-5 5 ° C for 4 hours and then cooled to room temperature. The reaction was neutralized by the addition of acetic acid (2.5 ml) and allowed to stand at room temperature overnight. The solution was evaporated under reduced pressure to give the product as a m. m. Tetramethylammonium hydroxide can be used in place of lithium hydroxide. Elemental analysis: 値4 0.9 5 wt% C; 3.8 5% by weight N was found. The IR spectrum showed a nitrile peak symbolizing the nitrile group at 2255 (^1^1. 2. 1 liter in a 3-neck round bottom flask equipped with a mechanical stirrer, a reflux condenser, a thermometer, and a 100 ml addition funnel under nitrogen. A solution of lithium hydroxide (1.0 g, 23·8 mmol, 0.036 eq) in water (18.5 ml) was added to the flask followed by the first portion of sorbitol (60.0 g, 329 mmol) and water (50 ml) The solution was warmed to 42 ° C in a water bath and treated with dropwise acrylonitrile (42 ml, 63 3 mmol, 0.96 eq) for 1 hour via an addition funnel while maintaining the temperature at 42 ° C. Sorbitol (60 g, 329 mmol) and water (50 ml) were added to the flask. A second portion of acrylonitrile (89.1 ml, 1.344 mol, 2.04 eq) was added dropwise over 1 hour. The solution was warmed to 5 0-5 5 °C for 4 hours, -100-200941582 and then cooled to room temperature. The reaction was neutralized by the addition of acetic acid (2.5 ml) and allowed to stand overnight at room temperature. Evaporation under pressure to obtain the product as a clear, viscous oil (228.23 g). Tetramethylammonium hydroxide can be used in place of lithium hydroxide. Elemental analysis: Found 49.16 wt% C; 10.76 wt% N. IR spectrum showed a nitrile peak symbolizing the nitrile group at 2252 (^1^1. 3. equipped with a mechanical stirrer, reflux condenser, nitrogen flush, drip funnel, Water and water ( 18.5 ml) and lithium hydroxide monohydrate (1.75 g) and the first portion of sorbitol (44.8 g) were placed in a 1-1000 ml 3-neck round bottom flask with a thermometer. To 42 ° C, and the second portion of sorbitol (39.2 g) was added directly to the reaction flask. Then the first portion of acrylonitrile (100 ml) was added dropwise to the reaction via a 500 ml addition funnel. 2 hours. The reaction was slightly exothermic and the temperature was increased to 51 ° C. The final portion of sorbitol (32 g) was added for a total of 0.638 mol, followed by the last acrylonitrile (190 ml) for 2.5 hours. The reaction temperature is below 60 ° C (the total amount of acrylonitrile used is 4.41 moles). The reaction solution is then heated to 50-5 5 ° C for 4 hours. The solution is then cooled to room temperature, and the reaction is carried out. It was neutralized by the addition of acetic acid (2.5 ml). The solvent was removed under reduced pressure to give the product as a clear, viscous oil. 4 g ) ° tetramethylammonium hydroxide can be used to replace lithium hydroxide. IR spectrum shows that the nitrile peak symbolizing the nitrile group is 22 51 cnT1. 4. Preparation (1, 2, 3, 4, 5, 6- (six -(2-amidinocarbyl)ethoxy)hexanehexitol: -101 - 200941582

1 00 0 mL的3頸圓底燒瓶在氮氣下配有機械攪拌器、 冷凝管、和添加漏斗。將CE-Sorb6 ( 14.77 g,29.5 mmol )和水(200 mL)加到燒瓶中且攪拌。在單獨的 500 mL 厄倫美厄(Erlenmeyer )燒瓶中,羥基胺鹽酸鹽(η·47 g, 165 mmol, 5·6 eq )溶於水(178 mL ),接著用氫氧化銨 (22_1 mL 的 28 重量 %溶液,177 mmol,6.0 eq)處理,總 體積達200 mL。之後,在室溫,以1份方式將羥基胺溶 液直接加到圓底燒瓶內的混合物中。受攪拌的混合物受熱 至80°C達2小時,pH = 8-9,接著冷卻至室溫。 羥基胺自由鹼(5 0重量% )水溶液可藉由摻混氯化羥 基胺和氫氧化銨而置換溶液。 IR光譜指出在2250CHT1的腈峰大量的減少和象徵醯 胺目弓或異經照酸的新峰在1660cm-l出現。 聚醯胺肟的製備和分析實質上被描述於 U.S. 3,345,3 44,其整體被倂入文中作爲參考。於該方法中,80 重量份的聚丙烯腈(分子量約13 0,000且爲非成細微的粉 末形式(-300篩目))被懸浮於3 00重量份的硫酸羥基 -102- 200941582 銨、140重量份的氫氧化鈉和2500重量份的去離子水的溶 液。溶液的pH爲7.6。混合物受熱至90°C,且保持在該 溫度達12小時,整個時間在激烈攪拌下。其被冷卻至 3 5 °C,且產物被過濾和用去離子水重複清洗。樹脂在整個 反應期間保持不溶,但藉由化學和加熱而有點變軟。此使 得其從非常細微粉末渐漸變成10至20篩目的小團簇。產 物稱重爲130克。產量總是比理論値大,因爲緊緊咬合的 鹽。產物實質上爲具有下面重複單元之聚醯胺肟: ΟΗ \ ^VNHzA 1000 kmL 3-neck round bottom flask was equipped with a mechanical stirrer, a condenser, and an addition funnel under nitrogen. CE-Sorb6 (14.77 g, 29.5 mmol) and water (200 mL) were added to the flask and stirred. In a separate 500 mL Erlenmeyer flask, hydroxylamine hydrochloride (η·47 g, 165 mmol, 5.6 eq) was dissolved in water (178 mL) followed by ammonium hydroxide (22_1 mL) The 28% by weight solution, 177 mmol, 6.0 eq) was treated to a total volume of 200 mL. Thereafter, the hydroxylamine solution was directly added to the mixture in the round bottom flask in one portion at room temperature. The stirred mixture was heated to 80 ° C for 2 hours, pH = 8-9, and then cooled to room temperature. The aqueous solution of hydroxylamine free base (50% by weight) can be displaced by blending hydroxyamine with ammonium chloride and ammonium hydroxide. IR spectroscopy indicated a large reduction in the nitrile peak at 2250 CHT1 and a new peak symbolizing guanamine or isophthalic acid appeared at 1660 cm-l. The preparation and analysis of polyamidoxime is described in detail in U.S. Patent No. 3,345,357, the entire disclosure of which is incorporated herein by reference. In this method, 80 parts by weight of polyacrylonitrile (molecular weight of about 130,000 and in a non-fine powder form (-300 mesh)) is suspended in 300 parts by weight of hydroxysulfate-102-200941582 ammonium, 140 weight A solution of sodium hydroxide and 2500 parts by weight of deionized water. The pH of the solution was 7.6. The mixture was heated to 90 ° C and maintained at this temperature for 12 hours with vigorous stirring for the entire time. It was cooled to 35 ° C and the product was filtered and washed repeatedly with deionized water. The resin remained insoluble throughout the reaction but was somewhat softened by chemistry and heating. This allows it to gradually change from very fine powder to small clusters of 10 to 20 mesh. The product weighed 130 grams. The output is always larger than the theoretical one because of the tightly bound salt. The product is essentially a polyamidoxime having the following repeating unit: ΟΗ \ ^VNHz

聚醯胺肟 下面描述使用醯胺肟化合物之金屬錯合。Polyamidoguanidine The following describes the metal mismatch using an amidoxime compound.

釀胺肟冑纟劑可代替清潔一調合物和方自中所使用的有 機羧酸、有機羧酸銨鹽或胺羧酸鹽。 -103- 200941582The amine chelating agent can be used in place of the cleansing of the one condensate and the organic carboxylic acid, ammonium amide or amine carboxylic acid salt used herein. -103- 200941582

赚肟基團Earning group

2+ Μ—Ο--2+ Μ—Ο--

R Ν—OH&lt; 4 nh2 Μ-ΟR Ν—OH&lt; 4 nh2 Μ-Ο

R- HO—ΝR- HO-Ν

2個醢胺肟基團捉住1個[Μ]. [ΜΌ]2+;金屬氧化物的離子 關於本發明,如下文更詳細地描述,所請之化合物可 應用至形成本發明之先前技術領域的應用,該先前技術包 括下面U.S專利案,其揭示以其個別整體方式被倂入文中 【實施方式】 本發明具體例的實例 注意:實例中所引用的所有專利案藉由參考有關實例 中所述之組成物和方法中的比例、含量、和成分而被併入 文中。 實例1 文中實例和說明書和槪述之其他地方所參考之專利案 各自以整體方式被倂入作爲參考。一具體例包含在乾蝕刻 步騾之後從半導體基板移除有機金屬和有機矽酸鹽殘留物 的方法。基板曝露至磷酸、氫氟酸、和羧酸(例如,乙酸 -104- 200941582 )的調理溶液(conditioning solution),其移除剩餘的乾 蝕刻殘留物,同時使材料從所欲之基板特徵(substrate features )的移除最小化。調理溶液的大槪比例典型地爲 8 0至9 5重量%的醯胺肟化合物和乙酸,1至1 5重量%的 磷酸、和0.01至5.0重量%的氫氟酸。參見U.S 7,261,835 〇 另一具體例包括使用含有從約0.5重量%至約24重量 %的具有醯胺肟官能基之錯合劑的組成物,此組成物具有 pH介於約1 .5和約6之間且還包括:至少約7 5重量%的 水和有機溶劑的混合物;從約〇·5重量%至約10重量%的 磷酸;隨意地,一或多種其他酸化合物;隨意地,一或多 種含氟(fluoride)之化合物;和至少一種選自氫氧化三 烷基銨和/或氫氧化四烷基銨之鹼性化合物;羥基胺衍生 物;和一或多種烷醇胺類。 ❿ 實例2 表1例示本發明的其他具體例,其中調合物於方法中 額外地包括從約0.5重量%至約24重量%具有醯胺肟官能 基之化合物。該等調合物可包含與此應用符合的額外成分 ,例如界面活性劑、鹼性成分、和有機溶劑。 -105- 200941582 H3P〇4 (重量%) 其他酸 重量% 2 甲磺酸 1.47 2 焦磷酸(PPA) 3.0 2 氟矽酸 0.24 2 草酸 2.0 4 草酸 2.0 6 乙醇酸 1.0 3 草酸 2.0 3 乳酸 2.0 4 乳酸 2.0 3 檸檬酸 2.0 4 檸檬酸 2.0 3 PPA 0.5 3 乙醇酸 2.0 6 乙醇酸 2.0 3 PPA 2.0 3 PPA 4.0 表1.具有以螯合劑方式使用的本發明醯胺肟化合物的有用調合物的實例 實例3 另一具體例爲用於清潔或蝕刻半導體基板之組成物和 使用彼之方法。組成物包括從約0.01重量%至約50重量% (更佳地約0.5重量%至約24重量%)的具有醯胺肟官能 基之化合物,可包括含氟之化合物作爲活性劑,例如,氟 化四級銨、氟化四級鱗、氟化鏡,更一般地,氟化鎗或氟 化“多’’四級鎗’其包括藉由一或多個含碳基團一起連接的 2或更多個四級-鑰基團。組成物可另外包括pH調節酸, 例如’無機酸、羧酸、二羧酸、磺酸、或其組合,以使 pH約2至9。組成物可爲無水和可另外包括有機溶劑,例 -106- 200941582 如,醇、醯胺、醚、或其組合。組成物用於得到各種基板 的經改善的蝕刻速率、蝕刻選擇性、蝕刻均勻性和清潔標 準。 實例4 於另一具體例中,本發明可配合用於從微機電系統( MEMS)和具有此類犧牲層之其他半導體基板移除含矽之 ❹ 犧牲層之方法和組成物使用。蝕刻組成物包括超臨界流體 (SCF )、蝕刻劑、共溶劑、含有至少一個醯胺肟基團之 螯合劑、和隨意地界面活性劑。此類蝕刻組成物克服 SCFs作爲清潔劑的固有缺陷,那就是,SCFs的非極性和 其無法溶解必須從半導體基板移除之極性物質的相關能力 。所得到的經蝕刻的基板,相對於使用慣用濕蝕刻技術蝕 刻之基板,發生黏連的現像較少。參見U.S 7,160,815。 ® 實例5 於另一具體例中,本發明使用以超臨界流體(SFC) 爲底的組成物,其包括至少一種共溶劑、至少一種飩刻劑 、和隨意地至少一種界面活性劑,其中該至少一種蝕刻劑 包括二氟化烷基辚,和其中該以SFC爲底之組成物係用於 蝕刻含矽之犧牲層,該組成物包含從約〇.〇1重量%至約 50重量% (較佳地,約〇.5重量%至約24重量%)的具有 一或多個螯合基團之化合物’該螯合基團中之至少一者爲 醯胺肟官能基。於另一具體例中,界面活性劑包括至少一 -107- 200941582 種非離子性或陰離子性界面活性劑、或其組合,且界面活 性劑較佳地爲選自下列之非離子性界面活性劑:氟烷基界 面活性劑、聚乙二醇、聚丙二醇、聚乙烯醚、聚丙二醇醚 、羧酸鹽類、十二基苯磺酸;十二基苯磺酸鹽類、聚丙烯 酸酯聚合物、二壬基苯基聚氧乙烯、聚矽氧聚合物、改質 的聚矽氧聚合物、炔系二醇類、改質的炔系二醇類、烷基 銨鹽類、改質的烷基銨鹽類、和含有至少一種前述者之組 合。 實例6 本發明的另一具體例爲用於半導體加工之組成物,其 中組成物包括水、磷酸、和有機酸;其中有機酸爲抗壞血 酸或爲具有2或更多個羧酸基團之有機酸(例如,檸檬酸 )。該組成物包含從約0.01重量%至約50重量%(較佳地 約0.5重量%至約24重量% )的具有一或多個螯合基團/試 劑之化合物,該螯合基團中之至少一者爲醯胺肟官能基/ 化合物,且此類化合物可爲部分或全部取代有機酸。水的 存在量可爲組成物的約40重量%至約85重量%,磷酸的 存在量可爲組成物的約〇.〇1重量%至約10重量%,和有機 酸的存在量可爲組成物的約1 〇重量%至約60重量%。組 成物可用於清潔各種表面,例如,藉由將表面曝露至組成 物而得的圖案化金屬層和導線孔。參見U.S 7,135,444。 實例7 -108- 200941582 本發明亦可配合用於拋光表面之拋光液體組成物使用 ’該表面的一個具體例包括絕緣層和金屬層,拋光液體組 成物包括具有6或更多個碳原子且結構爲2或更多個相鄰 碳原子各自在分子內具有羥基之化合物和水,其中具有結 構爲2或更多個相鄰碳原子各自在分子內具有羥基之化合 物係由式(I)表示:Rl--X--(CH2)q--[CH(OH)]n-CH2OH( I) ’其中R1爲具有1至12個碳原子之烴基團;X爲( ❹ CH2)m所示之基團(其中m爲1)、氧原子、硫原子、 COO基團、〇CO基團、NR2或0(R20) Ρ(Ο) Ο所示之 基團(其中R2爲氫原子或具有1至24個碳原子之烴基團 );4爲〇或1;和η爲1至4之整數,另外包括從約 〇 . 〇 1重量%至約5 0重量% (較佳地約〇. 5重量%至約2 4重 量%)的具有一或多個螯合基團/試劑之化合物,該螯合基 團中之至少一者爲醯胺肟官能基/化合物,且此類化合物 可爲部分或全部取代有機酸。一些具體例包括硏磨劑。參 β 見 U.S 7,118,685 。 實例8 本發明的另一具體例爲用於半導體加工之組成物,其 中組成物包括水、磷酸、和有機酸;其中有機酸爲抗壞血 酸或爲具有2或更多個羧酸基團之有機酸(例如,檸檬酸 )’另外包括從約〇.01重量%至約5〇重量% (較佳地約 0.5重量°/〇至約24重量% )的具有一或多個螯合基團/試劑 之化合物’該蜜合基團中之至少一者爲醯胺肟官能基/化 -109- 200941582 合物,且此類化合物可爲部分或全部取代有機酸。水的存 在量可爲組成物的約40重量%至約85重量%,磷酸的存 在量可爲組成物的約0.01重量%至約10重量°/。,和有機酸 的存在量可爲組成物的約10重量%至約60重量%。組成 物可用於清潔各種表面,例如,藉由將表面曝露至組成物 而得的圖案化金屬層和導線孔。參見U.S 7,087,561、 7,067,466 和 7,029,588 ° 實例9 於本發明的另一具體例中,從約0.01重量%至約50 重量% (較佳地約0·5重量%至約24重量% )的具有一或 多個螯合基團/試劑之化合物(該螯合基團中之至少一者 爲醯胺肟官能基/化合物)可與用於污染物原位氧化的氧 化溶液和方法一起使用,該污染物包括烴、有機體、細菌 、膦酸、和其他污染物,該污染物被發現於各種表面和介 質中,該介質包括土壤、淤泥、和水。於較佳具體例中, 溶液另外包括過氧化合物(例如,過氧化氫),其係與羧 酸和鹵素鹽(例如,乙醇酸和溴化鈉)的預混合溶液成溶 液狀,分別地。 實例10 於本發明的另一具體例中,從約0.0 1重量%至約5重 量% (較佳地約0.01重量%至約0.1重量%)的具有一或 多個螯合基團/試劑之化合物(該螯合基團中之至少一者 -110- 200941582 爲醯胺肟官能基/化合物)可與化學機械拋光漿料一起使 用,該漿料無雜多酸且實質上係由約3至約5重量%的硏 磨劑、約3至約5重量%的過氧化氫、約0.05至約〇.1重 量%的檸檬酸、約〇.〇5至約0.5重量%的亞胺基二乙酸、 約0.005至約0.02重量%的氨、和約85-90重量%的水組 成,其中硏磨劑實質上係由聚甲基丙烯酸甲酯組成。參見 U.S 7,029,3 73 ° ❿ 實例1 1 : 於另一具體例中,本發明包括用於從基板移除殘留物 之無腐蝕性清潔組成物,該組成物包括:(a )水;(b ) 至少一種羥基銨化合物;(c)至少一種鹼性化合物,較 佳地係選自胺和氫氧化四級銨;(d)至少一種有機羧酸 ;(e)從約0.01重量%至約50重量% (較佳地約0.5重 量%至約24重量%)的具有一或多個螯合基團/試劑之化 ❹ 合物,該螯合基團中之至少一者爲醯胺肟官能基/化合物 ’且此類化合物可爲部分或全部取代有機酸;和(f)隨 意地,多羥基化合物。組成物的pH較佳地爲介於約2至 約6之間。參見U.S 7,001,874,其倂入文中作爲參考。 實例12 本發明亦可配合清潔溶液使用,其中清潔溶液亦包含 多價羧酸和其鹽中之一者,例如其中多價羧酸包含選自下 列之至少一者:草酸、檸檬酸、蘋果酸、順丁烯二酸、琥 -111 - 200941582 珀酸、酒石酸、和丙二酸,其中清潔溶液包含從約 重量%至約50重量% (較佳地約0.5重量%至約24重 )的具有一或多個螯合基團/試劑之化合物,該螯合 中之至少一者爲醯胺肟官能基/化合物,且此類化合 爲部分或全部取代有機酸,其可用於部分或全部取代 羧酸。於另一具體例中,清潔溶液另外包含聚胺基羧 其鹽。參見 U.S 6,998,3 52。 實例1 3 : 本發明的另一具體例爲化學機械性拋光基板之方 該方法包括:(i)使基板(包括至少一層釕和至少 銅)與拋光墊和化學機械拋光組成物接觸,該組成物 :(a)硏磨劑(係由經負電荷的聚合物或共聚物處 的α-氧化鋁組成),(b)過氧化氫,(c)從約〇·〇 量%至約5 0重量% (較佳地約0 · 5重量%至約2 4重量 的具有一或多個螯合基團/試劑之化合物,該螯合基 之至少一者爲醯胺肟官能基/化合物,(d )至少一種 化合物,其中該至少一種雜環化合物包括至少一個氮 ’ (e )膦酸,和(f)水;(ii )相對於基板,移動2 amidoxime groups capture 1 [Μ]. [ΜΌ]2+; ions of metal oxides. With respect to the present invention, as described in more detail below, the claimed compounds can be applied to the prior art forming the present invention. Application of the field, the prior art includes the following US patents, the disclosure of which is incorporated herein by reference in its entirety, in its entirety, in its entirety, The ratios, contents, and ingredients in the compositions and methods are incorporated herein. EXAMPLES The examples and the patents referred to elsewhere in the specification and the description are each incorporated by reference in their entirety. A specific example includes a method of removing organometallic and organic citrate residues from a semiconductor substrate after a dry etch step. The substrate is exposed to a conditioning solution of phosphoric acid, hydrofluoric acid, and a carboxylic acid (eg, acetic acid-104-200941582), which removes the remaining dry etching residue while allowing the material to be from the desired substrate characteristics (substrate The removal of features is minimized. The large proportion of the conditioning solution is typically from 80 to 95% by weight of the amidoxime compound and acetic acid, from 1 to 15% by weight of phosphoric acid, and from 0.01 to 5.0% by weight of hydrofluoric acid. See US 7,261,835. Another embodiment includes the use of a composition comprising from about 0.5% to about 24% by weight of a complexing agent having an amidoxime functional group, the composition having a pH of between about 1.5 and about Between 6 and further comprising: at least about 75 wt% of a mixture of water and an organic solvent; from about 5% by weight to about 10% by weight of phosphoric acid; optionally, one or more other acid compounds; optionally, one Or a plurality of fluorine-containing compounds; and at least one basic compound selected from the group consisting of trialkylammonium hydroxide and/or tetraalkylammonium hydroxide; a hydroxylamine derivative; and one or more alkanolamines.实例 Example 2 Table 1 illustrates other specific examples of the invention wherein the blend additionally includes from about 0.5% to about 24% by weight of the compound having an amidoxime functional group in the process. The blends may contain additional ingredients compatible with the application, such as surfactants, alkaline ingredients, and organic solvents. -105- 200941582 H3P〇4 (% by weight) Other acid weight% 2 Methanesulfonic acid 1.47 2 Pyrophosphoric acid (PPA) 3.0 2 Fluoric acid 0.24 2 Oxalic acid 2.0 4 Oxalic acid 2.0 6 Glycolic acid 1.0 3 Oxalic acid 2.0 3 Lactic acid 2.0 4 Lactic acid 2.0 3 Citric acid 2.0 4 Citric acid 2.0 3 PPA 0.5 3 Glycolic acid 2.0 6 Glycolic acid 2.0 3 PPA 2.0 3 PPA 4.0 Table 1. Examples of useful blends of the amidoxime compounds of the invention used in the form of chelating agents Example 3 Another specific example is a composition for cleaning or etching a semiconductor substrate and a method of using the same. The composition comprises from about 0.01% to about 50% by weight (more preferably from about 0.5% to about 24% by weight) of a compound having an amidoxime functional group, which may include a fluorine-containing compound as an active agent, for example, fluorine. Quaternary ammonium, fluorinated quaternary scales, fluorinated mirrors, and more generally, fluorinated guns or fluorinated "multiple" 'four-stage guns' which include 2 or more connected by one or more carbon-containing groups More quaternary-key groups. The composition may additionally comprise a pH adjusting acid, such as 'inorganic acid, carboxylic acid, dicarboxylic acid, sulfonic acid, or a combination thereof, to bring the pH to about 2 to 9. The composition may be Anhydrous and may additionally include an organic solvent, for example, -106-200941582, such as an alcohol, a guanamine, an ether, or a combination thereof. The composition is used to obtain improved etch rate, etch selectivity, etch uniformity, and cleaning standards for various substrates. Example 4 In another embodiment, the present invention can be used in conjunction with methods and compositions for removing germanium-containing sacrificial layers from microelectromechanical systems (MEMS) and other semiconductor substrates having such sacrificial layers. Contains supercritical fluid (SCF), etchant, co-solvent a chelating agent containing at least one amidoxime group, and optionally a surfactant. Such etching compositions overcome the inherent drawback of SCFs as a cleaning agent, that is, the non-polarity of SCFs and their inability to dissolve must be removed from the semiconductor substrate. In addition to the relative ability of the polar material, the resulting etched substrate exhibits less adhesion than the substrate etched using conventional wet etching techniques. See US 7,160,815. Example 5 In another specific example, The invention uses a supercritical fluid (SFC) based composition comprising at least one cosolvent, at least one encapsulating agent, and optionally at least one interfacial agent, wherein the at least one etchant comprises an alkyl fluorinated difluoride And wherein the SFC-based composition is used to etch a sacrificial layer containing ruthenium, the composition comprising from about 0.1% by weight to about 50% by weight (preferably, about 5% by weight to About 24% by weight of the compound having one or more chelating groups' at least one of the chelating groups is an amidoxime functional group. In another embodiment, the surfactant comprises at least one -1 07-200941582 Nonionic or anionic surfactants, or a combination thereof, and the surfactant is preferably a nonionic surfactant selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, poly Propylene glycol, polyvinyl ether, polypropylene glycol ether, carboxylate, dodecylbenzenesulfonic acid; dodecylbenzenesulfonate, polyacrylate polymer, dinonylphenyl polyoxyethylene, polyoxyalkylene polymerization And modified polyoxyl polymers, acetylene glycols, modified acetylenic diols, alkylammonium salts, modified alkyl ammonium salts, and combinations comprising at least one of the foregoing. Example 6 Another specific example of the present invention is a composition for semiconductor processing, wherein the composition includes water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or an organic acid having 2 or more carboxylic acid groups (for example, citric acid). The composition comprises from about 0.01% to about 50% by weight (preferably from about 0.5% to about 24% by weight) of a compound having one or more chelating groups/agents in the chelating group At least one is an amidoxime functional group/compound, and such compounds may be partially or fully substituted organic acids. The water may be present in an amount from about 40% to about 85% by weight of the composition, the phosphoric acid may be present in an amount from about 重量1 to about 10% by weight of the composition, and the organic acid may be present in the composition. From about 1% by weight to about 60% by weight of the substance. The composition can be used to clean various surfaces, for example, patterned metal layers and wire holes by exposing the surface to the composition. See U.S. 7,135,444. Example 7 -108- 200941582 The present invention can also be used in conjunction with a polishing liquid composition for polishing a surface. One specific example of the surface includes an insulating layer and a metal layer, and the polishing liquid composition includes a structure having 6 or more carbon atoms. a compound having water and a water having a hydroxyl group in each of two or more adjacent carbon atoms, wherein a compound having a structure in which two or more adjacent carbon atoms each have a hydroxyl group in the molecule is represented by the formula (I): Rl--X--(CH2)q--[CH(OH)]n-CH2OH(I) 'wherein R1 is a hydrocarbon group having 1 to 12 carbon atoms; and X is a group represented by (❹CH2)m a group (wherein m is 1), an oxygen atom, a sulfur atom, a COO group, a hydrazine CO group, NR2 or a group represented by 0(R20) Ρ(Ο) ( (wherein R 2 is a hydrogen atom or has 1 to 24 a hydrocarbon atom of one carbon atom); 4 is ruthenium or 1; and η is an integer of from 1 to 4, and further comprises from about 0.1% by weight to about 50% by weight (preferably about 5% by weight to About 24% by weight of a compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound, and such compounds are Part or all of an organic acid substitution. Some specific examples include honing agents. See β for U.S. 7,118,685. Example 8 Another specific example of the present invention is a composition for semiconductor processing, wherein the composition includes water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or an organic acid having 2 or more carboxylic acid groups (e.g., citric acid) 'further comprising from about 0.1% by weight to about 5% by weight (preferably from about 0.5% by weight to about 24% by weight) having one or more chelating groups/reagents The compound 'at least one of the honey-binding groups is an amidoxime functional group/chemical-109-200941582 compound, and such a compound may be a partially or fully substituted organic acid. Water may be present in an amount from about 40% to about 85% by weight of the composition, and phosphoric acid may be present in an amount from about 0.01% to about 10% by weight of the composition. And the organic acid may be present in an amount from about 10% to about 60% by weight of the composition. The composition can be used to clean various surfaces, for example, patterned metal layers and wire holes by exposing the surface to the composition. See US 7,087,561, 7,067,466 and 7,029,588 ° Example 9 In another embodiment of the invention, from about 0.01% to about 50% by weight (preferably from about 0.5% by weight to about 24% by weight) having one or Compounds of a plurality of chelating groups/agents (at least one of which are amidoxime functional groups/compounds) can be used with oxidizing solutions and methods for the in situ oxidation of contaminants. These include hydrocarbons, organisms, bacteria, phosphonic acids, and other contaminants found in a variety of surfaces and media including soil, sludge, and water. In a preferred embodiment, the solution additionally comprises a peroxy compound (e.g., hydrogen peroxide) in a solution with a premixed solution of a carboxylic acid and a halogen salt (e.g., glycolic acid and sodium bromide), respectively. Example 10 In another embodiment of the invention, from about 0.01% to about 5% by weight (preferably from about 0.01% to about 0.1% by weight) of one or more chelating groups/reagents A compound (at least one of the chelating groups -110-200941582 is an amidoxime functional group/compound) can be used with a chemical mechanical polishing slurry that is free of heteropolyacids and is substantially from about 3 to About 5% by weight of honing agent, about 3 to about 5% by weight of hydrogen peroxide, about 0.05 to about 0.1% by weight of citric acid, about 〇5 to about 0.5% by weight of iminodiacetic acid A composition of from about 0.005 to about 0.02% by weight ammonia, and from about 85 to 90% by weight water, wherein the honing agent consists essentially of polymethyl methacrylate. See US 7,029, 3 73 ° 实例 Example 1 1 : In another embodiment, the invention includes a non-corrosive cleaning composition for removing residues from a substrate, the composition comprising: (a) water; (b At least one hydroxyammonium compound; (c) at least one basic compound, preferably selected from the group consisting of an amine and a quaternary ammonium hydroxide; (d) at least one organic carboxylic acid; (e) from about 0.01% to about 50% % by weight (preferably from about 0.5% to about 24% by weight) of a ruthenium complex having one or more chelating groups/reagents, at least one of which is an amidoxime functional group / compound 'and such compounds may be partially or fully substituted organic acids; and (f) optionally, polyhydroxy compounds. The pH of the composition is preferably between about 2 and about 6. See U.S. 7,001,874, incorporated herein by reference. EXAMPLE 12 The present invention may also be used in combination with a cleaning solution, wherein the cleaning solution also comprises one of a polyvalent carboxylic acid and a salt thereof, for example, wherein the polyvalent carboxylic acid comprises at least one selected from the group consisting of oxalic acid, citric acid, malic acid , maleic acid, amber-111 - 200941582 tartaric acid, tartaric acid, and malonic acid, wherein the cleaning solution comprises from about 5% by weight to about 50% by weight (preferably from about 0.5% by weight to about 24% by weight) a compound of one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound, and such a compound is a partially or fully substituted organic acid which may be used to partially or fully replace the carboxylic acid acid. In another embodiment, the cleaning solution additionally comprises a polyaminocarboxylate salt. See U.S. 6,998, 3 52. Example 1 3: Another embodiment of the invention is a chemically mechanical polishing of a substrate. The method comprises: (i) contacting a substrate (including at least one layer of germanium and at least copper) with a polishing pad and a chemical mechanical polishing composition, the composition (a) a honing agent (composed of alpha-alumina at a negatively charged polymer or copolymer), (b) hydrogen peroxide, (c) from about 〇·〇% to about 50 % by weight (preferably from about 0.5% by weight to about 24% by weight of a compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound, ( d) at least one compound, wherein the at least one heterocyclic compound comprises at least one nitrogen '(e)phosphonic acid, and (f) water; (ii) moves relative to the substrate

墊;和(iii )硏磨至少一部份的基板以拋光基板,其 和溶解於或懸浮於其中之任何成分的pH爲約6至約 其中該至少一層釕和至少一層銅爲電連接且與拋光組 接觸,其中介於銅的斷路電位和釕的斷路電位在水和 於或懸浮於其中之任何成分中之間的差値爲約50 mV 0.0 1 :量% 基團 物可 多價 酸和 法, 一層 包括 理過 1重 :%) 團中 雜環 原子 抛光 中水 12, 成物 溶解 或更 -112- 200941582 小,和其中對拋光銅的選擇性相較於釕爲約2或更小。 實例1 4 : 本發明的另一具體例係關於半導體晶圓清潔調合物, 其包括1-21重量%的氟化物來源、20-5 5重量%的有機胺 、0.5-40重量%的氮成分(例如,含氮之羧酸或胺)、23-50重量%的水、和0-21重量%的具有一或多個螯合基團/ 〇 試劑之化合物,該螯合基團中之至少一者爲醯胺肟官能基 /化合物。調合物用於從晶圓移除殘留物,其係接在光阻 劑電漿灰化步驟之後,例如,從含有精細銅互連結構之半 導體晶圓移除無機殘留物。參見U.S 6,9 67,169。 實例1 5 : 本發明亦包括化學機械拋光銅、阻障材料和介電材料 之方法,該方法包括下列步驟:a)提供第一化學機械拋 © 光漿料,其包括(i) 1-10重量%的二氧化矽顆粒、(ii) 1-12重量%的氧化劑、和(iii ) 0-2重量%的腐鈾抑制劑和 清潔劑,其中該第一漿料在銅上具有較高的移除速率,相 對於在阻障材料上具有較低的移除速率;b)用該第一漿 料化學機械拋光半導體晶圓表面;c)提供第二化學機械 拋光漿料,其包括(i) 1-1〇重量%的二氧化矽顆粒、(ii )〇·1-1.5重量%的氧化劑、和(iii ) 0.1-2重量%的羧酸, 其PH在從約2至約5之範圍內,其中(Π)含量不會多 於(Ui)的含量,和其中該第二漿料在該阻障材料上具有 -113- 200941582 較大的移除速率,相對於在該介電材料上具有較小的移除 速率,和在在銅上具有中等的移除速率;和d)用該第二 漿料化學機械拋光該半導體晶圓表面,其中一或兩種漿料 包含從約0.01重量%至約50重量% (較佳地約0.5重量% 至約24重量%)的具有一或多個螯合基團/試劑之化合物 ’該螯合基團中之至少一者爲醯胺肟官能基/化合物。參 見 U.S 6,936,542 。 實例1 6 : 本發明另外包括清潔基板表面之方法,其至少包括下 面步驟(1)和(2) ’其中步驟(2)是在實施步驟(i) 之後予以實施;步驟(1):用含有錯合劑之鹼性清潔劑 清潔基板表面之清潔步驟,和步驟(2):使用具有氫氟 酸含量C (重量%)從0.03至3重量%之清潔劑的清潔步 驟,其中錯合劑爲從約〇.〇1重量%至約50重量% (較佳地 約0_5重量%至約24重量% )的具有一或多個螯合基團/試 劑之化合物,該螯合基團中之至少一者爲醯胺肟官能基/ 化合物。參見U.S 6,896,744。 實例1 7 : 本發明的另一具體例包括藉由使竣酸和/或具有一或 多個螯合基團/試劑之化合物(該螯合基團中之至少一者 爲酿胺聘官能基/化合物)蒸發而得到的清潔氣體,該氣 體被供應至具有黏附至其內部之絕緣物質的處理室,和排 -114- 200941582 空處理室的內部。當供應至處理室之清潔氣體與黏附至處 理室內之內壁和感受器之絕緣物質接觸’絕緣物質變成錯 合物,使得絕緣物質的錯合物被形成。絕緣物質的錯合物 易被蒸發,由於其高蒸汽壓。絕緣物質的受蒸發錯合物藉 由抽空而從處理室排放。參見u.s 6,893,964。 實例1 8 : 0 本發明包括用蝕刻殘留移除化學品處理基板之後沖洗 經金屬化的半導體基板之方法,該方法包括步驟:提供至 少一種經金屬化的半導體基板,該基板在其上具有蝕刻殘 留移除化學品,其中蝕刻殘留移除化學品包括N-甲基吡 咯啶酮;從基板沖洗掉蝕刻殘留移除化學品和藉由用含有 最小化金屬腐蝕之有效量之抗腐蝕劑之水性介質沖洗基板 而最小化基板的金屬腐蝕,該抗腐蝕劑包括選自單-和多 羧酸之有機酸;從加工容器中移除水性介質;和將乾燥蒸 〇 汽引導至加工容器,該基板在加工容器內實質上保持固定 ,其中移除劑包括從約0_01重量%至約50重量。/。(較佳地 約0.5重量%至約24重量% )的具有一或多個螯合基團/試 劑之化合物,該螯合基團中之至少一者爲醯胺肟官能基/ 化合物’其可爲部分或全部取代有機酸。組成物可另外包 括乙酸。參見U.S 6,878,213。 實例1 9 : 本發明亦可配合U.S 6,849,200的組成物使用,其中 -115- 200941582 亞胺一乙酸成分被具有一或多個螯合基團/試劑之化合物 補充或替代,該螯合基團中之至少一者爲醯胺肟官能基/ 化合物。 實例20 : 本發明亦包括清潔含銅材料表面之方法,係藉由將表 面曝露至含有N03-、F·、和—或多種具有一或多個螯合 基團/試劑之化合物之酸性混合物,該蜜合基團中之至少 一者爲酸胺I弓官能基/化合物。混合物亦可包括一或多種 有機酸,以至少移除一些顆粒。參見U.S 6,83 5,668。 實例2 1 : 本發明亦包括清潔組成物’該組成物包括氟化物鹽類 和氫二氟化物鹽類(hydrogdendifluoride salts)中之至少 一者;具有雜原子之有機溶劑;隨意地一或多種界面活性 劑(含量爲從0.0001至10.0% ):水和從約〇.〇1重量。/0至 約50重量% (較佳地約0.5重量%至約24重量% )的具有 一或多個螯合基團/試劑之化合物,該螯合基團中之至少 一者爲醯胺肟官能基/化合物。參見U.S 6,831,048。 實例2 2 : 本發明另外包括用於清潔半導體基板之不含乙二醇之 組成物,該組成物實質上係由下列組成:a.具有選自羧酸 和多元酸和酸的銨鹽(酸對銨鹽的莫耳比範圍從10: 1至 -116- 200941582 1 : 10)之酸的酸性緩衝溶液,和其中酸性緩衝溶液的存 在量足以維持組成物的pH從約3至約6 ; b.從30重量% 至9 0重量%的有機極性溶劑,其以所有比例與水可溶混的 ;c.從0.1重量%至20重量%的氣化物;d·從0.5重量%至 4 0重量%的水;和e ·隨意地至多1 5重量%的腐蝕抑制劑。 組成物另外包含從約〇 · 〇 1重量%至約5 0重量% (較佳地約 0.5重量%至約24重量% )的具有一或多個螯合基團/試劑 ❹ 之化合物,該螯合基團中之至少一者爲醯胺肟官能基/化 合物,或此類化合物可被用來替代腐蝕抑制劑。參見U.S 6,828,289 ° 實例2 3 : 本發明另外包括含有AEEA和/或AEEA衍生物之組成 物,該AEEA和/或AEEA衍生物的存在量範圍可從約1% 至約99%,雖然於多數例子中,含量範圍從約1〇重量%至 © 約85重量%。關於針對文中所述之各種組成物所給予的每 —AEEA範圍’有“高-AEEA”具體例,其中AEEA的含量 在範圍的上半部內,和“低-AEEA”具體例,其中AEEA的 存在量被範圍的下半部所限制。一般地,針對所選取的基 板’較高的AEEA具體例顯示比低AEEA具體例低的蝕刻 速率,具體例另外包括從約〇 · 〇 1重量%至約5 0重量% (較 佳地約0.5重量°/。至約24重量% )的具有一或多個螯合基 團/試劑之化合物,該螯合基團中之至少一者爲醯胺肟官 能基/化合物。於多數具體例中,這些組成物亦包括其他 -117- 200941582 化合物,特別是極性有機溶劑、水、烷醇胺、羥基胺、額 外的螯合劑、和/或腐蝕抑制劑。參見U.S 6,825,156。 實例2 4 ; 用於清除光阻劑和從基板清潔殘留物之組成物和用於 二氧化矽蝕刻之組成物,其包括從約〇.〇1重量%至約10 重量%的一或多種氟化物化合物、從約10重量%至約95 重量%的亞砸或碾溶劑、和從約20重量%至約50重量%的 水,另外包括從約〇.〇1重量%至約50重量% (較佳地約 0.5重量%至約24重量% )的具有一或多個螯合基團/試劑 之化合物,該螯合基團中之至少一者爲醯胺肟官能基/化 合物。組成物可包含腐蝕抑制劑、螯合劑、共溶劑、鹼性 胺化合物、界面活性劑、酸和鹼。參見U.S 6,777,380。 實例2 5 : 用於拋光半導體基板之拋光組成物具有低於5.〇之pH ,和包括(a)含有經聚合的不飽和羧酸單體且數目平均 分子量約20,000至1,500,000之竣酸聚合物,或經聚合的 不飽和羧酸單體的高和低數目平均分子量聚合物的摻混物 ’ (b) 1至15重量%的氧化劑’ (c)至多3.0重量%的 硏磨劑顆粒’ (d) 50-5,000 ppm (百萬分點)的抑制劑, (e )至多3.0重量%的錯合劑(例如,蘋果酸),和(f )0.1至5.0重量%的界面活性劑,從約〇·〇ι重量%至約 50重量% (較佳地約〇·5重量%至約24重量% )的具有— -118- 200941582 或多個螯合基團/試劑之化合物,該螯合基團中之至少一 者爲醯胺肟官能基/化合物。參見U.S 6,6 79,928。 實例26 : 利用水性組成物從表面(例如,含有銅鑲嵌或雙重鑲 嵌特徵之半導體晶圓)移除微粒和金屬離子污染物,該水 性組成物包括含氟(fluoride )之化合物;二竣酸和/或其 Φ 鹽;和羥基羧酸和/或其鹽,組成物包含從約0.01重量% 至約50重量% (較佳地約0.5重量%至約24重量% )的具 有一或多個螯合基團/試劑之化合物,該螯合基團中之至 少一者爲醯胺肟官能基/化合物。參見U.S 6,673,757。 實例2 7 : 半導體晶圓清潔調合物包括2-98重量%的有機胺、0-50重量%的水、0.1-60重量%的1,3-二羰基化合物螯合劑 φ 、0-25重量%的額外不同螯合劑、0.5-40重量%的含氮羧 酸或亞胺、和2-98重量%的極性有機溶劑。調合物用於從 晶圓移除殘留物,其係接在光阻劑電漿灰化步驟之後,例 如,從含有精細銅互連結構之半導體晶圓移除無機殘留物 實例2 8 : 本發明的另一具體例係關於用於從蝕刻器裝備元件移 除蝕刻殘留物之方法。所使用的組成物爲含有氟化物和極 -119- 200941582 性有機溶劑之水性酸性組成物。組成物爲不含乙二醇和經 基胺且具有低表面張力和黏質’和另外包括從約〇 〇1重 量%至約5 0重量% (較佳地約〇 . 5重量%至約2 4重量% ) 的具有一或多個蜜合基團/試劑之化合物,該螯合基團中 之至少一者爲醯胺肟官能基/化合物。參見U.S 6,656,894 實例2 9 : 本發明包括清潔含銅材料表面之方法,其係藉由將表 面曝露至酸性混合物中,該混合物包含N03-、F -和從約 0·01重量%至約50重量% (較佳地約0.5重量%至約24重 量%)的具有一或多個螯合基團/試劑之化合物,該螯合基 團中之至少一者爲醯胺肟官能基/化合物和/或一或多種具 有羧酸鹽基團之有機酸陰離子。本發明亦包括對含銅材料 形成開口之經改善的半導體加工方法。在基板開口內的含 銅-材料上形成塊體(mass)。塊體包含氧化物阻障材料 和介電材料中之至少一者。第二開口是蝕刻穿過塊體進入 含銅材料,形成含銅材料的基本表面,該表面至少部分地 被含有氧化銅、二氧化矽或氟化銅中之至少一者之顆粒所 覆蓋。基本表面用含有硝酸、氫氟酸和一或多種有機酸之 溶液清潔,以至少移除一些顆粒。 此實例之組成物可使用一或多種有機酸。示範性的組 成物包括乙酸溶液(99.8重量%於水中)、HF溶液(49 重量%於水中)、HN〇3溶液(70.4重量%於水中)、和 -120- 200941582 H2〇 ’得到的清潔混合物爲:從約3重量%至約2〇重量% 的具有一或多個螯合基團/試劑之化合物,該螯合基團中 之至少一者爲醯胺肟官能基/化合物;從約〇 . i重量%至約 2 · 0重量%的HN Ο 3 ;和從約0.0 5重量%至約3.0重量%的 HF。參見 U.S 6,5 89,8 82。 實例3 0 : φ 本發明的另一具體例爲用於選擇性蝕刻金屬上之氧化 物之組成物。組成物包含水、羥基銨鹽、一或多種具有一 或多個螯合基團/試劑之化合物(該螯合基團中之至少一 者爲醯胺肟官能基/化合物)、含氟化合物、和隨意地鹼 。組成物的pH爲約2至6。參見U.S 6,589,439。 實例3 1 : 本發明的另一具體例爲含有組合物之蝕刻處理法,該 φ 組合物包括15重量%至1 9重量%的氫氟酸、〇.5重量%至 24重量%的一或多種具有一或多個螯合基團/試劑之化合 物(該螯合基團中之至少一者爲醯胺肟官能基/化合物) 、和12重量%至42重量%的氟化銨,該組合物的氫離子 濃度爲10-6 mo 1/L至10-1.8,另外包括0.001重量%至1 重量%的界面活性劑。參見U.S 6,585,910。 實例32 : 本發明的另一具體例包括半導體晶圓清潔調合物,該 -121 - 200941582 調合物包括2-98重量%的有機胺、0-50重量%的水、0.1-60重量%的一或多種具有一或多個螯合基團/試劑之化合 物(該螯合基團中之至少一者爲醯胺肟官能基/化合物) 、0-25重量%的額外不同螯合劑、0.1-40重量%含氮羧酸 或亞胺、隨意地1,3 -二羰基化合物螯合劑、和2-98重量% 的極性有機溶劑。調合物用於從晶圓移除殘留物,其係接 在光阻劑電漿灰化步驟之後,例如,從含有精細銅互連結 構之半導體晶圓移除無機殘留物。參見U.S 6,566,315。 實例3 3 : 本發明的替代具體例爲從半導體基板移除在乾蝕刻程 序之後所剩餘的有機金屬和有機矽酸鹽殘留物之方法。基 板被曝露至氟來源、非水性溶劑、補充酸、和表面鈍化劑 之調理溶液。氟來源典型地爲氫氟酸。非水性溶劑典型地 爲多羥基醇,例如,丙二醇。補充酸典型地爲磷酸或鹽酸 。表面鈍化劑爲一或多種具有一或多個螯合基團/試劑之 化合物(該螯合基團中之至少一者爲醯胺肟官能基/化合 物),和可隨意地包括羧酸,例如,檸檬酸。使基板曝露 至調理溶液以移除剩餘的乾蝕刻殘留物,且同時使材料從 所欲之基板特徵的移除最小化。參見U.S 6,5 62,726。 實例3 4 : 本發明的另一具體例爲在半導體和微電路製造中從金 屬和介電體表面移除殘留物的清除和清潔組成物。組成物 -122- 200941582 爲含有有機極性溶劑之水性系統,該水性系統包括來自一 或多種具有一或多個螯合基團/試劑之化合物(該螯合基 團中之至少一者爲醯胺肟官能基/化合物)之腐蝕抑制劑 成分和隨意地以有效抑制含量使用的芳香族羧酸的精選群 組。用於從金屬和介電體表面移除殘留物之本發明方法包 括使金屬或介電體表面與上面抑制組成物接觸達一時間以 足以移除殘留物之步驟。參見U.S 6,558,879。 實例3 5 : 本發明的另一具體例爲均相非水性組成物,該組成物 包含氟化溶劑、臭氧、一或多種具有一或多個螯合基團/ 試劑之化合物(該螯合基團中之至少一者爲醯胺肟官能基 /化合物)、和隨意地共溶劑,和描述這些組成物用於清 潔和氧化基板之用途。參見U.S 6,537,380。 ❹ 實例3 6 : 本明亦包括化學機械拋光漿料和使用漿料拋光銅、 阻障材料和介電材料之方法,該方法包括第一和第二漿料 。第一娥料在銅上具有高的移除速率,和在阻障材料上具 有低的移除速率。第二漿料在阻障材料上具有高的移除速 率’在銅和介電材料上具有低的移除速率。第一和第二漿 料至少包括二氧化矽顆粒、氧化劑、一或多種具有一或多 個蜜合基團/試劑之化合物(該螯合基團中之至少一者爲 酿胺聘官能基/化合物)、隨意地腐蝕抑制劑、和清潔劑 -123- 200941582 。參見 U.S 6,527,8 1 9。 實例3 7 : 本發明的另一具體例亦包括從半導體基板移除在乾蝕 刻程序之後所剩餘的有機金屬和有機矽酸鹽殘留物之方法 。基板被曝露至磷酸、氫氟酸、和一或多種具有一或多個 螯合基團/試劑之化合物(該螯合基團中之至少一者爲醯 胺肟官能基/化合物)、和隨意地羧酸(例如,乙酸)的 調理溶液,其移除剩餘的乾蝕刻殘留物,同時使材料從所 欲之基板特徵的移除最小化。調理溶液的大槪比例典型地 爲80至95重量%的一或多種具有一或多個螯合基團/試劑 之化合物(該螯合基團中之至少一者爲醯胺肟官能基/化 合物)和羧酸,1至15重量%的磷酸、和0.01至5.0重量 %的氫氟酸。參見U.S 6,517,738。 實例3 8 : 本發明的另一具體例爲用於半導體加工之組成物,其 中組成物包括水、磷酸、和一或多種具有一或多個螯合基 團/試劑之化合物(該螯合基團中之至少一者爲醯胺肟官 能基/化合物)、和隨意地有機酸;其中有機酸爲抗壞血 酸或爲具有2或更多個羧酸基團之有機酸(例如,檸檬酸 )。水的存在量可爲組成物的約40重量%至約85重量% ,磷酸的存在量可爲組成物的約〇.〇1重量%至約10重量% 、和一或多種具有一或多個螯合基團/試劑之化合物(該 -124- 200941582 螯合基團中之至少一者爲醯胺肟官能基/化合物)和有機 酸的存在量可爲組成物的約1 〇重量%至約6 0重量%。組 成物可用於清潔各種表面,例如,藉由將表面曝露至組成 物而得的圖案化金屬層和導線孔。參見U.S 6,486,108。 實例3 9 : 本發明的另一具體例爲從半導體基板移除在乾蝕刻程 序之後所剩餘的有機金屬和有機矽酸鹽殘留物之方法。基 板被曝露至磷酸、氫氟酸、和一或多種具有一或多個螯合 基團/試劑之化合物(該螯合基團中之至少一者爲醯胺肟 官能基/化合物)、和隨意地羧酸(例如,乙酸)的調理 溶液,其移除剩餘的乾鈾刻殘留物,同時使材料從所欲之 基板特徵的移除最小化。調理溶液的大槪比例典型地爲80 至95重量%的一或多種具有一或多個螯合基團/試劑之化 合物(該螯合基團中之至少一者爲醯胺肟官能基/化合物 )和乙酸,1至15重量%的磷酸、和0.01至5.0重量%的 氫氟酸。參見U.S 6,453,9 14。 實例40 : 本發明的另一實例爲清潔基板,該基板具有在表面皆 已被曝露之金屬材料和半導體材料且已進行化學機械拋光 處理,基板先用含有氨水等等之第一清潔溶液清潔,接著 用第二清潔溶液清潔,該第二清潔溶液包含(a)能與該 金屬材料的氧化物輕易地形成錯合物之第一錯合劑等等, -125- 200941582 和(b)陰離子性或陽離子性界面活性劑。參見u.S 6,444,583 。 實例4 1 : 本發明亦以用於半導體元件之清潔劑例示,其可減少 環境的負載且對CMP (化學機械抛光)硏磨劑顆粒、金屬 雜質和其他留在半導體元件(例如,CMP之後的半導體基 板)上之雜質具有高清潔效果,該清潔劑包括具有一或多 種具有一或多個螯合基團/試劑之化合物(該螯合基團中 之至少一者爲醯胺肟官能基/化合物)之(共)聚合物, 和隨意地至少一種選自磺酸(鹽)基團和羧酸(鹽)基團 之基團。清潔劑另外包含含有膦酸(鹽)基團之(共)聚 合物、膦酸化合物或’若需要,界面活性劑;和用上面清 潔劑清潔半導體元件之方法。參見U.S 6,440,856。 實例4 2 : 本發明亦包括用於從基板移除殘留物之非腐鈾性清潔 組成物。組成物包括:(a )水;(b )至少一種羥基敍化 合物;(c )至少一種鹼性化合物,較佳地係選自胺和氫 氧化四級銨;(d) —或多種具有一或多個螯合基團/試劑 之化合物(該螯合基團中之至少一者爲醯胺肟官能基/化 合物);(e)隨意地至少一種有機羧酸;和(f)隨意地 ,多羥基化合物。組成物的pH較佳地爲介於約2至約6 之間。參見 U.S6,413,923。 -126- 200941582 實例4 3 : 本發明的另~具體例爲含有漿料之組成物,該發料具 有酸性pH和具有一或多種具有一或多個螯合基團/試劑之 化合物(該螯合基團中之至少一者爲醯胺肟官能基/化合 物)之腐鈾抑制劑,和隨意地羧酸腐蝕抑制劑,其中該羧 酸係選自:甘胺酸、草酸、丙二酸、琥珀酸和氮基三乙酸 φ 。U.S 6,409,78 1。 實例44 : 本發明的替代具體例爲由螯合劑、氟化物和乙二醇溶 劑組成之化學調合物,其中該螯合劑爲一或多種具有一或 多個螯合基團/試劑之化合物(該螯合基團中之至少一者 爲醯胺肟官能基/化合物),和隨意地一或多種選自下列 之額外螯合劑:亞胺二乙酸、丙二酸、草酸、琥珀酸、硼 φ 酸和蘋果酸和2,4-戊二酮;其中該螯合劑佔調合物的約 0.1-10重量% ;和其中該氟化物係由選自氟化銨、氟化銨 的有機衍生物、和氟化多銨的有機衍生物之化合物組成; 和其中該氟化物佔調合物的約1.65-7重量%;和其中該乙 二醇溶劑佔該調合物的約73 -98.25重量%,另外包括:胺 ,其中該胺佔該調合物的約0.1-10重量%。螯合劑一般包 括一或多種具有一或多個螯合基團/試劑之化合物(該螯 合基團中之至少一者爲醯胺肟官能基/化合物),和隨意 地包含二個羧酸基團或二個羥基基團或二個羰基基團,使 -127- 200941582 得二個基團於螯合劑中彼此非常鄰近。亦期望其他螯合劑 是適合的’該螯合劑亦爲弱至適度酸性且結構上與所請者 類似。參見 U.S 6,383,410。 實例45 : 本發明的另一具體例爲清潔組成物,其包括部分氟化 的溶劑、共溶劑、一或多種具有一或多個螯合基團/試劑 之化合物(該螯合基團中之至少一者爲醯胺肟官能基/化 合物)、和臭氧,其中該氟化的溶劑包括氫氟醚類,其中 該共溶劑係選自醚類、酯類、三級醇類、羧酸類、酮類和 脂族烴類。參見U.S6,372,700。 實例4 6 : 本發明的另一具體例爲一或多種具有一或多個螯合基 團/試劑之化合物(該螯合基團中之至少一者爲醯胺肟官 能基/化合物)和隨意地羧酸腐蝕抑制劑之組合物。腐鈾 抑制劑的組合物可有效地抑制鋁、銅、和其合金的金屬腐 蝕。適合的羧酸包括單羧酸和多羧酸。例如,羧酸可爲但 不限於:甲酸、乙酸、丙酸、戊酸、異戊酸、草酸、丙二 酸、琥拍酸、戊二酸、順丁嫌二酸、反丁烯二酸、駄酸、 1,2,3-苯三羧酸、乙醇酸、乳酸、檸檬酸' 水楊酸、酒石 酸、葡萄糖酸、及其混合物。較佳的羧酸爲檸檬酸。 實例4 7 : -128- 200941582 本發明的另一實例爲用於選擇性蝕刻金 的組成物,其包括:(a )水;(b )羥基銨 該組成物的約0 · 1重量%至約0 · 5重量% ; 具有一或多個蝥合基團/試劑之化合物(該 至少一者爲醯胺肟官能基/化合物);(d ) 列之羧酸:甲酸、乙酸、丙酸、戊酸、異戊 二酸、琥珀酸、戊二酸、順丁烯二酸、反丁 、1,2,3-苯三羧酸、乙醇酸、乳酸、檸檬酸 石酸、葡萄糖酸、及其混合物;(e)含氟/ )隨意地,鹼。參見U.S 6,361,712。 屬上之氧化物 鹽,其含量爲 (c ) 一或多種 螯合基團中之 隨意地選自下 酸、草酸、丙 烯二酸、酞酸 、水楊酸、酒 匕合物;和(e 實例4 8 : 於另一方面,本發明係關於用於後電獎 造之半導體晶圓清潔調合物,其包括下列成 % (基於調合物的總重計)範圍顯示: 灰化半導體製 分,係以重量 有機胺(S) 2-98重量% 水 0-50重景% 酮安肟螯合劑 0.1-60 重量 % 錯合劑 0-25重量% 含氮羧酸或亞胺 0.5-40 雷量 % 極性有機溶劑 2-98重量% 實例49 : 本發明的另一實例包括實質上無水清潔 括88重量%或更多的氟化溶劑、從0.005 組成物,其包 2重量%的氟 -129- 200941582 化氫或其錯合物、和從0.01至5重量%的共溶劑,其中該 共溶劑係選自一或多種具有一或多個螯合基團/試劑之化 合物(該螯合基團中之至少一者爲醯胺肟官能基/化合物 )、醚類、聚醚類、羧酸類、一級和二級醇類、酚系醇類 、酮類、脂族烴類和芳香族烴類。參見U.S 6,310,018。 實例5 0 : A. 醯胺肟化合物 2.5重量% 氟化四甲基錢 4.5重量% 乙二醇 93重量% B. 醯胺肟化合物 1.3雷量% 三氟化五甲基二乙烯三銨 4.6重量% 乙二醇 94.1重量% C. 醯胺肟化合物 1.25重量% 氟化三乙醇銨 5重量% 乙二醇 93.75重量% D. 醯胺肟化合物 2.8重量% 氟化四甲基銨 5.1重量% 乙二醇 92.1重量% E. 醯胺肟化合物 2重量% 氟化銨 7重量% 乙二醇 91雷量% F. 醯胺肟化合物 2.8重量% 氟化鐵 5重量% 乙二醇 92.2雷量% 實例5 1 : 本發明的另一具體例包括螯合劑、氟化物鹽、和乙二 醇溶劑,其中該螯合劑爲弱至適度酸性且佔調合物的約 0.1 -1 0重量% ;和其中該氟化物鹽係由選自氟化銨、氟化 -130- 200941582 銨的有機衍生物、和氟化多銨的有機衍生物之化合物組成 :和其中該氟化物鹽佔調合物的約1.65-7重量%;和其中 該乙二醇溶劑佔該調合物的73-98.25重量%;和另外包括 胺,其中該胺佔該調合物的約0.1-10重量%;和其中該螯 合劑爲醯胺肟或異羥肟酸。參見U.S 6,2 80,65 1。 實例5 2 : 0 本發明的另一實例爲用於製備半導體裝置之清潔劑, 其實質上係由水性溶液組成,該溶液含有(A ) 0.1至15 重量% (基於清潔劑的總量計)的至少一種選自下列之含 氟化合物:氫氟酸、氟化銨、氫氟化銨、酸性氟化銨、氟 化氫的甲基胺鹽、氟化氫的乙基胺鹽、氟化氫的丙基胺鹽 、和氟化四甲基銨,(B) 0.1至15重量% (基於清潔劑 的總量計)的硼酸的鹽,和(C) 0.5至50重量。/。的一或 多種具有一或多個螯合基團/試劑之化合物,該螯合基團 〇 中之至少一者爲醯胺肟官能基/化合物;和(d) 5至80重 量% (基於清潔劑的總量計)的水溶性有機溶劑,和隨意 地另外含有四級銨鹽、有機羧酸的銨鹽、有機羧酸的胺鹽 、和界面活性劑中之至少一者。參見U.S 6,265,309。 實例5 3 : 本發明的另一具體例包括在製備半導體裝置期間用於 清潔半導體裝置之水溶液形式之清潔液體,其包括(A) 含氟化合物;(B )水溶性或水溶混的有機溶劑;(C ) 一 -131 - 200941582 或多種具有一或多個螯合基團/試劑之化合物(該螯合基 團中之至少一者爲醯胺肟官能基/化合物);(D)隨意地 ’有機酸;和(E)四級銨鹽。於一些具體例中,清潔溶 液亦包含界面活性劑。有機酸典型地選自甲酸、乙酸、丙 酸、丁酸、異丁酸、戊酸、異戊酸、庚酸、月桂酸、棕櫚 酸、硬脂酸、丙烯酸、巴豆酸、甲基丙烯酸、草酸、丙二 酸、順丁烯二酸、琥珀酸、己二酸、壬二酸、癸二酸、苯 甲酸、甲苯甲酸、酞酸、偏苯三甲酸、苯均四酸、苯磺酸 、甲苯磺酸、水楊酸和酞酸酐。參見U.S 5,972,8 62。 實例5 4 : 另一具體例爲用於半導體加工之方法,其包括蝕刻氧 化物層’特別是蝕刻厚Si〇2層,和/或在清潔方法中的最 後步驟,其中氧化物層在氣相中用氟化氫、一或多種具有 一或多個螯合基團/試劑之化合物(該螯合基團中之至少 一者爲醯胺肟官能基/化合物)、和隨意地一或多種羧酸 之混合物(最後與水摻混)鈾刻。參見U.S 5,922,624。 實例5 5 : 本發明的錯合劑亦可被加至U.S 5,911,836的含有過 氧化物之清洗劑。 實例5 6 : 銅空白晶圓(blanket wafer)在室溫被浸漬於下面溶 -132- 200941582 液達1 5和3 0分鐘,以觀察銅厚度變化。醯胺肟化合物爲 1, 2, 3, 4, 5, 6-六·0-〔 3-(羥基胺基)-3-亞胺基丙基己糖 醇 H2〇2 h2o2/ao A0 過氧化氫 3重量% 3重量% 0 1,2,3,4,5,6-六-0〔3-(羥基胺基)-3-亞胺基丙 基己糖醇 0 1重暈% 1重量% 水 剩餘量 剩餘量 剩餘量 銅厚度損失 15分鐘 97 16 22 30分鐘 120 13 48 過氧化氫攻擊銅表面和變成氧化銅,導致銅厚度的減 少。結果是浸漬30分鐘損失120Α。醯胺肟蝕刻銅稍微地 於30分鐘內移除約5 〇Α。未預期看到,二成分的混合物 抑制銅表面的氧化。 ❹ 實例5 7 : is^ 空白晶圓被浸漬於下面溶液室溫下達 30分鐘,以觀察銅厚度變化。 -133- 200941582 羥基胺(50 重量%) 羥基胺(50 重量%) /A0 AO 羥基胺(50重量% ) 10重量% 10重量% 0 1,2,3,4,5,6-六-〇-〔3-(羥基胺基)-3-亞 胺基丙基己糖醇 0 10軍暈% 10軍暈% 水 剩餘量 剩餘量 剩餘量 銅厚度損失A/分鐘 RT 2.88 9.76 4.08 40°C 5.27 32.68 5.83 60°C 7.95 61.68 4.39 ❹ 當1,2,3,4,5,6-六-〇-〔3-(羥基胺基)-3-亞胺基丙基 己糖醇與羥基胺(5 0重量% )混合時,銅靜電蝕刻速率( static etch rate)以指數方式從約8A/分鐘至62A/分鐘增 加蝕刻速率。此指出:醯胺肟化合物與羥基胺的組合改善 在半導體裝置製造中從CMP程序移除銅和氧化銅殘骸。 實例5 8 : 〇And (iii) honing at least a portion of the substrate to polish the substrate, and the pH of any component dissolved or suspended therein is from about 6 to about wherein the at least one layer of tantalum and at least one layer of copper are electrically connected and The polishing group is in contact, wherein the difference between the open circuit potential of copper and the open circuit potential of germanium in water and any component suspended or suspended therein is about 50 mV 0.0 1 : the amount of the group can be polyvalent acid and Method, one layer includes 1 weight: %) The heterocyclic atom in the group is polished in water 12, the product is dissolved or more -112-200941582 small, and the selectivity to polished copper is about 2 or less compared to 钌. Example 14: Another embodiment of the present invention relates to a semiconductor wafer cleaning composition comprising 1-21% by weight of a fluoride source, 20-55% by weight of an organic amine, and 0.5-40% by weight of a nitrogen component (for example, a nitrogen-containing carboxylic acid or an amine), 23 to 50% by weight of water, and 0 to 21% by weight of a compound having one or more chelating groups/rhodium reagents, at least one of the chelating groups One is an amidoxime functional group/compound. The blend is used to remove residue from the wafer that is attached after the photoresist plasma ashing step, for example, to remove inorganic residues from the semiconductor wafer containing the fine copper interconnect structure. See U.S. 6, 9 67, 169. Example 1 5: The present invention also includes a method of chemical mechanical polishing of copper, a barrier material, and a dielectric material, the method comprising the steps of: a) providing a first chemical mechanical polishing slurry comprising (i) 1-10 % by weight of cerium oxide particles, (ii) 1 to 12% by weight of an oxidizing agent, and (iii) 0 to 2% by weight of a uranium inhibitor and a cleaning agent, wherein the first slurry has a higher copper content The removal rate, relative to the lower removal rate on the barrier material; b) chemical mechanical polishing of the semiconductor wafer surface with the first paste; c) providing a second chemical mechanical polishing slurry, including (i 1-1% by weight of cerium oxide particles, (ii) 〇·1 to 1.5% by weight of an oxidizing agent, and (iii) 0.1 to 2% by weight of a carboxylic acid having a pH in the range of from about 2 to about 5 The content of (Π) is not more than (Ui), and wherein the second slurry has a large removal rate of -113-200941582 on the barrier material, relative to the dielectric material Having a lower removal rate, and having a medium removal rate on the copper; and d) chemical mechanical polishing of the second slurry a semiconductor wafer surface wherein one or both of the pastes comprise from about 0.01% to about 50% by weight (preferably from about 0.5% to about 24% by weight) of one or more chelating groups/reagents At least one of the compounds 'the chelating group is an amidoxime functional group/compound. See U.S. 6,936,542. Example 1 6: The invention additionally comprises a method of cleaning the surface of a substrate comprising at least the following steps (1) and (2) 'where step (2) is carried out after carrying out step (i); step (1): containing a cleaning step of cleaning the surface of the substrate with an alkaline cleaner of the wrong agent, and a step (2): a cleaning step using a cleaning agent having a hydrofluoric acid content C (% by weight) of from 0.03 to 3% by weight, wherein the crosslinking agent is from about 〇.〇1% by weight to about 50% by weight (preferably about 0-5 wt% to about 24 wt%) of a compound having one or more chelating groups/reagents, at least one of the chelating groups Is an amidoxime functional group / compound. See U.S. 6,896,744. Example 1 7: Another embodiment of the present invention includes a compound having a chelating acid and/or a compound having one or more chelating groups/agents (at least one of the chelating groups is a stimulating amine functional group) / Compound) A cleaning gas obtained by evaporation, the gas is supplied to a processing chamber having an insulating substance adhered thereto, and the inside of the empty processing chamber of the row - 114 - 200941582. When the cleaning gas supplied to the processing chamber comes into contact with the insulating material adhered to the inner wall of the processing chamber and the susceptor, the insulating material becomes a compound, so that a complex compound of the insulating material is formed. The complex of the insulating material is easily evaporated due to its high vapor pressure. The vaporized complex of the insulating material is discharged from the processing chamber by evacuation. See u.s 6,893,964. Example 1 8 : 0 The present invention includes a method of rinsing a metallized semiconductor substrate after treating the substrate with an etch residue removal chemical, the method comprising the steps of: providing at least one metallized semiconductor substrate having an etch thereon Residual removal of the chemical, wherein the etch residue removal chemical comprises N-methylpyrrolidone; the etch residue removal chemistry is rinsed from the substrate and the aqueous medium is treated with an effective amount of corrosion inhibitor containing minimal metal corrosion Flushing the substrate to minimize metal corrosion of the substrate, the corrosion inhibitor comprising an organic acid selected from the group consisting of mono- and polycarboxylic acids; removing the aqueous medium from the processing vessel; and directing the dried vapor to the processing vessel, the substrate being processed The interior of the container remains substantially fixed, wherein the removal agent comprises from about 0 to about 10 weight percent to about 50 weight percent. /. (preferably from about 0.5% to about 24% by weight) of a compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound' Partial or total replacement of organic acids. The composition may additionally comprise acetic acid. See U.S. 6,878,213. Example 19: The invention may also be used in conjunction with the composition of US 6,849,200, wherein the -115-200941582 imine-acetic acid component is supplemented or replaced by a compound having one or more chelating groups/reagents, in the chelating group At least one of them is an amidoxime functional group/compound. Example 20: The invention also includes a method of cleaning the surface of a copper-containing material by exposing the surface to an acidic mixture comprising N03-, F·, and/or a plurality of compounds having one or more chelating groups/reagents, At least one of the honey-binding groups is an acid amine I-functional group/compound. The mixture may also include one or more organic acids to remove at least some of the particles. See U.S. 6, 83 5,668. Example 2 1 : The present invention also includes a cleaning composition 'The composition includes at least one of a fluoride salt and a hydrogdendifluoride salt; an organic solvent having a hetero atom; optionally one or more interfaces The active agent (content is from 0.0001 to 10.0%): water and from about 〇. From 0 to about 50% by weight (preferably from about 0.5% to about 24% by weight) of a compound having one or more chelating groups/agents, at least one of which is an amidoxime Functional group / compound. See U.S. 6,831,048. Example 2 2: The present invention additionally includes an ethylene glycol-free composition for cleaning a semiconductor substrate, the composition consisting essentially of: a. having an ammonium salt selected from the group consisting of a carboxylic acid and a polybasic acid and an acid (acid An acidic buffer solution of an acid having a molar ratio of ammonium salts ranging from 10: 1 to -116 to 200941582 1 : 10), and wherein the acidic buffer solution is present in an amount sufficient to maintain the pH of the composition from about 3 to about 6; From 30% by weight to 90% by weight of the organic polar solvent, which is miscible with water in all proportions; c. from 0.1% by weight to 20% by weight of the vapor; d· from 0.5% by weight to 40% by weight % water; and e · optionally up to 15% by weight of corrosion inhibitor. The composition additionally comprises from about 1% by weight to about 50% by weight (preferably from about 0.5% by weight to about 24% by weight) of a compound having one or more chelating groups/reagents, from about 1% by weight to about 5% by weight. At least one of the amide groups is an amidoxime functional group/compound, or such a compound can be used in place of a corrosion inhibitor. See US 6,828,289 ° Example 2 3: The invention additionally includes compositions comprising AEEA and/or AEEA derivatives, which may be present in an amount ranging from about 1% to about 99%, although in most instances The content ranges from about 1% by weight to about 85% by weight. There are specific examples of "high-AEEA" for each -AEEA range given for each of the compositions described herein, wherein the content of AEEA is in the upper half of the range, and the "low-AEEA" specific example, in which the presence of AEEA The amount is limited by the lower half of the range. In general, the higher AEEA specific example for the selected substrate exhibits a lower etch rate than the lower AEEA specific example, and the specific example additionally includes from about 1% by weight to about 50% by weight (preferably about 0.5). A compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound, is from about 5% by weight to about 24% by weight. In most embodiments, these compositions also include other -117-200941582 compounds, particularly polar organic solvents, water, alkanolamines, hydroxylamines, additional chelating agents, and/or corrosion inhibitors. See U.S. 6,825,156. Example 2 4; a composition for removing a photoresist and cleaning residues from a substrate and a composition for cerium oxide etching, comprising from about 0.1% by weight to about 10% by weight of one or more fluorines a compound, from about 10% by weight to about 95% by weight of the hydrazine or milling solvent, and from about 20% by weight to about 50% by weight of water, additionally comprising from about 0.1% by weight to about 50% by weight ( Preferably from about 0.5% to about 24% by weight of a compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound. The composition may comprise a corrosion inhibitor, a chelating agent, a cosolvent, a basic amine compound, a surfactant, an acid, and a base. See U.S. 6,777,380. Example 2 5: The polishing composition for polishing a semiconductor substrate has a pH of less than 5. ,, and comprises (a) a tantalic acid having a polymerized unsaturated carboxylic acid monomer and having a number average molecular weight of about 20,000 to 1,500,000. Polymer, or a blend of high and low number average molecular weight polymers of polymerized unsaturated carboxylic acid monomers' (b) 1 to 15% by weight of oxidizing agent' (c) up to 3.0% by weight of honing agent particles '(d) 50-5,000 ppm (parts per million) inhibitor, (e) up to 3.0% by weight of a complexing agent (eg malic acid), and (f) 0.1 to 5.0% by weight of a surfactant, from From about 5% by weight to about 50% by weight (preferably from about 5% to about 24% by weight) of a compound having from -118 to 200941582 or a plurality of chelating groups/reagents, the chelation At least one of the groups is an amidoxime functional group/compound. See U.S. 6,6 79,928. Example 26: The use of an aqueous composition to remove particulate and metal ion contaminants from a surface (eg, a semiconductor wafer containing copper damascene or dual damascene features), the aqueous composition comprising a fluoride compound; diteric acid and And a Φ salt thereof; and a hydroxycarboxylic acid and/or a salt thereof, the composition comprising from about 0.01% by weight to about 50% by weight (preferably from about 0.5% by weight to about 24% by weight) having one or more chelates A compound of a conjugate/reagent wherein at least one of the chelating groups is an amidoxime functional group/compound. See U.S. 6,673,757. Example 2 7: The semiconductor wafer cleaning blend comprises 2-98% by weight of organic amine, 0-50% by weight of water, 0.1-60% by weight of 1,3-dicarbonyl compound chelating agent φ, 0-25% by weight Additional different chelating agents, 0.5-40% by weight of the nitrogen-containing carboxylic acid or imine, and 2-98% by weight of the polar organic solvent. The blend is used to remove residue from the wafer, which is attached after the photoresist plasma ashing step, for example, removing inorganic residues from a semiconductor wafer containing a fine copper interconnect structure. Example 28: The present invention Another specific example relates to a method for removing etch residues from an etcher equipment component. The composition used was an aqueous acidic composition containing a fluoride and a polar-119-200941582 organic solvent. The composition is ethylene glycol-free and trans-amine-containing and has a low surface tension and viscosity 'and additionally comprises from about 1% by weight to about 50% by weight (preferably from about 5% to about 2 4). % by weight of a compound having one or more honey groups/reagents, at least one of which is an amidoxime functional group/compound. See US 6,656,894 Example 2 9: The invention includes a method of cleaning the surface of a copper-containing material by exposing the surface to an acidic mixture comprising N03-, F- and from about 0.010% to about 50% by weight % (preferably from about 0.5% to about 24% by weight) of a compound having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound and/or Or one or more organic acid anions having a carboxylate group. The invention also includes improved semiconductor processing methods for forming openings in copper-containing materials. A mass is formed on the copper-containing material in the substrate opening. The block includes at least one of an oxide barrier material and a dielectric material. The second opening is etched through the block into the copper-containing material to form a substantially surface of the copper-containing material, the surface being at least partially covered by particles comprising at least one of copper oxide, cerium oxide or copper fluoride. The base surface is cleaned with a solution containing nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles. The composition of this example may use one or more organic acids. Exemplary compositions include an acetic acid solution (99.8% by weight in water), an HF solution (49% by weight in water), an HN〇3 solution (70.4% by weight in water), and a cleaning mixture obtained from -120-200941582 H2〇. Is: from about 3 wt% to about 2 wt% of a compound having one or more chelating groups/reagents, at least one of which is an amidoxime functional group/compound; from about 〇 I wt% to about 2 · 0 wt% of HN Ο 3 ; and from about 0.0 5 wt% to about 3.0 wt% of HF. See U.S. 6, 5 89, 8 82. Example 3 0 : φ Another specific example of the present invention is a composition for selectively etching an oxide on a metal. The composition comprises water, a hydroxyammonium salt, one or more compounds having one or more chelating groups/reagents (at least one of the chelating groups is an amidoxime functional group/compound), a fluorochemical, And optionally base. The pH of the composition is from about 2 to 6. See U.S. 6,589,439. Example 3 1 : Another specific example of the present invention is an etching treatment method comprising a composition comprising 15% by weight to 19% by weight of hydrofluoric acid, 5% by weight to 24% by weight of one or a plurality of compounds having one or more chelating groups/agents (at least one of the chelating groups are amidoxime functional groups/compounds), and 12% to 42% by weight ammonium fluoride, the combination The hydrogen ion concentration of the substance is from 10 to 6 mol 1 /L to 10 to 1.8, and additionally comprises from 0.001% by weight to 1% by weight of the surfactant. See U.S. 6,585,910. Example 32: Another embodiment of the present invention includes a semiconductor wafer cleaning composition comprising -2 to 98% by weight of an organic amine, 0 to 50% by weight of water, and 0.1 to 60% by weight of a compound. Or a plurality of compounds having one or more chelating groups/agents (at least one of the chelating groups are amidoxime functional groups/compounds), 0-25% by weight of additional different chelating agents, 0.1-40 The wt% nitrogen-containing carboxylic acid or imine, optionally the 1,3 -dicarbonyl compound chelating agent, and 2-98% by weight of a polar organic solvent. The blend is used to remove residue from the wafer that is attached after the photoresist plasma ashing step, for example, to remove inorganic residues from the semiconductor wafer containing the fine copper interconnect structure. See U.S. 6,566,315. Example 3 3: An alternative embodiment of the present invention is a method of removing organic metal and organic citrate residues remaining after a dry etching process from a semiconductor substrate. The substrate is exposed to a conditioning solution of a fluorine source, a non-aqueous solvent, a make-up acid, and a surface passivator. The source of fluorine is typically hydrofluoric acid. The nonaqueous solvent is typically a polyhydric alcohol such as propylene glycol. The supplemental acid is typically phosphoric acid or hydrochloric acid. The surface deactivator is one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound), and optionally a carboxylic acid, such as , citric acid. The substrate is exposed to a conditioning solution to remove the remaining dry etch residue while at the same time minimizing the removal of material from the desired substrate features. See U.S. 6, 5 62,726. Example 3 4: Another embodiment of the present invention is a cleaning and cleaning composition for removing residues from the surface of metals and dielectrics in the fabrication of semiconductors and microcircuits. Composition -122- 200941582 is an aqueous system containing an organic polar solvent comprising one or more compounds having one or more chelating groups/reagents (at least one of the chelating groups is a guanamine) A corrosion inhibitor component of the hydrazine functional group/compound) and a selected group of aromatic carboxylic acids optionally used in an effective inhibitory amount. The method of the invention for removing residues from the surface of the metal and dielectric includes the step of contacting the surface of the metal or dielectric with the above-described inhibitory composition for a period of time sufficient to remove the residue. See U.S. 6,558,879. Example 3 5: Another specific example of the invention is a homogeneous non-aqueous composition comprising a fluorinated solvent, ozone, one or more compounds having one or more chelating groups/reagents (the chelating group) At least one of the groups is an amidoxime functional group/compound), and optionally a cosolvent, and the use of these compositions for cleaning and oxidizing substrates is described. See U.S. 6,537,380.实施 Example 3 6 : The present invention also includes a chemical mechanical polishing slurry and a method of polishing copper, a barrier material and a dielectric material using a slurry, the method comprising first and second pastes. The first dip has a high removal rate on copper and a low removal rate on the barrier material. The second paste has a high removal rate on the barrier material' with a low removal rate on copper and dielectric materials. The first and second pastes comprise at least cerium oxide particles, an oxidizing agent, one or more compounds having one or more honey groups/reagents (at least one of the chelating groups is a chelating amine functional group / Compound), optional corrosion inhibitor, and detergent -123- 200941582. See U.S. 6,527,8 1 9. Example 3 7: Another embodiment of the present invention also includes a method of removing the residual organometallic and organic citrate residues from the semiconductor substrate after the dry etching process. The substrate is exposed to phosphoric acid, hydrofluoric acid, and one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound), and optionally A conditioning solution of a carboxylic acid (e.g., acetic acid) that removes the remaining dry etch residue while minimizing the removal of material from the desired substrate features. The large proportion of the conditioning solution is typically from 80 to 95% by weight of one or more compounds having one or more chelating groups/reagents (at least one of the chelating groups is an amidoxime functional group/compound) And carboxylic acid, 1 to 15% by weight of phosphoric acid, and 0.01 to 5.0% by weight of hydrofluoric acid. See U.S. 6,517,738. Example 3 8: Another embodiment of the present invention is a composition for semiconductor processing, wherein the composition comprises water, phosphoric acid, and one or more compounds having one or more chelating groups/reagents (the chelating group) At least one of the groups is an amidoxime functional group/compound), and optionally an organic acid; wherein the organic acid is ascorbic acid or an organic acid having 2 or more carboxylic acid groups (eg, citric acid). The water may be present in an amount from about 40% to about 85% by weight of the composition, and the phosphoric acid may be present in an amount from about 0.1% to about 10% by weight of the composition, and one or more having one or more The chelating group/agent compound (at least one of the -124-200941582 chelating groups is an amidoxime functional group/compound) and the organic acid may be present in an amount from about 1% by weight to about 5% by weight of the composition. 60% by weight. The composition can be used to clean various surfaces, for example, patterned metal layers and wire holes by exposing the surface to the composition. See U.S. 6,486,108. Example 3 9: Another embodiment of the present invention is a method of removing organic metal and organic citrate residues remaining after a dry etching process from a semiconductor substrate. The substrate is exposed to phosphoric acid, hydrofluoric acid, and one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound), and optionally A conditioning solution of a carboxylic acid (e.g., acetic acid) that removes the remaining dry uranium engraving residue while minimizing material removal from the desired substrate characteristics. The large proportion of the conditioning solution is typically from 80 to 95% by weight of one or more compounds having one or more chelating groups/reagents (at least one of the chelating groups is an amidoxime functional group/compound) And acetic acid, 1 to 15% by weight of phosphoric acid, and 0.01 to 5.0% by weight of hydrofluoric acid. See U.S. 6, 453, 9 14. Example 40: Another example of the present invention is a cleaning substrate having a metal material and a semiconductor material which have been exposed on the surface and which has been subjected to chemical mechanical polishing, and the substrate is first cleaned with a first cleaning solution containing ammonia water or the like. Next cleaning with a second cleaning solution comprising (a) a first complexing agent capable of easily forming a complex with the oxide of the metallic material, etc., -125-200941582 and (b) anionic or Cationic surfactant. See u.S 6,444,583. Example 4 1 : The present invention is also exemplified as a cleaning agent for a semiconductor element, which can reduce environmental load and retain CMP (Chemical Mechanical Polishing) honing agent particles, metal impurities, and others remaining in a semiconductor element (for example, after CMP) The impurities on the semiconductor substrate have a high cleaning effect, and the cleaning agent includes one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group / a (co)polymer of the compound), and optionally at least one group selected from the group consisting of a sulfonic acid (salt) group and a carboxylic acid (salt) group. The cleaning agent additionally comprises a (co)polymer containing a phosphonic acid (salt) group, a phosphonic acid compound or, if desired, a surfactant; and a method of cleaning the semiconductor element with the above cleaning agent. See U.S. 6,440,856. Example 4 2: The present invention also includes a non-fused urethane cleaning composition for removing residues from a substrate. The composition comprises: (a) water; (b) at least one hydroxy compound; (c) at least one basic compound, preferably selected from the group consisting of an amine and a quaternary ammonium hydroxide; (d) - or more having one or a compound of a plurality of chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound); (e) optionally at least one organic carboxylic acid; and (f) optionally, more Hydroxy compound. The pH of the composition is preferably between about 2 and about 6. See U.S6, 413, 923. -126- 200941582 Example 4 3: Another specific example of the invention is a composition comprising a slurry having an acidic pH and having one or more compounds having one or more chelating groups/reagents (the chelate) a uranium inhibitor of at least one of the amidoxime functional groups/compounds, and optionally a carboxylic acid corrosion inhibitor, wherein the carboxylic acid is selected from the group consisting of glycine, oxalic acid, malonic acid, Succinic acid and nitrogen triacetic acid φ. U.S. 6,409,78 1. Example 44: An alternative embodiment of the invention is a chemical composition consisting of a chelating agent, a fluoride, and an ethylene glycol solvent, wherein the chelating agent is one or more compounds having one or more chelating groups/reagents (the At least one of the chelating groups is an amidoxime functional group/compound), and optionally one or more additional chelating agents selected from the group consisting of imine diacetic acid, malonic acid, oxalic acid, succinic acid, boron φ acid And malic acid and 2,4-pentanedione; wherein the chelating agent comprises from about 0.1 to 10% by weight of the blend; and wherein the fluoride is selected from the group consisting of ammonium fluoride, organic derivatives of ammonium fluoride, and fluorine a compound composition of a polyammonium organic derivative; and wherein the fluoride comprises from about 1.65 to 7% by weight of the blend; and wherein the ethylene glycol solvent comprises from about 73 to 98.25 wt% of the blend, additionally comprising: an amine Wherein the amine comprises from about 0.1% to about 10% by weight of the blend. Chelating agents generally include one or more compounds having one or more chelating groups/agents (at least one of which is an amidoxime functional group/compound), and optionally two carboxylic acid groups The group or the two hydroxyl groups or the two carbonyl groups give -127-200941582 two groups in the chelating agent very close to each other. Other chelating agents are also contemplated as being suitable. The chelating agent is also weak to moderately acidic and structurally similar to the one sought. See U.S. 6,383,410. Example 45: Another embodiment of the invention is a cleaning composition comprising a partially fluorinated solvent, a co-solvent, one or more compounds having one or more chelating groups/reagents (in the chelating group) At least one is an amidoxime functional group/compound), and ozone, wherein the fluorinated solvent comprises a hydrofluoroether, wherein the cosolvent is selected from the group consisting of ethers, esters, tertiary alcohols, carboxylic acids, and ketones. Classes and aliphatic hydrocarbons. See U.S6,372,700. Example 4 6: Another embodiment of the invention is one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound) and optionally A composition of a carboxylic acid corrosion inhibitor. The composition of the uranium sulphide inhibitor is effective for inhibiting metal corrosion of aluminum, copper, and alloys thereof. Suitable carboxylic acids include monocarboxylic acids and polycarboxylic acids. For example, the carboxylic acid can be, but is not limited to, formic acid, acetic acid, propionic acid, valeric acid, isovaleric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, cis-succinic acid, fumaric acid, Capric acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, citric acid 'salicylic acid, tartaric acid, gluconic acid, and mixtures thereof. A preferred carboxylic acid is citric acid. Example 4 7: -128- 200941582 Another example of the present invention is a composition for selectively etching gold comprising: (a) water; (b) hydroxyammonium from about 0.1% by weight to about about 0.1% by weight of the composition 0 · 5 wt%; a compound having one or more chelating groups/reagents (the at least one is an amidoxime functional group/compound); (d) a carboxylic acid: formic acid, acetic acid, propionic acid, pentane Acid, isovaleric acid, succinic acid, glutaric acid, maleic acid, transbutyl, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, citrate, gluconic acid, and mixtures thereof (e) fluorine/) optionally, base. See U.S. 6,361,712. a genus oxide salt in an amount of (c) one or more chelating groups optionally selected from the group consisting of lower acid, oxalic acid, acrylic acid, citric acid, salicylic acid, and wine chelates; and (e) Example 4 8 : In another aspect, the present invention relates to a semiconductor wafer cleaning composition for post-electrical awards, comprising the following % (based on the total weight of the blend) range: ashing semiconductors, Weight organic amine (S) 2-98% by weight Water 0-50% ketone ketone chelating agent 0.1-60% by weight Aligning agent 0-25% by weight Nitrogen-containing carboxylic acid or imine 0.5-40 Ray% Polar Organic Solvent 2-98 wt% Example 49: Another example of the present invention comprises substantially anhydrous cleaning comprising 88% by weight or more of a fluorinated solvent, from 0.005 of a composition comprising 2% by weight of fluorine-129-200941582 Hydrogen or a complex thereof, and from 0.01 to 5% by weight of a cosolvent, wherein the cosolvent is selected from one or more compounds having one or more chelating groups/reagents (in the chelating group) At least one of amidoxime functional groups/compounds), ethers, polyethers, carboxylic acids, primary and secondary Grade alcohols, phenolic alcohols, ketones, aliphatic hydrocarbons and aromatic hydrocarbons. See US 6,310,018. Example 5 0 : A. Amidoxime compound 2.5% by weight Fluorinated tetramethyl money 4.5% by weight Ethylene Alcohol 93% by weight B. Amidoxime compound 1.3 Thunder % Trifluoropentamethyldiethylenetrimonium 4.6 wt% Ethylene glycol 94.1 wt% C. Amidoxime compound 1.25 wt% Fluorinated triethanolammonium 5 wt% Ethylene glycol 93.75 wt% D. Amidoxime compound 2.8% by weight Tetramethylammonium fluoride 5.1 wt% Ethylene glycol 92.1 wt% E. Amidoxime compound 2 wt% Ammonium fluoride 7 wt% Ethylene glycol 91 Ray Amount % F. amidoxime compound 2.8 wt% iron fluoride 5 wt% ethylene glycol 92.2 thunder amount % Example 5 1 : Another specific example of the present invention includes a chelating agent, a fluoride salt, and an ethylene glycol solvent, wherein The chelating agent is weak to moderately acidic and comprises from about 0.1% to about 10% by weight of the blend; and wherein the fluoride salt is derived from an organic derivative selected from the group consisting of ammonium fluoride, fluorinated-130-200941582 ammonium, and fluorinated a compound composition of a polyammonium organic derivative: and The fluoride salt comprises from about 1.65 to 7% by weight of the blend; and wherein the glycol solvent comprises from 73 to 98.25 wt% of the blend; and additionally comprising an amine wherein the amine comprises from about 0.1 to 10 by weight of the blend. And wherein the chelating agent is amidoxime or hydroxamic acid. See US 6,2 80, 65 1. Example 5 2: 0 Another example of the present invention is a cleaning agent for preparing a semiconductor device, the essence thereof The upper layer is composed of an aqueous solution containing (A) 0.1 to 15% by weight (based on the total amount of the detergent) of at least one fluorine-containing compound selected from the group consisting of hydrofluoric acid, ammonium fluoride, ammonium hydrogen fluoride , acidic ammonium fluoride, methylamine salt of hydrogen fluoride, ethylamine salt of hydrogen fluoride, propylamine salt of hydrogen fluoride, and tetramethylammonium fluoride, (B) 0.1 to 15% by weight (based on the total amount of detergent) a salt of boric acid, and (C) 0.5 to 50 parts by weight. /. One or more compounds having one or more chelating groups/agents, at least one of which is an amidoxime functional group/compound; and (d) 5 to 80% by weight (based on cleaning The water-soluble organic solvent of the total amount of the agent, and optionally at least one of a quaternary ammonium salt, an ammonium salt of an organic carboxylic acid, an amine salt of an organic carboxylic acid, and a surfactant. See U.S. 6,265,309. Example 5 3: Another embodiment of the present invention includes a cleaning liquid in the form of an aqueous solution for cleaning a semiconductor device during preparation of a semiconductor device, comprising (A) a fluorine-containing compound; (B) a water-soluble or water-miscible organic solvent; (C) 1-131 - 200941582 or a plurality of compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound); (D) optionally ' Organic acid; and (E) quaternary ammonium salt. In some embodiments, the cleaning solution also contains a surfactant. The organic acid is typically selected from the group consisting of formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, isovaleric acid, heptanoic acid, lauric acid, palmitic acid, stearic acid, acrylic acid, crotonic acid, methacrylic acid, oxalic acid. , malonic acid, maleic acid, succinic acid, adipic acid, azelaic acid, sebacic acid, benzoic acid, toluic acid, citric acid, trimellitic acid, pyromellitic acid, benzenesulfonic acid, toluene Sulfonic acid, salicylic acid and phthalic anhydride. See U.S. 5,972,8 62. Example 5 4: Another embodiment is a method for semiconductor processing comprising etching an oxide layer 'in particular etching a thick Si 2 layer, and/or a final step in a cleaning method in which the oxide layer is in the gas phase Hydrogen fluoride, one or more compounds having one or more chelating groups/reagents (at least one of the chelating groups are amidoxime functional groups/compounds), and optionally one or more carboxylic acids The mixture (finally blended with water) is engraved with uranium. See U.S. 5,922,624. Example 5 5: The complexing agent of the present invention can also be added to the peroxide-containing cleaning agent of U.S. 5,911,836. Example 5 6: A copper blank wafer was immersed in a solution of -132-200941582 at room temperature for 15 and 30 minutes to observe changes in copper thickness. The amidoxime compound is 1, 2, 3, 4, 5, 6-hexa-0-[3-(hydroxyamino)-3-iminopropylhexitol H2〇2 h2o2/ao A0 hydrogen peroxide 3 wt% 3% by weight 0 1,2,3,4,5,6-hexa-0[3-(hydroxyamino)-3-iminopropylhexitol 0 1 halo % 1 wt% water Remaining amount Remaining amount Remaining copper thickness loss 15 minutes 97 16 22 30 minutes 120 13 48 Hydrogen peroxide attacks the copper surface and turns into copper oxide, resulting in a decrease in copper thickness. The result was a 120 浸渍 loss for 30 minutes. The amidoxime etched copper was removed slightly by about 5 Torr in 30 minutes. Unexpectedly, a mixture of two components inhibits oxidation of the copper surface.实例 Example 5 7 : is^ Blank wafer was immersed in the solution below for 30 minutes at room temperature to observe changes in copper thickness. -133- 200941582 Hydroxylamine (50% by weight) Hydroxylamine (50% by weight) /A0 AO Hydroxylamine (50% by weight) 10% by weight 10% by weight 0 1,2,3,4,5,6-Six-〇 -[3-(hydroxyamino)-3-iminopropylhexitol 0 10 military halo% 10 military halo% water remaining amount remaining amount remaining amount copper thickness loss A/min RT 2.88 9.76 4.08 40°C 5.27 32.68 5.83 60°C 7.95 61.68 4.39 ❹ When 1,2,3,4,5,6-hexa-indole-[3-(hydroxyamino)-3-iminopropylhexitol and hydroxylamine (5 0% by weight) When mixed, the copper static etch rate increases the etch rate exponentially from about 8 A/min to 62 A/min. This indicates that the combination of an amidoxime compound with a hydroxylamine improves the removal of copper and copper oxide residues from the CMP process in the fabrication of semiconductor devices. Example 5 8 : 〇

電鍍銅晶圓的試樣(sample coupon)被浸漬於30°C 的重量%的醯胺肟水溶液達30分鐘。試樣之後用DI水 沖洗達5分鐘和用氮氣吹乾。試樣接著被送至Evan Laboratory 以供 ESCA 和 Auger 分析。 試樣在曝露至一般儲存條件10天之後接著再次分析 〇 甚至在同一天進行表面分析,有2小時的間隔’由於 運輸至Evan Laboratory。因此,有2小時作爲標準晶圓製 造方法的“佇列時間”以再產生氧化銅。 -134- 200941582 相對於氧化銅(11)的溶解度,如此Pourbaix圖表示 ,Cu-H20系統在pH爲7-12時形成不可溶的氧化物和氫 氧化物(參考:M.J.N. Pourbaix,〇/ C/iemica/ Equilibria in Aqueous Solution, National Assoc. of Corrosion Engineers, Houston, Texas, 1974.)。酿胺薛在 pH爲9-11有效移除氧化銅(II)。A sample coupon of the electroplated copper wafer was immersed in a 30% by weight aqueous solution of amidoxime for 30 minutes. The sample was then rinsed with DI water for 5 minutes and blown dry with nitrogen. The samples were then sent to the Evan Laboratory for analysis by ESCA and Auger. The samples were analyzed again after exposure to general storage conditions for 10 days. 表面 Even surface analysis was performed on the same day, with a 2-hour interval' due to transport to Evan Laboratory. Therefore, there is 2 hours as the "column time" of the standard wafer manufacturing method to reproduce copper oxide. -134- 200941582 Relative to the solubility of copper oxide (11), the Pourbaix diagram indicates that the Cu-H20 system forms insoluble oxides and hydroxides at pH 7-12 (reference: MJN Pourbaix, 〇/C/ Iemica/ Equilibria in Aqueous Solution, National Assoc. of Corrosion Engineers, Houston, Texas, 1974.). The amine is effective in removing copper (II) oxide at a pH of 9-11.

實例5 9 : 顆粒性能的比較 在熱氧化物上的顆粒性能Example 5 9: Comparison of particle properties Particle properties on thermal oxides

DIW PCMP5510 (EKC Technology) DS6-10 DS6-10+ 乙醇酸 PCMP5500 (EKC Technology) Clean 100 稀釋比例 1 10 25 50 100 1 10 10 50 30 0.1以上 334 456 531 362 141 81 170 154 89 190 194 0_12以上 234 325 426 270 114 54 126 108 65 147 137 0.14以上 263 243 368 218 91 44 97 67 45 115 102 0.17以上 229 168 319 180 72 32 76 44 20 80 70 0.2以上 99 126 273 153 58 27 60 35 24 60 50 0.3以上 51 52 183 109 48 17 36 11 10 41 32 〇_5以上 17 18 109 68 23 17 22 4 4 26 18 實例60 : 顆粒性能的比較 -135- 200941582 顆粒性能Cu空白 DIW PCMP5510 (EKC Technology) DS6-10 PCMP5500 (EKC Technology) Clean 100 稀釋比例 . i 10 25 50 100 i 10 50 30 LPD計數 136 101 74 80 77 65 124 164 120 38 Area計數 44 17 21 12 14 14 24 43 4 11 缺陷密度 0.513 0.381 0.279 0.302 0.291 0.245 0.468 0.618 0.452 0.143 實例6 1 : 顆粒性能的比較 在BlackDiamond™ ( BD 1 )上的顆粒性能 PCMP5510 (EKC Technology) DS6-10 DS6-10 + 乙醇酸 PCMP5500 (EKC Technology) Clean 100 稀釋比例 1 10 25 50 100 1 10 10 50 30 0.1以上 446 938 693 600 2273 168 66 1124 80 693 0.12以上 308 777 492 230 1453 122 44 791 56 427 0.14以上 231 677 317 125 1053 82 33 645 41 272 0.17以上 175 563 192 61 758 64 25 506 29 141 〇_2以上 136 481 137 50 618 51 21 422 25 90 0.3以上 55 285 41 32 379 33 11 316 14 37 0.5以上 24 159 12 15 241 16 9 174 8 14 -136- 200941582 實例62 @ 藤胺肟溶液的ξ電位 EKC PCMP5510™- DS6- 稀釋比例 pH 二氧化砂 氧化鋁 PH 二氧化矽 氧化鋁 1 7.62 9.75 10 7.46 -28 -45 9.37 -70 -35 25 7.45 -33 -48 9.34 -65 -31 50 7.45 -47 -49 9.27 -58 -31 100 7.45 -57 -50 9.29 -50 -30DIW PCMP5510 (EKC Technology) DS6-10 DS6-10+ Glycolic Acid PCMP5500 (EKC Technology) Clean 100 Dilution ratio 1 10 25 50 100 1 10 10 50 30 0.1 or more 334 456 531 362 141 81 170 154 89 190 194 0_12 above 234 325 426 270 114 54 126 108 65 147 137 0.14 or more 263 243 368 218 91 44 97 67 45 115 102 0.17 or more 229 168 319 180 72 32 76 44 20 80 70 0.2 or more 99 126 273 153 58 27 60 35 24 60 50 0.3 Above 51 52 183 109 48 17 36 11 10 41 32 〇_5 above 17 18 109 68 23 17 22 4 4 26 18 Example 60: Comparison of particle properties -135- 200941582 Particle performance Cu blank DIW PCMP5510 (EKC Technology) DS6- 10 PCMP5500 (EKC Technology) Clean 100 dilution ratio. i 10 25 50 100 i 10 50 30 LPD count 136 101 74 80 77 65 124 164 120 38 Area count 44 17 21 12 14 14 24 43 4 11 Defect density 0.513 0.381 0.279 0.302 0.291 0.245 0.468 0.618 0.452 0.143 Example 6 1 : Comparison of particle properties Particle properties on BlackDiamondTM ( BD 1 ) PCMP5510 (EKC Technology) DS6-10 DS6-10 + Glycolic acid PCMP5500 (EKC Technology) Clean 100 Proportion 1 10 25 50 100 1 10 10 50 30 0.1 or more 446 938 693 600 2273 168 66 1124 80 693 0.12 or more 308 777 492 230 1453 122 44 791 56 427 0.14 or more 231 677 317 125 1053 82 33 645 41 272 0.17 or more 175 563 192 61 758 64 25 506 29 141 〇_2 or more 136 481 137 50 618 51 21 422 25 90 0.3 or more 55 285 41 32 379 33 11 316 14 37 0.5 or more 24 159 12 15 241 16 9 174 8 14 -136- 200941582 Example 62 @ξ EK EK EKC PCMP5510TM- DS6- dilution ratio pH silica sand alumina bismuth oxide alumina 1 7.62 9.75 10 7.46 -28 -45 9.37 -70 -35 25 7.45 -33 - 48 9.34 -65 -31 50 7.45 -47 -49 9.27 -58 -31 100 7.45 -57 -50 9.29 -50 -30

實例6 3金屬污| 备熱氧化1 吻 κ Ca Cr Mn Fe Co Ni Cu Zn DIW 4.7 ND &lt;1 &lt;1 2.0 &lt;1 ND &lt;1 ND EKC5510(EKC Technology, Inc.) 4.2 ND &lt;1 &lt;1 &lt;1 &lt;1 ND 4.0 ND Dil 10 &lt;1 ND &lt;1 &lt;1 &lt;1 ND ND 5.1 ND Dil 25 ND ND 1.0 &lt;1 4.5 &lt;1 &lt;1 4.6 ND Dil 50 3.8 ND &lt;1 ND 1.0 &lt;1 ND 4.3 ND Dil 100 &lt;1 ND &lt;1 &lt;1 &lt;1 &lt;1 ND 4.2 ND DS6-10 4.0 &lt;1 &lt;1 &lt;1 &lt;1 ND ND 2.0 ND Dil 10 2.8 &lt;1 &lt;1 ND 1.6 &lt;1 ND &lt;1 ND DS6 +乙醇酸 1.9 &lt;1 &lt;1 &lt;1 &lt;1 ND ND 5.4 ND EKC5500(EKC Technology, Inc.)dil 50 2.6 &lt;1 &lt;1 &lt;1 &lt;1 &lt;1 ND &lt;1 ND Clean 100 dil 30 &lt;1 ND &lt;1 &lt;1 1.9 &lt;1 ND 6.3 ND -137- 200941582 實例64金屬污染BDlExample 6 3 Metal contamination | Thermal oxidation 1 Kiss κ Ca Cr Mn Fe Co Ni Cu Zn DIW 4.7 ND &lt;1 &lt;1 2.0 &lt;1 ND &lt;1 ND EKC5510 (EKC Technology, Inc.) 4.2 ND &lt; 1 &lt;1 &lt;1 &lt;1 ND 4.0 ND Dil 10 &lt;1 ND &lt;1 &lt;1 &lt;1 ND ND 5.1 ND Dil 25 ND ND 1.0 &lt;1 4.5 &lt;1 &lt;1 4.6 ND Dil 50 3.8 ND &lt;1 ND 1.0 &lt;1 ND 4.3 ND Dil 100 &lt;1 ND &lt;1 &lt;1 &lt;1 &lt;1 ND 4.2 ND DS6-10 4.0 &lt;1 &lt;1 &lt;1 &lt; 1 ND ND 2.0 ND Dil 10 2.8 &lt;1 &lt;1 ND 1.6 &lt;1 ND &lt;1 ND DS6 + glycolic acid 1.9 &lt;1 &lt;1 &lt;1 &lt;1 ND ND 5.4 ND EKC5500 (EKC Technology, Inc.)dil 50 2.6 &lt;1 &lt;1 &lt;1 &lt;1 &lt;1 ND &lt;1 ND Clean 100 dil 30 &lt;1 ND &lt;1 &lt;1 1.9 &lt;1 ND 6.3 ND -137- 200941582 Example 64 Metal Pollution BDl

K Ca Cr Mn Fe Co Ni Cu Zn DIW 1.2 ND &lt;1 ND &lt;1 ND ND &lt;1 ND EKC5510(EKC Technology, Inc.) 12.6 ND &lt;1 &lt;1 1.6 ND ND 18.0 ND Dil 10 21.3 ND &lt;1 &lt;1 1.7 &lt;1 ND 19.8 ND Dil 25 17.6 &lt;1 &lt;1 &lt;1 1.7 &lt;1 ND 21.4 ND Dil 50 14.2 ND &lt;1 ND 1.3 &lt;1 ND 18.8 ND Dil 100 16.5 ND &lt;1 &lt;1 1.2 &lt;1 ND 18.1 ND DS6-10 7.1 ND &lt;1 &lt;1 1.5 &lt;1 ND 9.6 ND Dil 10 3.1 1.0 &lt;1 &lt;1 1.3 &lt;1 ND 4.4 ND DS6+乙醇酸 51.5 &lt;1 ND ND 1.9 &lt;1 ND 58.2 ND EKC5500 dil 50 21.3 ND &lt;1 &lt;1 &lt;1 ND ND 1.9 ND Clean 100 dil 30 38.9 &lt;1 &lt;1 &lt;1 2.4 &lt;1 ND 57.1 ND 實例65 : 在標準 CMP方法步驟之後接著用 EBARA EP0222D CMP工具評估各種PCMP清潔化學品。供來自 EBARA EP022 2D的後CMP清潔工具用之方法的流程如下: 拋光之後,晶圓被轉移至能提供清潔化學品和DI水 的刷子單元,接著將晶圓移至射束單元以DI清洗(高壓 噴霧水至晶圓表面)。 1 -方法 1-步驟1:主體銅移除-使用記錄方法(process of record )的標準後CMP清潔 2 ·步驟 2-阻障金屬移除 用各種化學品評估 PCMP清潔 -138- 200941582 2. PCMP清潔配方 單元 化學品/ DI水 刷子速 率 (RPM) 晶圓旋轉 (RPM) 流量 (ml) 時間 (秒) 1 刷子 化學品 100 20 600 40 2 DI水 100 20 600 20 3 射束 DI水 中間至邊緣掃描-4次 4 2000 0 3.使用Hitachi S-5200-SEM線/空間(L/S )圖案的影像 檢査/測量 當氧化銅保持在表面上時,不能觀察到銅顆粒界限。 如所指,晶圓僅用DI水處理。經由各種PCMP清潔化學 品處理之後,看見銅顆粒界限,其暗示,從表面移除氧化 銅。結果顯示,本發明的醯胺肟溶液(DS6-10)有效地從 表面移除顆粒和氧化銅,而無損害銅表面。其在稀釋因子 爲1〇時亦是有效的。當其他化學品(例如,來自EKC φ Technology 之 EKC5 5 00 和來自供應者 D 之 Clean 100 ) 能移除氧化銅時,其的使用在銅表面留下白色顆粒殘留物 。二化學品具有低pH。 實例66 : 加速銅腐蝕試驗 來自實例65的晶圓樣品被浸漬於在60。(:的清潔溶液 中達 1和 4小時。接著使用 Hitachi S-5200 Scanning Electron Microscope檢查樣品。來自 SEM照片的結果顯 -139- 200941582 示,使用本發明的醯胺肟溶液腐蝕約25 nm的銅,相較於 來自 EKC Technology, Inc 的 PCMP EKC5510 中損失 130 nm ° 實例6 7 : 顯示與低k介電材料相容的實驗 k 値移動工具:CVmap3092-A/Four Dimensions, Inc. 實例6 8 : 與其他PCMP清潔溶液相比 供應 商 產 品 成分 稀釋 PH 四級 胺 酸 添加劑 A 1 TMAH MEA 五倍子酸(ga) 30-100 : 1 12 2 TMAH MEA 抗壞血酸(AA) 30-60 : 1 12 3 TMAH MEA 五倍子酸&amp;抗壞血酸 30-60 : 1 12 4 TMAH TEA 五倍子酸&amp;抗壞血酸 30-60 : 1 10 5 TMAH TEA 五倍子酸&amp;抗壞血酸 30-60 : 1 8 5 TMAH MEA 抗壞血酸 1,2,4-*** 20-30 : 1 12 B 7 NH3/HF 檸檬酸 10-30 : 1 4 8 NH3 TAZ 1-5:1 10 9 順丁烯二酸(MA),草酸 (OA),檸檬酸(CA) 20-60 : 1 4 10 MA,CA,OA 界面活性劑 20-60 : 1 4 C 11 NH3 草酸 Mannose,界 面活性劑 20-60 : 1 4 D 12 NH3 OA DDBSA 20-100 : 1 4 於檢查市售之PCMP清潔溶液,其皆包含四級銨化合 -140- 200941582 物、胺和具有腐蝕抑制劑羧酸。這些溶液的pH藉由改變 酸和有機鹼成分而被調整。 實例69 : 清潔經BTA鈍化的銅表面 苯並***通常作爲CMP漿料混合物的腐蝕抑制劑, 以使表面免於腐蝕和碟化。非常想要在後CMP清潔步驟 φ 期間移除此BTA/銅錯合物層。進行實驗,以比較含有醯 胺肟之溶液和來自EKC Technology的市售PCMP5510溶 液的功效。將空白銅晶圓浸漬於0 · 2重量% B T A溶液達3 0 秒。經 BTA處理的晶圓接著用 AmiSorb™ DS6 ( 八111丨3〇]^说〇86爲60重量%的1,2,3,4,5,6-六-〇-〔3-(羥 基胺基)-3 -亞胺基丙基己糖醇水溶液〕)和EKC PCMP55 10 處理。 結果顯不’醯胺肟溶液比PCMP5510(來自EKC O Technol〇gy的產品)更能減少表面接觸角。此指出,清潔 的銅表面具有低接觸角測量。醯胺肟能藉由替代Bta而 移除BTA/錯合物和能形成水可溶的錯合物。 -141 - 200941582 稀釋 時間 (分鐘) 總厚度損 失(A) 接觸角 使用h2o (之前) 接觸角 使用h2o (之後) 0.2重量% BTA Cu慮 理的晶圓於AmiSorb™ DS6-10重量%溶液中 處理 100 10 39.261 50.03 22.56 20 21.688 49.35 17.41 50 10 10.731 46.22 22.12 20 48.825 46.86 17.61 10 10 65.213 47.39 21.43 20 38.106 48.33 19.35 0.2軍暈%81八(:11處 理的晶圓於PCMP5510 中處理 100 10 8.609 57.15 51.67 20 4.404 56.75 50.49 50 10 13.931 57.14 52.48 20 3.347 55.96 50.04 10 10 8.234 57.3 51.73 20 62.133 58.25 32.37 實例7 0 : 醯胺肟^ ίΡ制銅腐蝕 ID THEMAH 乳酸 檸檬酸 DS6 DI水 Cu蝕刻速 率(A/分鐘) pH 5510C 44重量% 4.5重量% 18.9重景% _ 32.6 31.5 9.0 5510-RS- SB6-5 44雷量% 4_5軍暈% 18.9重量% 5軍暈% 27,6 0.2 9.5 將含有5重量%醯胺肟之組成物加到PCMP清潔調合 物中,以將銅蝕刻速率從約32A/分鐘減低至0.2A/分鐘。 此暗示,本發明的醯胺肟溶液抑制在既存的PCMP清潔調 合物中的銅腐蝕。 實例7 1 z -142 - 200941582 本發明的另一實例爲增加離子沈積至表面之方法和裝 置’例如,鈾離子在放射性核種偵測器的偵測表面上的吸 附。該方法包括步驟將表面曝露至一或多種具有一或多個 螯合基團/試劑之化合物(該螯合基團中之至少一者爲醯 胺肟官能基/化合物),和隨意地,磷酸鹽離子溶液(其 對欲沈積在表面之經溶解的物質具有親和力)之步驟。此 提供,例如,放射性核種偵測器的增強敏度性。參見U.S ❹ 5,652,013 。 實例7 2 : 本發明的另一具體例爲用於移除乾蝕刻光阻劑殘留物 之清除劑和清潔劑,和使用該清除劑和清潔劑形成以鋁爲 底之線性圖案之方法。清除劑和清潔劑包括(a )從5至 50重量%的一或多種具有一或多個螯合基團/試劑之化合 物,該螯合基團中之至少一者爲醯胺肟官能基/化合物; 〇 ( b )從0.5至1 5重量%的氟化合物;和(c )溶劑(包括 水)。本發明方法有利地應用於以清除劑和清潔劑處理乾 飩刻過的半導體基板。半導體基板包括在其上具有含有鋁 之導電層之半導體晶圓。導電層透過圖案化的光阻遮罩而 被乾蝕刻,以形成具有經蝕刻的側壁之線路體。乾蝕刻在 側壁形成側壁保護膜。依據本發明方法,側壁保護膜和其 他光阻劑殘留物完全被釋出,而無損害線路體。參見U. S 5,630,904 。 -143- 200941582 實例7 3 : U.S 6,927,176描述螯合化合物的有效性(由於其結合 位置),且被說明於US 6,927,176的圖2a和2b。其強調 有6個結合位置 ΟK Ca Cr Mn Fe Co Ni Cu Zn DIW 1.2 ND &lt;1 ND &lt;1 ND ND &lt;1 ND EKC5510 (EKC Technology, Inc.) 12.6 ND &lt;1 &lt;1 1.6 ND ND 18.0 ND Dil 10 21.3 ND &lt;1 &lt;1 1.7 &lt;1 ND 19.8 ND Dil 25 17.6 &lt;1 &lt;1 &lt;1 1.7 &lt;1 ND 21.4 ND Dil 50 14.2 ND &lt;1 ND 1.3 &lt;1 ND 18.8 ND Dil 100 16.5 ND &lt;1 &lt;1 1.2 &lt;1 ND 18.1 ND DS6-10 7.1 ND &lt;1 &lt;1 1.5 &lt;1 ND 9.6 ND Dil 10 3.1 1.0 &lt;1 &lt;1 1.3 &lt;1 ND 4.4 ND DS6+ Glycolic acid 51.5 &lt;1 ND ND 1.9 &lt;1 ND 58.2 ND EKC5500 dil 50 21.3 ND &lt;1 &lt;1 &lt;1 ND ND 1.9 ND Clean 100 dil 30 38.9 &lt;1 &lt;1 &lt;1 2.4 &lt; 1 ND 57.1 ND Example 65: Various PCMP cleaning chemicals were evaluated following the standard CMP method step followed by the EBARA EP0222D CMP tool. The procedure for the method for the post CMP cleaning tool from EBARA EP022 2D is as follows: After polishing, the wafer is transferred to a brush unit that provides cleaning chemicals and DI water, and then the wafer is moved to the beam unit for DI cleaning ( High pressure spray water onto the wafer surface). 1 - Method 1 - Step 1: Body copper removal - Standard post-CMP cleaning using process of record 2 - Step 2 - Barrier metal removal with various chemicals to evaluate PCMP cleaning - 138 - 200941582 2. PCMP Clean Recipe Unit Chemical / DI Water Brush Rate (RPM) Wafer Rotation (RPM) Flow (ml) Time (seconds) 1 Brush Chemical 100 20 600 40 2 DI Water 100 20 600 20 3 Beam DI Water Middle to Edge Scanning - 4 times 4 2000 0 3. Image inspection/measurement using Hitachi S-5200-SEM line/space (L/S) pattern When copper oxide is kept on the surface, copper particle boundaries cannot be observed. As indicated, the wafer is only treated with DI water. After treatment with various PCMP cleaning chemistries, copper particle boundaries were seen, suggesting that copper oxide was removed from the surface. The results show that the amidoxime solution (DS6-10) of the present invention effectively removes particles and copper oxide from the surface without damaging the copper surface. It is also effective at a dilution factor of 1 Torr. When other chemicals (for example, EKC5 5 00 from EKC φ Technology and Clean 100 from supplier D) can remove copper oxide, its use leaves a white residue on the copper surface. The second chemical has a low pH. Example 66: Accelerated Copper Corrosion Test The wafer sample from Example 65 was immersed at 60. (: 1 in the cleaning solution for 1 and 4 hours. The sample was then inspected using a Hitachi S-5200 Scanning Electron Microscope. Results from SEM photographs - 139-200941582 showed that copper of about 25 nm was etched using the amidoxime solution of the present invention. Loss of 130 nm ° in PCMP EKC5510 from EKC Technology, Inc. Example 6 7 : Shows experimental k 値 moving tool compatible with low-k dielectric materials: CVmap3092-A/Four Dimensions, Inc. Example 6 8 : Compared with other PCMP cleaning solutions, supplier product ingredients diluted PH quaternary acid additive A 1 TMAH MEA gallic acid (ga) 30-100 : 1 12 2 TMAH MEA ascorbic acid (AA) 30-60 : 1 12 3 TMAH MEA Gallic Acid &amp; Ascorbic Acid 30-60 : 1 12 4 TMAH TEA Gallic Acid &amp; Ascorbic Acid 30-60 : 1 10 5 TMAH TEA Gallic Acid &amp; Ascorbic Acid 30-60 : 1 8 5 TMAH MEA Ascorbic Acid 1,2,4-Triazole 20-30 : 1 12 B 7 NH3/HF Citric acid 10-30 : 1 4 8 NH3 TAZ 1-5:1 10 9 Maleic acid (MA), oxalic acid (OA), citric acid (CA) 20- 60 : 1 4 10 MA, CA, OA Surfactant 20 -60 : 1 4 C 11 NH3 Mannose Oxalate, Surfactant 20-60 : 1 4 D 12 NH3 OA DDBSA 20-100 : 1 4 Check the commercially available PCMP cleaning solution, which contains the quaternary ammonium compound -140- 200941582 Compounds, amines and carboxylic acids with corrosion inhibitors. The pH of these solutions is adjusted by changing the acid and organic base components. Example 69: Cleaning BTA passivated copper surface Benzotriazole is usually used as a corrosion of CMP slurry mixture Inhibitors to protect the surface from corrosion and dishing. It is highly desirable to remove this BTA/copper complex layer during the post-CMP cleaning step φ. Experiments were performed to compare solutions containing amidoxime and from EKC Technology Efficacy of commercially available PCMP5510 solution. The blank copper wafer was immersed in 0. 2 wt% BTA solution for 30 seconds. The BTA treated wafer was then treated with AmiSorbTM DS6 (8111丨3〇]^〇86 for 60 Weight % of 1,2,3,4,5,6-hexa-indole-[3-(hydroxyamino)-3-iminopropylhexitol aqueous solution]) and EKC PCMP55 10 treatment. The results showed that the amidoxime solution was more able to reduce the surface contact angle than the PCMP5510 (product from EKC O Technol〇gy). This indicates that the cleaned copper surface has a low contact angle measurement. Amidoxime can remove BTA/compounds and form water-soluble complexes by replacing Bta. -141 - 200941582 Dilution time (minutes) Total thickness loss (A) Contact angle using h2o (previous) Contact angle using h2o (after) 0.2% by weight BTA Cu wafers treated in AmiSorbTM DS6-10% by weight solution 100 10 39.261 50.03 22.56 20 21.688 49.35 17.41 50 10 10.731 46.22 22.12 20 48.825 46.86 17.61 10 10 65.213 47.39 21.43 20 38.106 48.33 19.35 0.2 military halo%81 eight (: 11 processed wafers processed in PCMP5510 100 10 8.609 57.15 51.67 20 4.404 56.75 50.49 50 10 13.931 57.14 52.48 20 3.347 55.96 50.04 10 10 8.234 57.3 51.73 20 62.133 58.25 32.37 Example 7 0 : Amidoxime Ρ copper corrosion ID THEMAH lactate citric acid DS6 DI water Cu etching rate (A / min) pH 5510C 44% by weight 4.5% by weight 18.9 Respecting % _ 32.6 31.5 9.0 5510-RS- SB6-5 44 Thunder % 4_5Arbo% 18.9% by weight 5 Army Halo 27,6 0.2 9.5 Will contain 5% by weight of amidoxime The composition was added to the PCMP cleaning blend to reduce the copper etch rate from about 32 A/min to 0.2 A/min. This suggests that the amidoxime solution of the present invention is inhibited in the existing P. Copper corrosion in CMP cleaning blends. Example 7 1 z - 142 - 200941582 Another example of the invention is a method and apparatus for increasing ion deposition to a surface 'e.g., uranium ions on the detection surface of a radioactive nuclear detector Adsorption. The method comprises the steps of exposing a surface to one or more compounds having one or more chelating groups/agents (at least one of the chelating groups is an amidoxime functional group/compound), and optionally a phosphate ion solution (having an affinity for a dissolved substance to be deposited on a surface). This provides, for example, enhanced sensitivity of a radionuclide detector. See US Pat. No. 5,652,013. Example 7 2: The present invention Another specific example is a scavenger and a cleaning agent for removing dry etching photoresist residues, and a method of forming an aluminum-based linear pattern using the scavenger and detergent. Scavengers and cleaning agents include ( a) from 5 to 50% by weight of one or more compounds having one or more chelating groups/reagents, at least one of which is an amidoxime functional group/compound; 〇(b) from 0.5 to 1 5% by weight of a fluorine compound; and (c) a solvent (including water). The method of the present invention is advantageously applied to the treatment of dry-etched semiconductor substrates with a scavenger and a cleaning agent. The semiconductor substrate includes a semiconductor wafer having a conductive layer containing aluminum thereon. The conductive layer is dry etched through the patterned photoresist mask to form a trace body having etched sidewalls. The dry etching forms a sidewall protective film on the sidewall. According to the method of the present invention, the sidewall protective film and other photoresist residues are completely released without damaging the wiring body. See U. S 5,630,904. -143- 200941582 Example 7 3: U.S. 6,927,176 describes the effectiveness of a chelate compound (due to its binding position) and is illustrated in Figures 2a and 2b of US 6,927,176. It emphasizes that there are 6 binding positions.

OH 〇OH 〇

❿ 藉由應用至醯胺肟(來自乙二胺的氰基乙基化的化合 物轉換)之相同原則,其結果總共有14個結合位置,如 下面所述藉 By applying the same principle to amidoxime (conversion from cyanoethylation of ethylenediamine), the result has a total of 14 binding sites, as described below.

1,2,3,4,5,6-(六-(2-醯胺肟基)乙氧基)己烷 -144- 2009415821,2,3,4,5,6-(hexa-(2-nonylamino)ethoxy)hexane -144- 200941582

ee

總共有1 8個結合位置。所請之醯胺肟螯合劑可替代聚丙 烯酸酯類、碳酸鹽類、膦酸鹽類、和葡萄糖酸酯類、乙二 胺四乙酸(EDTA) 、N,N’-二(2-羥基苯基)乙二亞胺基 二乙酸(HPED )、三伸乙基四氮基六乙酸(TTHA )、 desferriferrioxamin B、N,N’,N”-三〔2- ( N-涇基羯基)乙 基〕-l,3,5-苯三羧醯胺(BAMTPH)、和乙二胺二鄰-羥基 苯基乙酸(EDDHA )。 本發明的清潔溶液包括含有下列成分之組成物: A)具有一或多個醯胺肟官能基之有機化合物There are a total of 18 binding positions. The desired amidoxime chelating agent can replace polyacrylates, carbonates, phosphonates, and gluconates, ethylenediaminetetraacetic acid (EDTA), N,N'-bis(2-hydroxybenzene). Ethylenediimidodiacetic acid (HPED), tri-extension ethyltetrakis hexaacetic acid (TTHA), desferriferrioxamin B, N, N', N"-tris[2-(N-mercaptopurinyl) a group of -1,3,5-benzenetricarboxyguanamine (BAMTPH), and ethylenediamine di-o-hydroxyphenylacetic acid (EDDHA). The cleaning solution of the present invention comprises a composition comprising the following components: A) having one Or a plurality of amidoxime functional organic compounds

NOH R^^NRaRb 或其鹽類。 首先考慮到醯胺肟官能基本身,於一具體例中’ 和1 Rb獨立地爲氫、烷基、雜-烷基、烷基-芳基、或烷基-雜 芳基基團。R獨立地選自烷基、烷基-芳基、或烷基-雜芳 基基團。於此二具體例中,醯胺肟至金屬中心之螯合作用 -145- 200941582 可爲有利的,因爲於與金屬中心反應中,質子可從NRaRb 失去,以便與金屬中心形成名義上之共價鍵。 於另一具體例中,NRaRb進一步被Re取代,因此醯胺 肟具有下面的化學結構:NOH R^^NRaRb or a salt thereof. First, the amidoxime functional body is considered. In one embodiment, 'and 1 Rb are independently hydrogen, alkyl, hetero-alkyl, alkyl-aryl, or alkyl-heteroaryl groups. R is independently selected from an alkyl group, an alkyl-aryl group, or an alkyl-heteroaryl group. In the two specific examples, the chelation of amidoxime to the metal center -145-200941582 may be advantageous because in the reaction with the metal center, protons may be lost from the NRaRb to form a nominal covalent bond with the metal center. key. In another embodiment, the NRaRb is further substituted by Re, and thus the indoleamine has the following chemical structure:

NOHNOH

NIRaRbRcNIRaRbRc

於此情況中,相對離子平衡氮原子上的正電荷。可使 用任何相對離子,例如,氯化物、溴化物、碘化物、S 04 離子、PF6離子或C104離子。Re可爲氫、烷基、烷基-芳 基、或烷基-雜芳基基團。 要注意的是,Ra、Rb和/或Rc可彼此連接和/或連接至 R以便形成一或多個環。 亦要注意的是,醯胺肟可以其互變異構物存在:In this case, the relative ions balance the positive charge on the nitrogen atom. Any relative ion can be used, for example, chloride, bromide, iodide, S04 ion, PF6 ion or C104 ion. Re may be a hydrogen, an alkyl group, an alkyl-aryl group, or an alkyl-heteroaryl group. It is to be noted that Ra, Rb and/or Rc may be connected to each other and/or to R to form one or more rings. It should also be noted that amidoxime can exist as its tautomer:

NOH ANOH A

NHOH FT 、NHRa R 、NRa 主要或完全以此互變異構形式存在的化合物涵蓋在本 發明的範圍內。 據此,醯胺肟官能基包括下面官能性和其互變異構物Compounds in which NHOH FT , NHRa R , NRa are present mainly or completely in this tautomeric form are encompassed within the scope of the invention. Accordingly, the amidoxime functional group includes the following functionalities and tautomers thereof

NOHNOH

NOHNOH

NOHNOH

NOH FT 、NH2 R, 、NRaH R, ,NRaRb R' 、NRaRbRc 其中R可連接至Ra、Rb和Rc中之一或多者。 例如,醯胺肟官能基在其範圍內包括: -146- 200941582NOH FT , NH 2 R, , NRaH R , , NRaRb R′ , NRaRbRc wherein R may be bonded to one or more of Ra, Rb and Rc. For example, amidoxime functional groups include within their scope: -146- 200941582

NOH R^^N(Alk)3 其中Aik是如下所定義之烷基基團。三個烷基基團可獨立 地選取或可爲相同。於一具體例中,烷基基團是甲基或乙 基。 現轉至R基團,R可爲烷基基團(換言之,含有碳和 氫之基團)。烷基基團可爲完全飽和,或可包含不飽和的 基團(即,可包含烯烴和炔烴官能基,因此術語“蔗基”在 其範圍內包含術語“烯基”和“炔基”)。烷基基團可爲直鏈 或支鏈。 烷基基團可包含任何數量之碳和氫原子。雖然具有較 少碳原子數的烷基基團更易溶於極性溶劑(例如DMSO和 水),但是具有較多碳原子數的烷基基團可具有其他有利 的性質,例如界面活性劑的性質。因此,於一具體例中, 烷基基團包含1至10個碳原子,例如烷基基團爲含有1 至6個碳原子之低級烷基基團。於另一具體例中,烷基基 團包含10或更多個碳原子,例如12至24個碳原子。 烷基基團可爲未經取代的(即,烷基基團只包括碳和 氫)。未經取代的烷基基團可爲不飽和的或飽和的。可能 的飽和未經取代的烷基基團的範例包含,甲基、乙基、正 丙基、第二丙基、環丙基、正丁基、第二丁基、第三丁基 、環丁基、戊基(支鏈或非支鏈)、己基(支鏈或非支鏈 )、庚基(支鏈或非支鏈)、辛基(支鏈或非支鏈)、壬 基(支鏈或非支鏈)、和癸基(支鏈或非支鏈)。亦可使 用具有較大碳數之飽和的未經取代的烷基基團。亦可使用 -147- 200941582 環烷基基團,因此烷基基團可包括,例如,環丙基基團、 環丁基基團、環戊基基團、環己基基團、環庚基基團、環 辛基基團、環壬基基團和/或環癸基基團。這些環烷基基 團可直接附加醯胺肟基團或可透過一或多個碳原子連接至 醯胺肟。 含未經取代的飽和烷基基團基團之醯胺肟化合物的範 例包含: X, ^ ^NOH R^^N(Alk)3 wherein Aik is an alkyl group as defined below. The three alkyl groups may be independently selected or may be the same. In one embodiment, the alkyl group is methyl or ethyl. Turning now to the R group, R can be an alkyl group (in other words, a group containing carbon and hydrogen). The alkyl group may be fully saturated or may comprise an unsaturated group (ie, may comprise an alkene and an alkyne functional group, such that the term "stobe group" encompasses within its scope the terms "alkenyl" and "alkynyl". ). The alkyl group can be straight or branched. The alkyl group can contain any number of carbon and hydrogen atoms. While alkyl groups having fewer carbon atoms are more soluble in polar solvents such as DMSO and water, alkyl groups having more carbon numbers may have other advantageous properties, such as the nature of the surfactant. Thus, in one embodiment, the alkyl group contains from 1 to 10 carbon atoms, for example, the alkyl group is a lower alkyl group having from 1 to 6 carbon atoms. In another embodiment, the alkyl group contains 10 or more carbon atoms, such as 12 to 24 carbon atoms. The alkyl group can be unsubstituted (i.e., the alkyl group includes only carbon and hydrogen). Unsubstituted alkyl groups can be unsaturated or saturated. Examples of possible saturated unsubstituted alkyl groups include methyl, ethyl, n-propyl, second propyl, cyclopropyl, n-butyl, t-butyl, t-butyl, cyclobutyl Base, pentyl (branched or unbranched), hexyl (branched or unbranched), heptyl (branched or unbranched), octyl (branched or unbranched), fluorenyl (branched) Or unbranched), and thiol (branched or unbranched). It is also possible to use an unsubstituted alkyl group having a saturated carbon number. A -147-200941582 cycloalkyl group may also be used, and thus the alkyl group may include, for example, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, a cycloheptyl group. a group, a cyclooctyl group, a cyclodecyl group and/or a cyclodecyl group. These cycloalkyl groups may be attached directly to the amidoxime group or may be attached to the amidoxime via one or more carbon atoms. An example of an amidoxime compound containing an unsubstituted saturated alkyl group includes: X, ^ ^

-148- 200941582-148- 200941582

範例另外包括:Examples include:

NOHNOH

N(Alk)3 其中Aik爲甲基或乙基,和R爲烷基基團,典型但不必要 爲直鏈。R可爲例如含有8至25個碳原子之烷基基團。 若烷基基團爲經取代的,其可例如在醯胺肟基團的相對端 上之烷基基團上予以取代。例如,其可在與醯胺肟基團正 相反地位置上經一或多個鹵素(例如,氟)予以取代。 範例另外包括附加二或更多個醯胺肟官能基之烷基基N(Alk)3 wherein Aik is methyl or ethyl, and R is an alkyl group, typically but not necessarily linear. R may be, for example, an alkyl group having 8 to 25 carbon atoms. If the alkyl group is substituted, it can be substituted, for example, on an alkyl group at the opposite end of the amidoxime group. For example, it may be substituted with one or more halogens (e.g., fluorine) at a position opposite to the amidoxime group. Examples further include an alkyl group to which two or more amidoxime functional groups are added

團。 例如,醯胺肟可爲=group. For example, amidoxime can be =

NH2 NOH NOH 其中R是伸烷基基團。例如,R獨立地選自伸烷基、伸雜 烷基、伸芳基、伸雜芳基、伸烷基-雜芳基、或伸烷基-芳 基基團。適合的基團之範例包含伸甲基、伸乙基、伸丙基 、伸丁基、伸戊基、伸己基、伸庚基、伸辛基、伸壬基、 和伸癸基。 -149- 200941582 未經取代的飽和烷基醯胺肟的具體範例爲:NH2 NOH NOH wherein R is a alkylene group. For example, R is independently selected from alkyl, heteroalkyl, aryl, heteroaryl, alkyl-heteroaryl, or alkyl-aryl groups. Examples of suitable groups include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, decyl, and thiol. -149- 200941582 Specific examples of unsubstituted saturated alkyl amidoxime are:

若烷基基團爲不飽和的,其可爲剛好例示的任何基團 ,除了具有一或多個不飽和的碳-碳鍵(因此其可包含一 或多個烯和/或炔基團)。這些不飽和基團可隨意地與醯 胺肟基團共軛。未經取代的不飽和烷基醯胺肟分子的具體 範例爲:If the alkyl group is unsaturated, it can be any group just exemplified, except that it has one or more unsaturated carbon-carbon bonds (so it can contain one or more alkene and/or alkyne groups) . These unsaturated groups are optionally conjugated to an amidoxime group. Specific examples of unsubstituted unsaturated alkyl amidoxime molecules are:

烷基基團亦可經一或多個雜原子或含雜原子之基團取 代。若存有多於一個雜取代基時,取代基彼此獨立地被選 取,除非其形成特定官能基(例如,醯胺基團)的一部份 。(連接至碳原子之含雜原子基團被涵蓋在術語“霧苈基” 之範圍內’如下所討論)。一或多個取代基可爲鹵素原子 (包括氟、氯、溴或碘)、-oh、=o、-nh2、=nh、· NHOH、=ΝΟΗ、-ΟΡΟ ( OH) 2、-SH、=S 或-S02OH。於一 具體例中,取代基爲肟基團(=N〇H )。烷基基團本身亦 可爲經一或多個酿胺聘官能基取代。 若烷基基團經=〇取代’則烷基基團可包括醛、酮、 羧酸或醯胺。較佳地’有鄰接至=〇、=NH或=NOH之可烯 -150- 200941582 醇化的氫(即,在羰基的ot位置有氫)。烷基基團可包括 下面官能性:-(CZ! ) -CH- ( CZ2 )-,其中Zi和Z2獨立 地選自Ο、NH和N0H。於此基團中的CH進一步經氫或 烷基基團取代,或連接至醯胺肟官能基。 因此,附加醯胺肟基團之烷基基團可簡單地經,例如 ,一或多個獨立選取的鹵素(例如,氟、氯、溴或碘)取 代。於一具體例中,鹵素在醯胺肟基團的正相反(即,相 0 對)端的烷基基團上經取代。此可例如提供界面活性劑活 性,特別是,例如,若鹵素爲氟。 被經取代的烷基基團取代的醯胺肟基團的具體例爲:The alkyl group can also be substituted with one or more heteroatoms or groups containing heteroatoms. Where more than one hetero substituent is present, the substituents are selected independently of each other unless they form part of a particular functional group (e.g., a guanamine group). (The hetero atom-containing group attached to a carbon atom is encompassed by the term "haze group" as discussed below). One or more substituents may be a halogen atom (including fluorine, chlorine, bromine or iodine), -oh, =o, -nh2, =nh, ·NHOH, =ΝΟΗ, -ΟΡΟ(OH) 2, -SH, = S or -S02OH. In one embodiment, the substituent is an anthracene group (=N〇H). The alkyl group itself may also be substituted with one or more of the amine functional groups. An alkyl group can include an aldehyde, a ketone, a carboxylic acid or a decylamine if the alkyl group is substituted by = ’. Preferably, there is an alkene-150-200941582 alcohol which is adjacent to = 〇, =NH or =NOH (i.e., hydrogen is present at the ot position of the carbonyl group). The alkyl group may include the following functionalities: -(CZ!) -CH-(CZ2)-, wherein Zi and Z2 are independently selected from the group consisting of ruthenium, NH and NOH. The CH in this group is further substituted with a hydrogen or alkyl group or attached to an amidoxime functional group. Thus, the alkyl group of the additional amidoxime group can be simply substituted with, for example, one or more independently selected halogens (e.g., fluorine, chlorine, bromine or iodine). In one embodiment, the halogen is substituted on the alkyl group at the opposite (i.e., phase 0) end of the amidoxime group. This may, for example, provide surfactant activity, particularly, for example, if the halogen is fluorine. Specific examples of the amidoxime group substituted with a substituted alkyl group are:

N0HN0H

下面實例提供此分子的詳細特性。 於Θ位置經取的化合物合宜地從可輕易取得的起始材The following examples provide detailed characteristics of this molecule. The compound taken at the position of the oxime is conveniently obtained from readily available starting materials.

料合成。 此類化合物的範例包括: 200941582Material synthesis. Examples of such compounds include: 200941582

Ο ΝΟΗ Ο ΝΟΗ Ο ΝΟΗΟ ΝΟΗ Ο Ο Ο ΝΟΗ

Η2ΝΗ2Ν

νη2Ηη2

HEtNHEtN

ΝΗ2ΝΗ2

EtMeNEtMeN

s χs χ

Et2N〆Et2N〆

其中1和R2爲獨立選取的烷基基團或氫原子。 經取代的烷基醯胺肟分子的具體範例爲:Wherein 1 and R2 are independently selected alkyl groups or hydrogen atoms. Specific examples of substituted alkyl amidoxime molecules are:

-152- 200941582-152- 200941582

應注意的是,一些該等分子可以不同的異構物形式存在。 例如: h2nIt should be noted that some of these molecules may exist in different isomeric forms. For example: h2n

00

OHOH

此不同的異構物可用碳-1 3 NMR區別。實例提供此異構物 的特性。 R可爲雜烷基基團。術語“雜烷基”意指連接至一或多 個獨立選取的雜原子或含雜原子之基團之隨意的第一個烷 基基團,其本身經一或多個獨立選取的含有一或多個碳原 子之基圑取代。第一個烷基基團的存在是隨意的,因爲醯 胺肟基團可直接連接至一或多個雜原子。關於說明性範例 ,經醚基團取代的烷基基團爲雜烷基基團,因爲烷基基團 ® 經氧取代,其本身經第二個烷基基團取代。或者,-o-ch3 基團爲雜烷基基團的範例。 當R爲雜烷基基團,醯胺肟可具有下面化學結構:This different isomer can be distinguished by carbon-1 3 NMR. Examples provide the properties of this isomer. R can be a heteroalkyl group. The term "heteroalkyl" means a random first alkyl group attached to one or more independently selected heteroatoms or heteroatom-containing groups, which itself contains one or more independently selected ones or ones. Substituted by a plurality of carbon atoms. The presence of the first alkyl group is optional since the amidoxime group can be attached directly to one or more heteroatoms. With regard to the illustrative example, an alkyl group substituted with an ether group is a heteroalkyl group, since the alkyl group ® is substituted by oxygen, which itself is substituted with a second alkyl group. Alternatively, the -o-ch3 group is an example of a heteroalkyl group. When R is a heteroalkyl group, the amidoxime may have the following chemical structure:

NOHNOH

其中“η”爲1至N,和y爲從1至Υη;Ν爲〇至3; 丫„爲0 至5。於此化學式中’ I爲獨立選取的伸烷基基團;、係 獨立地選自烷基、或雜-烷基基團、或靠近Rl以便形成具 -153- 200941582 有直接附加Χη的雜環。Rl亦可爲直接鍵,使得醯胺肟基 團直接連接至一或多個雜原子。又11爲選自硼、氮、氧、矽 、磷和硫之雜原子或含雜原子之基團。每一雜原子或含雜 原子之基團和每一烷基基團彼此獨立地被選取。上面化學 式包括直接帶有烷基基團之醯胺肟基團。烷基基團經N個 獨立選取的雜原子或含雜原子之基團取代。每一雜原子或 含雜原子之基團本身經一或多個獨立選取的烷基基團或 雜-烷基基團取代。 X爲一或多種雜-原子。例如,X可爲或可包括硼、氮 、氧、矽、磷和硫。於一具體例中,X爲氧。於此情況中 ,X 可爲醚基團(-〇-)、酯(-0-(:0-)、-0-(:0-0-、-0-CO-NH-、-0-C0-NR2-、-0-CNH-、-0-CNH-0-、-O-CNH-NH- 、 -O-CNH-NR2- 、 -O-CNOH- 、 -O-CNOH-O- 、 -0- CNOH-NH-或-0-CN0H-NR2-的部分,其中 R2爲獨立選取 的烷基基團、雜-烷基基團、或雜-芳基基團。於另一具體 例中,X爲氮原子。於此情況中,X可爲一個下面基團的 部分:-NR2H、-NR2-、-NR2R3-(具有適當的相對離子) 、-NHNH-、-NH-CO-、-NR2-CO-、-NH-C0-0-、-NH-CO-NH-、-NH-CO-NR.2' ' -NR2-CO-NH-、-NR_2_CO-NR3-、-NH-CNH- ' -NR2-CNH-、-NH-CNH-0-、-NH-CNH-NH-、-NH-CNH-NR2- ' -NR2-CNH-NH- ' -NR2-CNH-NR3- ' -NH-CNOH-、-NR2-CNOH- &gt; -NH-CNOH-O- ' -NH-CNOH-NH-、-NH-CNOH-NR2- ' -NR2-CNOH-NH- ' -NR2-CNOH-NR3-。R2至R3爲獨立選取的烷基基團、雜-烷基基團、或雜- -154 - 200941582 芳基基團,其中雜烷基基團和雜-芳基基團可爲 的或經一或多個雜原子或含雜原子之基團取代, 另一個雜烷基基團取代。若存有多於一個雜-取 代基彼此獨立地被選取,除非其形成特定官能基 醯胺基團)的部分。 於另一具體例中,X包括硼。於此情況中, 括氧。於另一具體例中,X包括磷。於此情況中 0 包括氧,例如,於-ΟΡΟ ( OH ) ( 0R2 )基團i 0HR2 ) ( OR3 )基團中。於另一具體例中,X包 如,作爲硫醇醚或作爲颯。 術語“雜烷基”在其範圍內亦包括含有雜原子 基團。若X爲N或0,此類基團的範例包括內酯 或內醯亞胺。雜烷基基團的另外範例包括氮咀、 阻、二硫阻、二氫呋喃、四氣呋喃、二氫噻吩、 、哌啶、吡咯啉、吡咯啶、四氫吡喃、二氫吡喃 O thiane )、哌哄、噚哄、二硫卩山、二噚卩山和味啉。 基團可直接連接至醯胺肟基團,或可經由烷基基 醯胺肟基團。 雜烷基基團可爲未經取代的或經一或多個亲 含雜-原子之基團取代’或本身經另一個雜烷基 。若存有多於一個雜-取代基,取代基彼此獨立 ’除非其形成特定官能基(例如,醯胺基團)的 或多個取代基可爲鹵素原子(包括氟、氯、溴写 0H、=0、·ΝΗ2、=NH、_NH0H、=N0H、-0P0 ( 未經取代 或本身經 代基,取 (例如, X亦可包 ,X亦可 ^ -0Ρ0 ( 括硫,例 之環烷基 、內醯胺 氧咀、硫 四氫噻吩 、硫卩山( 這些環狀 團連接至 I -原子或 基團取代 地被選取 部分。一 g 碘)、-0H) 2 ' - -155- 200941582 SH、=S或-S020H。於一具體例中,取代基爲肟基團( = NOH)。雜烷基基團本身亦可經一或多個醯胺肟官能基 取代。 若雜烷基基團經=〇取代,雜烷基基團可包括醛、酮 、羧酸或醯胺。較佳地,有鄰接至=0、=NH或=NOH之可 烯醇化的氫(即,在羰基的α位置有氫)。雜烷基基團可 包括下面官能性:-(CZi ) -CH- ( CZ2 )-,其中Zi和Ζ2 係獨立地選自〇、ΝΗ和ΝΟΗ。於此基團中的CH進一步 經氫或烷基基團或雜烷基基團取代,或連接至醯胺肟官能 基。 胺特別地爲用於本發明之多功能官能基,部分因爲其 易於製備。例如,藉由使用如後面所述之丙烯腈,可合成 各種官能化的胺。 範例包括: -156- 200941582Wherein "η" is 1 to N, and y is from 1 to Υη; Ν is 〇 to 3; 丫„ is 0 to 5. In this formula, 'I is an independently selected alkylene group; It is selected from an alkyl group, or a hetero-alkyl group, or close to R1 to form a heterocyclic ring having a direct addition of Χη with -153-200941582. Rl may also be a direct bond, such that the amidoxime group is directly attached to one or more And a hetero atom or a hetero atom-containing group selected from the group consisting of boron, nitrogen, oxygen, helium, phosphorus and sulfur. Each hetero atom or a hetero atom-containing group and each alkyl group are each other. Independently selected. The above chemical formula includes an amidoxime group directly bearing an alkyl group. The alkyl group is substituted by N independently selected heteroatoms or groups containing a hetero atom. Each hetero atom or impurity The atomic group itself is substituted with one or more independently selected alkyl groups or hetero-alkyl groups. X is one or more hetero-atoms. For example, X may be or may include boron, nitrogen, oxygen, hydrazine. , phosphorus and sulfur. In one embodiment, X is oxygen. In this case, X may be an ether group (-〇-), an ester (-0-(:0-),-0-(:0- 0-,-0-CO-NH- -0-C0-NR2-, -0-CNH-, -0-CNH-0-, -O-CNH-NH-, -O-CNH-NR2-, -O-CNOH-, -O-CNOH-O a moiety of -, -0-CNOH-NH- or -0-CN0H-NR2- wherein R2 is an independently selected alkyl group, a hetero-alkyl group, or a hetero-aryl group. In the example, X is a nitrogen atom. In this case, X may be a moiety of the following group: -NR2H, -NR2-, -NR2R3- (with appropriate relative ions), -NHNH-, -NH-CO- , -NR2-CO-, -NH-C0-0-, -NH-CO-NH-, -NH-CO-NR.2' '-NR2-CO-NH-, -NR_2_CO-NR3-, -NH- CNH- '-NR2-CNH-, -NH-CNH-0-, -NH-CNH-NH-, -NH-CNH-NR2- '-NR2-CNH-NH- '-NR2-CNH-NR3- ' - NH-CNOH-, -NR2-CNOH- &gt; -NH-CNOH-O- '-NH-CNOH-NH-, -NH-CNOH-NR2- '-NR2-CNOH-NH- '-NR2-CNOH-NR3 R2 to R3 are independently selected alkyl groups, hetero-alkyl groups, or hetero--154-200941582 aryl groups, wherein the heteroalkyl group and the hetero-aryl group may be or Substituted by one or more heteroatoms or groups containing a hetero atom, another heteroalkyl group is substituted. If more than one hetero-substituent is present independently of each other, unless it is formed Acyl group part of amine functional groups). In another embodiment, X comprises boron. In this case, oxygen is included. In another embodiment, X comprises phosphorus. In this case 0 includes oxygen, for example, in the group -(OH ) ( 0R2 ) group i 0HR2 ) ( OR3 ). In another embodiment, X is, for example, a thiol ether or a hydrazine. The term "heteroalkyl" also includes within its scope heteroatom-containing groups. If X is N or 0, examples of such groups include lactones or linoleimines. Further examples of heteroalkyl groups include nitrogen, hindrance, disulfide, dihydrofuran, tetrahydrofuran, dihydrothiophene, piperidine, pyrroline, pyrrolidine, tetrahydropyran, dihydropyran Thiane ), piperazine, hydrazine, dithizone, erbium and porphyrin. The group may be attached directly to the amidoxime group or may be via an alkyl amidoxime group. The heteroalkyl group can be unsubstituted or substituted by one or more heteroatom-containing groups or itself via another heteroalkyl group. If more than one hetero-substituent is present, the substituents are independent of each other 'unless they form a specific functional group (eg, a guanamine group) or a plurality of substituents may be a halogen atom (including fluorine, chlorine, bromine, 0H, =0, ·ΝΗ2,=NH, _NH0H,=N0H, -0P0 (unsubstituted or by itself, take (for example, X can also be packaged, X can also be ^ -0Ρ0 (including sulfur, for example, cycloalkyl) , decylamine sulfoxide, thiotetrahydrothiophene, thioxanthene (these cyclic groups are attached to the I-atom or a group is substituted for the selected moiety. One g of iodine), -0H) 2 ' - -155- 200941582 SH , =S or -S020H. In one embodiment, the substituent is a fluorenyl group (=NOH). The heteroalkyl group itself may also be substituted with one or more amidoxime functional groups. Substituted by hydrazine, the heteroalkyl group may include an aldehyde, a ketone, a carboxylic acid or a decylamine. Preferably, there is an enolizable hydrogen adjacent to =0, =NH or =NOH (ie, alpha in the carbonyl group) The hydrogen alkyl group may include the following functional group: -(CZi) -CH-(CZ2)-, wherein the Zi and oxime 2 are independently selected from the group consisting of ruthenium, osmium and iridium. Enter one Substituted by hydrogen or an alkyl group or a heteroalkyl group, or attached to an amidoxime functional group. The amine is particularly useful as a multifunctional functional group in the present invention, in part because it is easy to prepare, for example, by using, for example. A variety of functionalized amines can be synthesized from acrylonitrile as described below. Examples include: -156- 200941582

R本身可爲伸烷基基團或雜原子或含雜原子之基團。 子可爲未經取代的或經一或多個烷基基團。 R本身可爲雜-原子或含雜原子之基團。雜原子可 經取代的或經一或多個烷基基團。例如,R可爲Η、 、NHR,、01^或NIR2,其中1^和R2爲獨立選取的 © 基團。 R可爲芳基基團。術語&quot;芳基”意指含有芳香族環 團。芳基取代基的特定範例爲苯基基團。芳基基團可 經取代的。帶有未經取代的芳基的醯胺肟的具體例爲: NO Η 芳基基團亦可經一或多個烷基基團、雜烷基基團或雜 雜原 爲未 nh2 院基 的基 爲未 原子 -157- 200941582 取代基取代。若存有多於一個雜-取代基,取代基彼此獨 立地被選取。 含有雜烷基基團之醯胺肟類的具體範例包括:R itself may be an alkylene group or a hetero atom or a hetero atom-containing group. The subunit may be unsubstituted or one or more alkyl groups. R itself may be a hetero-atom or a hetero atom-containing group. The hetero atom can be substituted or via one or more alkyl groups. For example, R can be Η, , NHR, 01^ or NIR2, where 1^ and R2 are independently selected © groups. R may be an aryl group. The term &quot;aryl&quot; means an aromatic ring group. A specific example of an aryl substituent is a phenyl group. The aryl group may be substituted. The specific form of the amidoxime bearing an unsubstituted aryl group For example: a NO Η aryl group may also be substituted by a substituent having one or more alkyl groups, a heteroalkyl group or a heteropolygen as a non-nh2 substituent group, a non-atom-157-200941582 substituent. There are more than one hetero-substituent, and the substituents are selected independently of each other. Specific examples of the amidoxime containing a heteroalkyl group include:

實例提供這些分子的實驗詳情。 術語“芳基”意指含有芳香族環的基團。環係由碳原子 構成。環本身可包含任何數量之原子,例如,3至10個原 子。爲了方便合成,已發現含有5或6個原子之環特別有 用。芳基取代基的範例爲苯基基團。 芳基基團可爲未經取代的。帶有未經取代的芳基之醯 胺肟的具體例爲:Examples provide experimental details of these molecules. The term "aryl" means a group containing an aromatic ring. The ring system is composed of carbon atoms. The ring itself can contain any number of atoms, for example, 3 to 10 atoms. Rings containing 5 or 6 atoms have been found to be particularly useful for ease of synthesis. An example of an aryl substituent is a phenyl group. The aryl group can be unsubstituted. Specific examples of anthraquinone having an unsubstituted aryl group are:

NOH 芳基基團亦可經一或多個烷基基團、雜烷基基團或 -158- 200941582 雜-原子取代基取代。若存有多於一個雜-取代基,取代基 彼此獨立地被選取。 一或多個雜-原子取代基可爲例如,鹵素原子(包括 氟、氯、溴或碘)、-OH、=0、-NH2、=NH、-ΝΗΟΗ、 = ΝΟΗ、-ΟΡΟ(ΟΗ) 2、-SH、=S 或- S020H。於一具體例 中,取代基爲肟基團(=N0H)。 一或多個烷基基團爲前面所定義之烷基基團,和一或 φ 多個雜烷基基團爲前面所定義之雜烷基基團。經取代的芳 基醯胺肟分子的具體範例:The NOH aryl group can also be substituted with one or more alkyl groups, heteroalkyl groups or -158-200941582 hetero-atom substituents. If more than one hetero-substituent is present, the substituents are selected independently of each other. The one or more hetero-atomic substituents may be, for example, a halogen atom (including fluorine, chlorine, bromine or iodine), -OH, =0, -NH2, =NH, -ΝΗΟΗ, = ΝΟΗ, -ΟΡΟ(ΟΗ) 2 , -SH, =S or - S020H. In one embodiment, the substituent is an anthracene group (=N0H). One or more alkyl groups are alkyl groups as defined above, and one or more than a heteroalkyl group is a heteroalkyl group as defined above. Specific examples of substituted aryl amidoxime molecules:

R亦可爲雜-芳基。術語“雜-芳基”意指於其芳香環上 含有一或多個雜-原子之芳基基團。一或多個雜_原子係獨 立地選自,例如,硼、氮、氧、矽、磷和硫。雜-芳基基 團的範例包括吡咯、呋喃、噻吩 '吡啶、蜜胺、耻 硫 哄(thiine)、二氮哄和噻哄(thiazine)。 雜-芳基基團可爲未經取代的。未經取什的雜 丨、的雑方基酸 胺肟分子的具體例爲: -159- 200941582R may also be a hetero-aryl group. The term "hetero-aryl" means an aryl group having one or more hetero-atoms on its aromatic ring. One or more hetero-atoms are independently selected from, for example, boron, nitrogen, oxygen, helium, phosphorus, and sulfur. Examples of hetero-aryl groups include pyrrole, furan, thiophene 'pyridine, melamine, thiine, diazonium and thiazine. The hetero-aryl group can be unsubstituted. Specific examples of the unidentified anthracene and amidoxime molecules are: -159- 200941582

應注意的是,雜芳基基團可經由其雜原子連接至醯胺 肟基團,例如(下面分子伴隨相對陰離子):It should be noted that a heteroaryl group can be attached via its heteroatom to an amidoxime group, for example (the molecule is accompanied by a relative anion):

雜-芳基基團可經一或多個烷基基團、雜烷基基團或 雜-原子取代基取代。若存有多於一個雜-取代基,取代基 彼此獨立地被選取。 一或多個雜-原子取代基可爲,例如,鹵素原子(包 括氟、氯、溴或碘)、-OH、=〇、-NH2、=NH、-NHOH、 = NOH ' -ΟΡΟ ( 〇Η) 2 ' -SH ' =S 或- S020H。一或多個烷 基基團爲前面所定義之烷基基團,和一或多個雜烷基基團 爲前面所定義之雜烷基基團。 烷基-芳基基團係涵蓋在術語芳基的範圍內。術語“烷 基-芳I”意指帶有(即,直接連接至)烷基基團之醯胺肟 基團。烷基基團本身接著經芳基基團取代。同樣地,烷 基-雜芳基基團係涵蓋在術語雜芳基的範圍內。 烷基基團可爲前面所定義之任何烷基基團。芳基/雜 芳基基團亦可爲前面所定義之任何芳基基團。 烷基基團和芳基/雜烷基基團兩者可爲未經取代的。 未經取代的烷基-芳基醯胺肟分子的具體例爲: 200941582The hetero-aryl group may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atomic substituents. If more than one hetero-substituent is present, the substituents are selected independently of each other. The one or more hetero-atomic substituents can be, for example, a halogen atom (including fluorine, chlorine, bromine or iodine), -OH, =〇, -NH2, =NH, -NHOH, =NOH ' -ΟΡΟ ( 〇Η ) 2 ' -SH ' =S or - S020H. One or more alkyl groups are alkyl groups as defined above, and one or more heteroalkyl groups are heteroalkyl groups as defined above. Alkyl-aryl groups are encompassed within the scope of the term aryl. The term "alkyl-aryl I" means an amidoxime group bearing (i.e., directly attached to) an alkyl group. The alkyl group itself is then substituted with an aryl group. Likewise, alkyl-heteroaryl groups are encompassed within the scope of the term heteroaryl. The alkyl group can be any of the alkyl groups defined above. The aryl/heteroaryl group can also be any of the aryl groups defined above. Both an alkyl group and an aryl/heteroalkyl group can be unsubstituted. Specific examples of unsubstituted alkyl-aryl amidoxime molecules are: 200941582

爲經取代的。若烷基基團爲經取代的,其可經一或多個 雜-原子或含有雜-原子之基團取代。若芳基/雜烷基基團爲 經取代的,其可經一或多個烷基基團、雜烷基基團或雜-原子取代基取代。若存有多於一個雜-取代基,取代基彼 ❹ 此獨立地被選取。 一或多個雜-原子取代基可爲,例如,鹵素原子(包 括氟、氯、溴或換)、-OH、=0、-NH2、=NH、-NHOH、 = NOH、-OPO(OH) 2、-SH、=S 或- S02〇H。於一具體例 中’取代基爲肟基團(=N0H)。烷基基團本身亦可經一 或多個醯胺肟官能基取代。 若烷基基團經=〇取代,烷基基團可包括醛、酮、羧 酸或醯胺。較佳地,有鄰接至=0、=NH或=N0H之可嫌醇 © 化的氫(即’在羰基的α位置有氫)。院基基團可包括下 面官能性:- (CZ!) -CH-(CZ2)其中Ζ^Π ζ2係獨立 地選自0、ΝΗ和Ν0Η。於此基團中的CH進一步經氫或 烷基基團或雜烷基基團取代,或連接至醯胺肟官能基。 雜烷基-芳基基團亦在術語“芳基”範圍內。術語‘‘禮腐 基-芳基”意指帶有(即,直接連接至)雜烷基基團之醯胺 肟基團。接著雜烷基基團本身經芳基基團取代。同樣地, 雜烷基-芳基基團亦在術語“雜芳基”範圍內。 雜院基基團可爲前面所定義之任何院基基團。芳基/ -161 - 200941582 雜芳基基團亦可爲前面所定義之任何芳基基團。 雜烷基基團和芳基/雜芳基基團兩者可爲未經取代的 。或者,雜烷基基團和芳基/雜芳基中之一者或兩者可爲 經取代的。若雜烷基基團爲經取代的,其可經一或多個 雜-原子或含有雜-原子之基團取代。若芳基/雜烷基基團爲 經取代的,其可經一或多個烷基基團、雜烷基基團或雜_ 原子取代基取代。若存有多於一個雜-取代基,取代基彼 此獨立地被選取。 一或多個雜-原子取代基可爲,例如,鹵素原子(包 括氟、氯、溴或碘)、-OH、=0、-NH2、=NH、-NHOH、 = NOH ' -ΟΡΟ ( OH ) 2、-SH、=S 或- S02〇H» 於一具體例 中,取代基爲肟基團(=N0H)。烷基基團本身亦可經一 或多個醯胺肟官能基取代。 若雜烷基基團經=0取代,雜烷基基團可包括醛、酮 、羧酸或醯胺。較佳地,有鄰接至=0、=NH或=N0H之可 烯醇化的氫(即,在羰基的ex位置有氫)。雜烷基基團可 包括下面官能性:- (CZ!) -CH-(CZ2)-,其中Z!和Z2 係獨立地選自 Ο、NH和N0H。於此基團中的CH進一步 經氫或烷基基團或雜烷基基團取代,或連接至醯胺肟官能 基。 任何類型的R基團的較佳取代基爲四-價氮。換言之 ’任何上面基團可經-NRaRbReRd取代,其中1^至Rd爲獨 立選取的如文中所定義之R基團。於一具體例中,1^至For the replacement. If the alkyl group is substituted, it may be substituted with one or more hetero-atoms or groups containing a hetero-atom. If the aryl/heteroalkyl group is substituted, it may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atomic substituents. If more than one hetero-substituent is present, the substituents are independently selected. The one or more hetero-atomic substituents can be, for example, a halogen atom (including fluorine, chlorine, bromine or exchange), -OH, = 0, -NH2, =NH, -NHOH, =NOH, -OPO(OH) 2. -SH, =S or - S02〇H. In a specific example, the substituent is an anthracene group (=N0H). The alkyl group itself may also be substituted with one or more amidoxime functional groups. If the alkyl group is substituted by =, the alkyl group may include an aldehyde, a ketone, a carboxylic acid or a decylamine. Preferably, there is a hydrogen hydride that is adjacent to =0, =NH or =NOH (i.e., 'haves hydrogen at the alpha position of the carbonyl group). The home group may include the following functionalities: - (CZ!) -CH-(CZ2) wherein Ζ^Π ζ2 is independently selected from 0, ΝΗ and Ν0Η. The CH in this group is further substituted with a hydrogen or alkyl group or a heteroalkyl group, or attached to an amidoxime functional group. Heteroalkyl-aryl groups are also within the scope of the term "aryl". The term ''sulfanyl-aryl') means an amidoxime group bearing (ie, directly attached to) a heteroalkyl group. The heteroalkyl group itself is then substituted with an aryl group. Heteroalkyl-aryl groups are also within the scope of the term "heteroaryl". The compound group may be any of the group groups defined above. Aryl/-161 - 200941582 Heteroaryl groups may also be used. Any of the aryl groups defined above. Both a heteroalkyl group and an aryl/heteroaryl group may be unsubstituted. Alternatively, a heteroalkyl group and an aryl/heteroaryl group One or both may be substituted. If a heteroalkyl group is substituted, it may be substituted with one or more hetero-atoms or groups containing a hetero-atom. If aryl/heteroalkyl The group is substituted, which may be substituted with one or more alkyl groups, heteroalkyl groups or heteroatom substituents. If more than one hetero-substituent is present, the substituents are selected independently of each other. The one or more hetero-atomic substituents can be, for example, a halogen atom (including fluorine, chlorine, bromine or iodine), -OH, =0, -NH2, =NH, -NHOH, = NOH ' - ΟΡΟ (OH) 2. -SH, =S or -S02〇H» In one embodiment, the substituent is a fluorenyl group (=N0H). The alkyl group itself may also be substituted with one or more amidoxime functional groups. The heteroalkyl group is substituted by =0, and the heteroalkyl group may include an aldehyde, a ketone, a carboxylic acid or a decylamine. Preferably, there is an enolizable hydrogen adjacent to =0, =NH or =NOH (ie There is hydrogen at the ex position of the carbonyl group. The heteroalkyl group may include the following functional group: -(CZ!) -CH-(CZ2)-, wherein Z! and Z2 are independently selected from the group consisting of hydrazine, NH and NOH. The CH in this group is further substituted by hydrogen or an alkyl group or a heteroalkyl group, or to an amidoxime functional group. A preferred substituent for any type of R group is a tetravalent nitrogen. 'Any of the above groups may be substituted by -NRaRbReRd, wherein 1^ to Rd are independently selected R groups as defined herein. In one embodiment, 1^ to

Rd爲具有1至6個碳原子之未經取代的飽和烷基基團。例 -162- 200941582 如’ Ra至Rd中之一或多者(例如,所有)爲甲基和/或乙 基《關於此取代基,四-價氮較佳地在醯胺肟基團的正相 反位置上經取代。例如,若R爲形式(CH2 ) n之直鏈未 經取代的飽和烷基基團則四-價氮在烷基基團的一端,而 醯胺勝基團在另一端。於此具體例中,η較佳地爲1、2、 3、4、5 或 6。 於·一具體例中,本發明提供只含有一個醯胺肟官能基 〇 之酿胺聘分子。於另一具體例中,本發明提供含有二或更 多個醯胺肟官能基之醯胺肟分子。事實上,一些官能基可 被包括在單一分子內,例如,若聚合物具有附加醯胺肟官 能基之重複單元。前面(遍及全文)已描述含有多於一個 醯胺肟官能基之醯胺肟化合物的範例。 醯胺肟類可方便地從含腈分子製備,如下:Rd is an unsubstituted saturated alkyl group having 1 to 6 carbon atoms. Example-162- 200941582 As one or more of Ra to Rd (for example, all) are methyl and/or ethyl. With regard to this substituent, the tetra-valent nitrogen is preferably positive in the amidoxime group. Replaced at the opposite position. For example, if R is a straight chain unsubstituted saturated alkyl group of the form (CH2)n then the tetravalent nitrogen is at one end of the alkyl group and the indole group is at the other end. In this specific example, η is preferably 1, 2, 3, 4, 5 or 6. In one embodiment, the present invention provides a brewing amine molecule containing only one amidoxime functional group. In another embodiment, the invention provides an amidoxime molecule containing two or more amidoxime functional groups. In fact, some of the functional groups may be included in a single molecule, for example, if the polymer has repeating units of an additional amidoxime. An example of an amidoxime compound containing more than one amidoxime functional group has been described above (throughout the text). Amidoxime can be conveniently prepared from nitrile-containing molecules as follows:

NRaRb〇H R-=ΝNRaRb〇H R-=Ν

NOHNOH

NRaRb 典型地,爲了製備具有Ra = Rb = H之分子,使用羥基胺 。若於所欲之醯胺肟內之Ra和Rb之一者或二者不爲氫, 醯胺肟可使用相應的羥基胺或藉由進一步使一旦形成的醯 胺肟反應而予以製備。此可,例如,經由醯胺肟的分子內 反應而發生。 據此,含有多於一個醯胺肟官能基之醯胺肟分子可方 便地從具有多於一個腈基團之前驅物予以製備。已以此方 式製備的具有二個醯胺肟官能基之特定醯胺肟分子包括: -163- 200941582NRaRb Typically, in order to prepare a molecule having Ra = Rb = H, a hydroxylamine is used. If one or both of Ra and Rb in the desired amidoxime are not hydrogen, the amidoxime can be prepared by reacting the corresponding hydroxylamine or by further reacting the amidoxime once formed. This can occur, for example, via an intramolecular reaction of amidoxime. Accordingly, an amidoxime molecule containing more than one amidoxime functional group can be conveniently prepared from a precursor having more than one nitrile group. Specific amidoxime molecules having two amidoxime functional groups which have been prepared in this manner include: -163- 200941582

形成本發明醯胺肟類的腈前驅物的一個較佳方法爲藉 由脫離基經親核劑的親核性取代作用。親核劑爲熟習該領 域者所周知的,參見,例如,the GwMehoA: ίο by Peter Sykes。適當的親核劑範例 爲具有OH、SH、NH-或適當的CH-基團之分子,例如, 具有低pKa者(例如,約15以下)。關於〇H、SH和 NH-,作爲親核劑之前,隨意地移除氫,以增加其親核性 。關於CH-,氫通常用適當的鹼予以移除,使其可作爲親 核劑。 脫離基爲熟習該領域者所周知的,參見,例如,theA preferred method of forming the nitrile precursor of the amidoxime of the present invention is by nucleophilic substitution by a nucleophile. Nucleophiles are well known to those skilled in the art, see, for example, the GwMehoA: ίο by Peter Sykes. A suitable nucleophile is exemplified by a molecule having OH, SH, NH- or a suitable CH-group, for example, having a low pKa (e.g., about 15 or less). With regard to 〇H, SH and NH-, hydrogen is optionally removed before nucleophilic to increase its nucleophilicity. With regard to CH-, hydrogen is usually removed with a suitable base to make it a nucleophile. Deviation base is well known to those skilled in the art, see, for example, the

Guidebook to Mechanism in Organic Chemistry by Peter Sykes。適當的脫離基範例包括Cl、Br、I、O-甲苯磺醯基 、O-甲磺醯酯和熟習該領域者所周知的其他脫離基。作爲 脫離基的能力可藉由加入酸(質子酸或路易斯酸)而提高 例如,可據此而形成腈:Guidebook to Mechanism in Organic Chemistry by Peter Sykes. Examples of suitable leaving groups include Cl, Br, I, O-toluenesulfonyl, O-methanesulfonate, and other leaving groups well known in the art. The ability to dissociate can be increased by the addition of an acid (protonic acid or Lewis acid). For example, a nitrile can be formed accordingly:

於此實例中,R3獨立地選自伸烷基、伸雜烷基、伸芳基、 -164- 200941582 伸雜芳基、伸烷基-雜芳基、或伸烷基-芳基基團。Rn係獨 立地選自氫、烷基、雜烷基、芳基、雜芳基、院基-雜芳 基、或院基-芳基基團。X可爲任何選自0、S、N、和適 當的C之親核劑。N爲從1至3。Y爲脫離基。 關於X = NH- ’ NH可爲一級或二級胺(即,nh2或 NHR5 ) 、NH-CO-、NH-CNH-、NH-CHOH-或-NHNR5R6 ( 其中’ Rs和R6爲獨立選取的烷基、雜烷基、芳基、雜芳 Q 基或烷基-芳基)之部分。 關於XH = CH-,其中經穩定的陰離子可被形成。χΗ 可選自但不限於:-CHCO-R5、-CHCOOH、-CHCN、-CHCO-OR5、-CHCO-NR5R6 ' -CHCNH-R5 ' -CHCNH-OR5 、-CHCNH-NR5R6、-CHCNOH-R5、-CHCNOH-OR5 和-CHCNOH-NR5R6。 較佳的範例爲:In this example, R3 is independently selected from alkyl, heteroalkyl, aryl, -164-200941582 heteroaryl, alkyl-heteroaryl, or alkyl-aryl groups. The Rn is independently selected from the group consisting of hydrogen, alkyl, heteroalkyl, aryl, heteroaryl, aryl-heteroaryl, or anthryl-aryl. X may be any nucleophile selected from the group consisting of 0, S, N, and the appropriate C. N is from 1 to 3. Y is a leaving group. Regarding X = NH- 'NH may be a primary or secondary amine (ie, nh2 or NHR5), NH-CO-, NH-CNH-, NH-CHOH- or -NHNR5R6 (where 'Rs and R6 are independently selected alkane Part of a group, a heteroalkyl group, an aryl group, a heteroaryl Q group or an alkyl-aryl group. Regarding XH = CH-, a stabilized anion can be formed. χΗ may be selected from, but not limited to: -CHCO-R5, -CHCOOH, -CHCN, -CHCO-OR5, -CHCO-NR5R6 '-CHCNH-R5 '-CHCNH-OR5, -CHCNH-NR5R6, -CHCNOH-R5,- CHCNOH-OR5 and -CHCNOH-NR5R6. A preferred example is:

其中,Rs和Re爲獨立選取的烷基、雜烷基 '芳基、雜芳 基或烷基-芳基’或隨意地經任何這些基團取代的雜原子 。於一具體例中’ R5和R6中之一者或二者爲隨意地獨立 地經烷基、雜烷基 '芳基、雜芳基或烷基-芳基基團取代 的氧或氮原子,例如: -165- 200941582Wherein Rs and Re are independently selected alkyl, heteroalkyl 'aryl, heteroaryl or alkyl-aryl' or heteroatoms optionally substituted with any of these groups. In one embodiment, 'either one of R5 and R6 or both are oxygen or nitrogen atoms optionally substituted independently with an alkyl, heteroalkyl 'aryl, heteroaryl or alkyl-aryl group, For example: -165- 200941582

化合物亦可藉由使用任何上面親核劑的任何類型的親 核反應而予以形成。 發明者己發現特別多方面適用於製備醯胺自弓化1合物的 腈前驅物的一個反應:The compound can also be formed by any type of nucleophilic reaction using any of the above nucleophiles. The inventors have discovered a particularly versatile response to the preparation of a nitrile precursor of a guanamine self-archic compound:

於此範例中,X帶有N個獨立選取的取代基。Rn各自 獨立地選自氫、烷基、雜院基、芳基、雜芳基和院基芳基 ,如前面所定義。x爲如前面所定義之親核齊彳°丙嫌腈可 如所欲地爲經取代的。 例如,丙烯腈可具有下面化學式: R·In this example, X carries N independently selected substituents. Rn is each independently selected from the group consisting of hydrogen, alkyl, hetero-, aryl, heteroaryl and aryl, as defined above. x is a nucleophilic acetonitrile as defined above which may be substituted as desired. For example, acrylonitrile can have the following chemical formula: R·

κ*κ*

其中,R4、R5和R6係獨立地選自氫、雜原子、雜基團、 烷基、雜烷基、芳基和雜芳基。 據此,本發明亦關於用於半導體加工之醯胺肟化合物 ,係藉由將親核劑加到未經取代的或經取代的丙烯腈中而 予以製備的。一旦發生親核劑加到丙烯腈中,中間體可使 用熟習該領域者已知的標準化學予以官能化: -166- 200941582Wherein R 4 , R 5 and R 6 are independently selected from the group consisting of hydrogen, hetero atom, hetero group, alkyl group, heteroalkyl group, aryl group and heteroaryl group. Accordingly, the present invention also relates to amidoxime compounds for use in semiconductor processing by the addition of a nucleophile to an unsubstituted or substituted acrylonitrile. Once a nucleophile is added to the acrylonitrile, the intermediate can be functionalized using standard chemistry known to those skilled in the art: -166- 200941582

CN (RJnXH (Rn)NxCN (RJnXH (Rn)Nx

base RYBase RY

其中,γ爲如前面所定義之脫離基。 具有顯示此反應適應性的簡單親核劑的範例包括:Wherein γ is a leaving group as defined above. Examples of simple nucleophiles with the suitability of this reaction include:

此反應特別地爲多方面適用的,特別是當應用至多牙 醯胺肟化合物(即,含有二或更多個醯胺肟官能基之分子 )的合成。例如,其可用於官能化具有二或更多個NH基 團之化合物。於一個實例中,反應可用於官能化具有二或 更多個一級胺之分子。 例如:This reaction is particularly useful in a variety of applications, particularly when applied to a polydentamide oxime compound (i.e., a molecule containing two or more amidoxime functional groups). For example, it can be used to functionalize compounds having two or more NH groups. In one example, the reaction can be used to functionalize a molecule having two or more primary amines. E.g:

h2n-^nh2~~^ 凡n^^CN Η Η 其中,η爲1或更大,例如,1至24。 一級胺的另外官能化作用是可能的。例如,可方便地 形成四牙醯胺肟(例如,EDTA的官能等同物):H2n-^nh2~~^ Where n^^CN Η Η where η is 1 or greater, for example, 1 to 24. Additional functionalization of the primary amine is possible. For example, tetradentamide oxime (e.g., a functional equivalent of EDTA) can be conveniently formed:

-167- 200941582 其中,r1q爲伸烷基、伸雜烷基、伸芳基或伸雜芳基。於 替代的構想具體例中,無R, Q :起始物爲肼。實例提供此 反應的範例,其中Rio爲CH2CH2。 於相關的具體例中,可官能化具有二或更多個的二級 胺之分子-167- 200941582 wherein r1q is an alkylene group, a heteroalkyl group, an extended aryl group or a heteroaryl group. In the specific example of the alternative concept, there is no R, Q: the starting material is 肼. An example provides an example of this reaction wherein Rio is CH2CH2. In a related embodiment, a molecule having two or more secondary amines can be functionalized

_________ R11N〆 10 '_________ R11N〆 10 '

r1〇-^NRiR1〇-^NRi

CN 其中,R1()爲如上面所定義者,及Rn和R12爲獨立地 選取的烷基、雜烷基、芳基或雜芳基。再次,預期無R10 之具體例。 例如’ —·級胺可爲環系統的部分:Wherein R1() is as defined above, and Rn and R12 are independently selected alkyl, heteroalkyl, aryl or heteroaryl groups. Again, no specific example of R10 is expected. For example, the -amine can be part of the ring system:

其中,R1()和Rn爲如上面所定義者。例如,半導體加工 中所使用的一般溶劑可用醯胺肟官能基官能化。例如: 和Wherein R1() and Rn are as defined above. For example, typical solvents used in semiconductor processing can be functionalized with amidoxime functional groups. For example: and

O&quot;—UCA 實例包含這些反應的詳情。 同樣地,可使用氧親核劑,以提供醯胺肟分子的腈前 驅物。於一具體例中’親核劑爲醇: -168- 200941582The O&quot;-UCA instance contains details of these reactions. Similarly, an oxygen nucleophile can be used to provide a nitrile precursor of the amidoxime molecule. In a specific example, the nucleophile is an alcohol: -168- 200941582

CNCN

OH NRaRb 其中,r3爲烷基、雜烷基、芳基或雜芳基。 例如,可官能化多醇化合物。多醇類爲含有多於一個 醇官能基之分子。作爲範例’下面爲多醇:OH NRaRb wherein, r3 is an alkyl group, a heteroalkyl group, an aryl group or a heteroaryl group. For example, a polyol compound can be functionalized. Polyols are molecules containing more than one alcohol functional group. As an example, the following are polyols:

其中n爲0或更大,例如,〇至24。於一實例中,η爲0 (乙二醇)。於另一實例中,η爲6(山梨醇)。 於另一實例中,多醇形成聚合物的部分。例如,可用 含有聚氧化乙烯之聚合物進行反應。例如’聚合物可僅包 含氧化乙烯單元’或可包括聚氧化乙烯單元’作爲共聚物 (即,具有一或多種其他單體單元)。例如’聚合物可爲 含有聚氧化乙烯之嵌段共聚物。關於共聚物’特別是嵌段 〇 共聚物,聚合物可包括不含醇單元之單體單元。例如,聚 合物可包括聚乙二醇(PEG )的嵌段。聚氧化乙烯和聚乙 二醇的共聚物(例如,嵌段共聚物)可爲有利的’因爲可 使用和控制聚乙二醇的嵌段的界面活性劑性質。 亦可使用碳親核劑。許多碳親核劑爲該領域已知的° 例如,烯醇基團可充當親核劑。硬碳基之親核劑可藉由碳 的去質子化作用而產生。雖然,若提供有足夠強的鹼時’ 許多帶有質子的碳原子可被去質子化,但是通常更便利的 是使用弱鹼以形成碳親核性基團,例如NaOEt或LDA ° -169- 200941582 因而,於一具體例中,具有pKa爲20或更小(例如,15 或更小)之CH基團被去質子化’形成碳基親核劑。 適當的碳基親核劑的範例爲具有P-二酮官能性之分子 (須明白的是,術語“P-二酮”亦涵蓋醛類、酯類、醯胺類 和其他含有C = 0之官能基。再者,c = o基團的一或二者 可被NH或NOH置換)。 實例:Where n is 0 or greater, for example, 〇 to 24. In one example, η is 0 (ethylene glycol). In another example, n is 6 (sorbitol). In another example, the polyol forms part of the polymer. For example, the reaction can be carried out using a polymer containing polyethylene oxide. For example, the polymer may comprise only ethylene oxide units or may comprise polyethylene oxide units as a copolymer (i.e., having one or more other monomer units). For example, the polymer may be a block copolymer containing polyethylene oxide. With regard to the copolymer ', particularly the block 〇 copolymer, the polymer may include monomer units containing no alcohol units. For example, the polymer can include a block of polyethylene glycol (PEG). Copolymers of polyethylene oxide and polyethylene glycol (e.g., block copolymers) can be advantageous&apos; because the surfactant properties of the blocks of polyethylene glycol can be used and controlled. A carbon nucleophile can also be used. Many carbon nucleophiles are known in the art. For example, an enol group can act as a nucleophile. A hard carbon based nucleophile can be produced by deprotonation of carbon. Although many proton-bearing carbon atoms can be deprotonated if a sufficiently strong base is provided, it is often more convenient to use a weak base to form a carbon nucleophilic group, such as NaOEt or LDA ° -169- 200941582 Thus, in one embodiment, a CH group having a pKa of 20 or less (eg, 15 or less) is deprotonated to form a carbon-based nucleophile. An example of a suitable carbon-based nucleophile is a molecule having P-diketone functionality (it is to be understood that the term "P-diketone" also encompasses aldehydes, esters, guanamines, and others containing C = 0. Functional groups. Further, one or both of the c=o groups may be replaced by NH or NOH). Example:

其中,1^和R2爲獨立地選取的烷基基團、雜烷基基團、 芳基基團、雜芳基基團和雜原子。 實例提供此反應順序的具體例,其中RfRfOEt。 腈基團本身能減低在α位置氫的pKa。事實上,此意 指有時反應條件的控制較佳地被用於防止一旦藉由親核劑 與丙烯腈的反應所形成之氰基化合物在其α位置去質子化 和與第二個丙烯腈基團反應。例如,鹼和反應條件(例如 ’溫度)的選擇可用於防止此第二個反應。然而,可利用 此觀察結果以官能化已包含一或多個腈官能性之分子。例 如,下面的反應發生在鹼性條件:Wherein 1 and R 2 are independently selected alkyl groups, heteroalkyl groups, aryl groups, heteroaryl groups and heteroatoms. An example provides a specific example of this reaction sequence, where RfRfOEt. The nitrile group itself can reduce the pKa of hydrogen at the alpha position. In fact, this means that sometimes the control of the reaction conditions is preferably used to prevent the cyano compound formed by the reaction of the nucleophile with acrylonitrile deprotonated at its alpha position and with the second acrylonitrile. Group reaction. For example, the choice of base and reaction conditions (e.g., &apos;temperature) can be used to prevent this second reaction. However, this observation can be utilized to functionalize molecules that already contain one or more nitrile functionality. For example, the following reaction occurs in alkaline conditions:

氰基乙基化方法通常需要強鹼作爲觸媒。最常用的此 -170- 200941582 類鹼類爲鹼金屬氫氧化物,例如,氧化鈉、氫氧化鋰、氫 氧化鈉和氫氧化鉀。這些金屬因而可以雜質存在於醯胺肟 化合物溶液中。存有此類金屬之醯胺肟化合物溶液無法應 用於電子製造過程,更詳言之,半導體製造過程,且無法 作爲羥基胺自由鹼和其他自由基敏感性反應的化學物質之 安定劑。 較佳的鹼爲無金屬離子之有機氫氧化銨化合物,例如 ,氫氧化四甲基銨、氫氧化三甲基苄基銨等等。 水 在本發明範圍內,水可實質上僅以化學上和/或物理 上結合形式或作爲原料或化合物的成分被導入至組成物中 〇 組成物另外包括選自下列之一或多個群組之化學品: 溶劑-從約1重量%至9 9重量。/〇。 ❹ 本發明的組成物亦包括0重量%至約99重量%,且更 典型地約1重量%至約80重量%的水可溶混的有機溶劑, 其中溶劑較佳地選自水可溶混的有機溶劑群組。 水可互溶的有機溶劑的範例包括但不限於:二甲基乙 醯胺(DMAC) 、N -甲基吡咯啶酮(NMP) 、N -乙基吡咯 啶酮(NEP ) 、N-羥基乙基吡咯啶酮(HEP ) 、N-環己基 吡咯啶酮(CHP)、二甲基亞碾(DMSO)、四氫噻吩颯 、二甲基甲醯胺(DMF) 'N-甲基甲醯胺(NMF )、甲醯 胺、單乙醇胺(MEA )、二甘醇胺、二甲基-2-哌啶酮( -171 - 200941582 DMPD )、味琳、N -味琳-N-氧化物(NMNO)、四氫糠基 醇' 環己醇、環己酮、聚乙二醇和聚丙二醇、甘油、碳酸 甘油酯、甘油三乙酸酯、乙二醇、丙二醇、碳酸1,2-丙二 酯(propylene carbonate)、己二醇、乙醇和正丙醇和/或 異丙醇、二甘醇、丙基二甘醇或丁基二甘醇、己二醇、 乙二醇甲基醚、乙二醇乙基醚、乙二醇丙基醚、乙二醇 單-正丁基醚、二甘醇甲基醚、二甘醇乙基醚、丙二醇甲 基醚、丙二醇乙基醚或丙二醇丙基醚、二丙二醇甲基醚或 二丙二醇乙基酸、甲氧基三甘醇、乙氧基三甘醇或丁氧基 三甘醇、異-丁氧基乙氧基-2-丙醇、3 -甲基-3-甲氧基丁醇 、丙二醇第三-丁基酸、和其他醯胺類、醇類或吡咯啶酮 類、酮類、亞颯類、或多官能化的化合物,例如,羥基醯 胺類或胺基醇類,和這些溶劑的混合物。當使用時,較佳 的溶劑爲一甲基乙醯胺和二甲基_2_峨陡酮、二甲基亞碾和 N·甲基吡咯陡酮、二甘醇胺、和單乙醇胺。 酸類-從約0.001重量%至15重量% 可能的酸爲無機酸或有機酸,但先決條件爲,這些酸 與其他成分相容。 無機酸包括鹽酸、氫氟酸、硫酸、磷酸 '亞磷酸、次 磷酸、膦酸、硝酸等等。 、 有機酸包括單兀和/或多元有機酸,係選自非支鏈飽 和或不飽和的單竣酸、支鏈飽和或不飽和的單殘酸、飽和 或不飽和的一羧酸、芳香族單_、二-和三羧酸、糖酸、羥 基酸、酮基酸、胺基酸和/或多元羧酸。 -172- 200941582 選自非支鏈飽和或不飽和的單羧酸:甲酸、乙酸、丙 酸、戊酸、己酸、庚酸、辛酸、壬酸(天竺葵酸)、癸酸 (羊脂酸)、十一酸、十二酸(月桂酸)、十三酸、十四 酸(肉豆蔻酸)、十五酸、十六酸(棕櫚酸)、十七酸( 珠光子酸)、十八酸(硬脂酸)、二十酸(花生酸)、二 十二酸(蘿酸)、二十四酸(木答酸)、二十六酸(蠟酸 )、三十酸(蜜蠟酸)、9c -十六烯酸(軟脂油酸)、6c-φ 十八嫌酸(斧子酸)、6t-十八嫌酸(petroselaidic acid) 、9c -十八稀酸(油酸)、9t -十八嫌酸(elaidic acid)、 9c,12c-二烯十八酸(亞麻油酸)、9t,12t-二烯十八酸( 1111〇1&amp;丨〇^3(^(1)和9(:,12(:,15(:-三烯十八酸(次亞麻油酸 )。 選自支鏈飽和或不飽和的單羧酸:2-甲基戊酸、2-乙 基己酸、2-丙基庚酸、2-丁基辛酸、2-戊基壬酸、2-己基 癸酸、2-庚基十一酸、2-辛基十二酸、2-壬基十三酸' 2-φ 癸基十四酸、2-十一基十五酸、2-十二基十六酸、2-十三 基十七酸、2-十四基十八酸、2-十五基十九酸、2-十六基 二十酸、2-十七基二--酸。 選自非支鏈飽和或不飽和的二羧酸或三羧酸:丙二酸 、丁二酸(琥珀酸)、戊二酸、己二酸、庚二酸、辛二酸 (栓酸)、壬二酸、癸二酸、2c-丁烯二酸(順丁烯二酸 )、2t-丁烯二酸(反丁烯二酸)、2-丁炔二羧酸(乙炔二 羧酸)。 選自芳香族單-、二-和三羧酸:苯甲酸、2-羧基苯甲 -173- 200941582 酸(酞酸)、3-羧基苯甲酸(異酞酸)、4-錢基 對酞酸)、3,4-二羧基苯甲酸(偏苯三甲酸)、) 竣基苯甲酸(trimesionicacid)。The cyanoethylation process usually requires a strong base as a catalyst. The most commonly used -170-200941582 bases are alkali metal hydroxides such as sodium oxide, lithium hydroxide, sodium hydroxide and potassium hydroxide. These metals can thus be present in the amidoxime compound solution as impurities. Solutions of amidoxime compounds containing such metals are not suitable for use in electronic manufacturing processes, more specifically, semiconductor manufacturing processes, and are not as stabilizers for hydroxylamine free bases and other free radically sensitive chemical species. The preferred base is an organic ammonium hydroxide compound free of metal ions, for example, tetramethylammonium hydroxide, trimethylbenzylammonium hydroxide or the like. Water is within the scope of the invention, water may be introduced into the composition substantially only in a chemically and/or physically bonded form or as a raw material or a component of the compound. The composition further comprises one or more of the following groups selected from the group consisting of: Chemicals: Solvent - from about 1% by weight to 99% by weight. /〇. ❹ The composition of the present invention also includes from 0% by weight to about 99% by weight, and more typically from about 1% by weight to about 80% by weight, of a water-miscible organic solvent, wherein the solvent is preferably selected from water-miscible organic solvents. Group of organic solvents. Examples of water-miscible organic solvents include, but are not limited to, dimethylacetamide (DMAC), N-methylpyrrolidone (NMP), N-ethylpyrrolidone (NEP), N-hydroxyethyl Pyrrolidone (HEP), N-cyclohexyl pyrrolidone (CHP), dimethyl submilling (DMSO), tetrahydrothiophene oxime, dimethylformamide (DMF) 'N-methylformamide NMF), formamide, monoethanolamine (MEA), diglycolamine, dimethyl-2-piperidone (-171 - 200941582 DMPD), Weilin, N-weilin-N-oxide (NMNO) , tetrahydrofurfuryl alcohol 'cyclohexanol, cyclohexanone, polyethylene glycol and polypropylene glycol, glycerin, glycerin carbonate, triacetin, ethylene glycol, propylene glycol, 1,2-propylene diester (propylene) Carbonate), hexanediol, ethanol and n-propanol and/or isopropanol, diethylene glycol, propyl diglycol or butyl diglycol, hexanediol, ethylene glycol methyl ether, ethylene glycol ethyl ether , glycol propyl ether, ethylene glycol mono-n-butyl ether, diethylene glycol methyl ether, diethylene glycol ethyl ether, propylene glycol methyl ether, propylene glycol ethyl ether or propylene glycol propyl ether, dipropylene glycol Ether or two Glycol ethyl acid, methoxy triethylene glycol, ethoxy triethylene glycol or butoxy triethylene glycol, iso-butoxyethoxy-2-propanol, 3-methyl-3-methoxy Butanol, propylene glycol tert-butyl acid, and other guanamines, alcohols or pyrrolidones, ketones, steroids, or polyfunctional compounds, for example, hydroxyguanamines or amine alcohols Class, and a mixture of these solvents. When used, preferred solvents are monomethylammoniumamine and dimethyl-2-indokeketone, dimethyl submilling and N.methylpyrrolidone, diglycolamine, and monoethanolamine. Acids - from about 0.001% to 15% by weight Possible acids are inorganic or organic acids, provided that these acids are compatible with the other ingredients. Inorganic acids include hydrochloric acid, hydrofluoric acid, sulfuric acid, phosphoric acid 'phosphite, hypophosphorous acid, phosphonic acid, nitric acid, and the like. The organic acid comprises a monoterpene and/or a polybasic organic acid selected from the group consisting of unbranched saturated or unsaturated monodecanoic acid, branched or unsaturated monounsaturated acid, saturated or unsaturated monocarboxylic acid, aromatic Mono-, di- and tricarboxylic acids, sugar acids, hydroxy acids, keto acids, amino acids and/or polycarboxylic acids. -172- 200941582 From a non-branched saturated or unsaturated monocarboxylic acid: formic acid, acetic acid, propionic acid, valeric acid, caproic acid, heptanoic acid, caprylic acid, capric acid (pelaric acid), tannic acid (mastic acid) , undecanoic acid, dodecanoic acid (lauric acid), tridecanoic acid, tetradecanoic acid (myristic acid), pentadecanoic acid, palmitic acid (palmitic acid), heptadecanoic acid (bead photoacid), octadecanoic acid (stearic acid), icosonic acid (arachidic acid), behenic acid (linoleic acid), tetracosanoic acid (lignin), hexacylic acid (waxy acid), tridecanoic acid (beanic acid) , 9c-hexadecenoic acid (fat oleic acid), 6c-φ 18-acid (axe acid), 6t-eighteen acid (petroselaidic acid), 9c-18 acid (oleic acid), 9t-ten Eleuid acid, 9c, 12c-dienyl octadecanoic acid (linolenic acid), 9t, 12t-dienyl octadecanoic acid (1111〇1&amp;丨〇^3(^(1) and 9(: , 12(:,15(:-trienoctadecanoic acid (sublinoleic acid). selected from branched or saturated monocarboxylic acids: 2-methylpentanoic acid, 2-ethylhexanoic acid, 2- Propyl heptanoic acid, 2-butyloctanoic acid, 2-pentyl decanoic acid, 2-hexyl decanoic acid, 2-heptyl eleven , 2-octyldodecanoic acid, 2-mercaptotridecanoic acid '2-φ decyltetradecanoic acid, 2-undecylpentadecanoic acid, 2-dodecylhexadecanoic acid, 2-trisyl-10- Heptaic acid, 2-tetradecyl octadecanoic acid, 2-pentadecenyl lauric acid, 2-hexadecyl icos acid, 2-heptyl bis-acid. Selected from unbranched saturated or unsaturated Dicarboxylic acid or tricarboxylic acid: malonic acid, succinic acid (succinic acid), glutaric acid, adipic acid, pimelic acid, suberic acid (sart acid), sebacic acid, sebacic acid, 2c- Butylene diacid (maleic acid), 2t-butenedioic acid (fumaric acid), 2-butyne dicarboxylic acid (acetylene dicarboxylic acid). Selected from aromatic mono-, di- and Tricarboxylic acid: benzoic acid, 2-carboxybenzyl-173- 200941582 acid (decanoic acid), 3-carboxybenzoic acid (isodecanoic acid), 4-hydroxyl phthalic acid), 3,4-dicarboxybenzoic acid (trimellitic acid), trimethoprimic acid.

選自糖酸:半乳糖酸、甘露糖酸、fructonic 拉伯糖酸、木糖酸、核糖酸、2-去氧核糖酸、藻S 選自羥基酸:羥基苯基乙酸(苦杏仁酸)、 酸(乳酸)、羥基琥珀酸(蘋果酸)、2,3_二羥 (酒石酸)、2-羥基-1,2,3-丙烷三羧酸(檸檬酸 血酸、2·羥基苯甲酸(水楊酸)、和3,4,5-三羥 (五倍子酸)^ 選自酮酸:2·酮基丙酸(丙酮酸)和4-酮基 戊酮酸)。 選自胺基酸:丙胺酸、纈胺酸、白胺酸、異 脯胺酸、色胺酸、苯基丙胺酸、甲硫胺酸、甘胺 酸、酪胺酸、蘇胺酸、半胱胺酸、天冬醯胺酸、 、天冬胺酸、麩胺酸、離胺酸 '精胺酸、和組胺2 鹼-從約1重量%至45重量% 可能的鹼爲無機鹼或有機鹼,但先決條件爲 與其他成分相容。 無機鹼包括氫氧化鈉、氫氧化鋰、氫氧化鉀 銨等等。Selected from the group consisting of sugar acids: galactonic acid, mannonic acid, fructonic uric acid, xylic acid, ribonic acid, 2-deoxyribose acid, algae S is selected from the group consisting of hydroxy acids: hydroxyphenylacetic acid (mandelic acid), Acid (lactic acid), hydroxysuccinic acid (malic acid), 2,3-dihydroxy (tartaric acid), 2-hydroxy-1,2,3-propane tricarboxylic acid (citrate, 2,hydroxybenzoic acid (water) Salicylic acid), and 3,4,5-trihydroxy (galic acid) are selected from the group consisting of keto acids: 2-ketopropionic acid (pyruvate) and 4-ketopentanoic acid). Selected from amino acids: alanine, valine, leucine, isoleucine, tryptophan, phenylalanine, methionine, glycine, tyrosine, threonine, cysteine Amino acid, aspartic acid, aspartic acid, glutamic acid, lysine 'arginine, and histamine 2 base - from about 1% to 45% by weight of possible bases are inorganic bases or organic Base, but the prerequisites are compatible with the other ingredients. The inorganic base includes sodium hydroxide, lithium hydroxide, potassium hydroxide ammonium or the like.

有機鹼包括有機胺、和氫氧化四級烷基銨, 但不限於:氫氧化四甲基銨(TMAH ) 、TMAH 、氫氧化苄基四甲基銨(BTMAH ) 、TBAH、膽 苯甲酸( FU 3,5-二 acid、阿 I ° 2-羥基丙 基丁二酸 )、抗壞 基苯甲酸 戊酸(4· 白胺酸、 酸、絲胺 麩醯胺酸 ,這些鹼 、氫氧化 其可包括 五水合物 鹼、和氫 -174- 200941582 氧化三(2-羥基乙基)甲基銨(TEMAH)。 活化劑-從約0 · 0 0 1重量% to 2 5重量% 依據本發明,清潔組成物包括一或多種物質,其係選 自活化劑’特別是選自多醯化的烷二胺,特別是四乙醯基 乙二胺(TAED ) 、:N-醯基醯亞胺,特別是N-壬醯基琥拍 醯亞胺(N0SI )、醯化的磺酸酚酯、特別是,正壬醯基_ 或異壬醢基氧基苯磺酸酯(正或異-NOBS)和η-甲基味琳 ❹ 鎗乙腈甲基硫酸鹽(ΜΜΑ),和含量從0.1至20重量% ( 較佳地從0 · 5至1 5重量%和特別地從1至1 〇重量% )的“ 四級腈”化合物,每一情況係基於總組成物,以增強清潔 溶液的氧化/還原性能。“四級腈”(陽離子性腈類)具有化 學式: ΘThe organic base includes an organic amine, and a quaternary alkyl ammonium hydroxide, but is not limited to: tetramethylammonium hydroxide (TMAH), TMAH, benzyltetramethylammonium hydroxide (BTMAH), TBAH, benzobenzoic acid (FU) 3,5-diacid, A ° 2-hydroxypropyl succinic acid), benzoic acid valeric acid (4 · leucine, acid, silk glutamic acid, these bases, hydrogen peroxide Including pentahydrate base, and hydrogen-174-200941582 tris(2-hydroxyethyl)methylammonium oxide (TEMAH). Activator - from about 0 · 0 0 1 wt% to 2 5 wt% according to the invention, cleaning The composition comprises one or more substances selected from the group consisting of activators', in particular selected from the group consisting of polyalkylene diamines, in particular tetraethylene ethylenediamine (TAED), N-nonyl quinone imine, in particular Is N-mercaptopurine (N0SI), deuterated sulfonic acid phenolate, in particular, n-decyl or isodecyloxybenzenesulfonate (positive or iso-NOBS) and Η-methyl ❹ ❹ 枪 acetonitrile methyl sulphate (ΜΜΑ), and the content of from 0.1 to 20% by weight (preferably from 0.5 to 15% by weight and in particular from 1 to 1% by weight) " Level nitrile "compound, based in each case based on the total composition, to enhance the oxidation / reduction performance of the cleaning solution." Four nitrile "(cationic nitriles) having a chemical formula: Θ

❹ r3 具有氧化和還原電位的化合物-從約0.001重量%至25 重量%。 這些化合物包括羥基胺和其鹽類,例如,氯化羥基胺 、硝酸羥基胺、硫酸羥基胺、磷酸羥基胺,或其衍生物, 例如,N,N-二乙基羥基胺、N-苯基羥基胺。 肼和其衍生物;過氧化氫;銨、鉀和鈉的過硫酸鹽類 ;鉀、鈉的過錳酸鹽;和其他來源的過氧化物,其係選自 :過硼酸鹽單水合物、過硼酸鹽四水合物、過碳酸鹽、其 鹽類、和其組合。因爲環境因素,磷酸羥基胺不佳。 -175- 200941582 在本發明範圍內可作爲成分之其他化合物爲二醯基過 氧化物,例如,過氧化二苯甲醯基。具有氧化/還原電位 的另外典型有機化合物爲過氧酸,特定範例爲烷基過氧酸 和芳基過氧酸。較佳的代表物爲(a)過氧苯甲酸和其環 經取代的衍生物,例如,烷基過氧苯甲酸,亦爲過氧-a-萘 酸和單酞酸鎂鹽,(b)脂族或經取代的脂族過氧酸,例 如,過氧月桂酸、過氧硬脂酸、c-酞醯亞胺過氧己酸〔酞 醯亞胺基過氧己酸(PAP ) 〕、〇-羧基苯甲醯胺基過氧己 酸、N-壬烯基醯胺基過己二酸和N-壬烯基醯胺基過琥珀 酸鹽,和(c)脂族和芳脂族過氧二羧酸,例如,1,2-二過 氧羧酸、1,9-二過氧壬二酸、二過氧癸二酸、二過氧十三 烷二酸、二過氧酞酸、2-癸基二過氧丁 -1,4-二酸、N,N-對 酞醯基二(6-胺基過己酸)。 其他螯合劑-較佳地,清潔組成物包括(以組成物的 重量計)從〇.〇重量%至15重量%的額外一或多種螯合 劑。 另外可能的一群成分爲螯合錯合劑。螯合錯合劑爲與 金屬離子形成環狀化合物之物質,其中單一配位基佔據中 心原子的多於一個配位位置,即,爲至少“二牙”。於此情 況中,展開的化合物(stretched compound)因此通常藉 由經由離子形成錯合物而閉合,以提供環。結合的配位基 的數目取決於中心離子的配位數目。 形成聚合物的常用錯合物的錯合基(配位基)爲亞胺 基二乙酸、羥基喹啉、硫脲、胍、二硫胺基甲酸鹽、異羥 -176- 200941582 肟酸、醯胺肟、胺基磷酸、(環)聚胺基、巯基、1,3-二 羰基和冠醚基團,其一些對不同金屬的離子具有非常特異 活性。 關於本發明的目的,可能使用先前技術的錯合劑。這 些可屬於不同的化學基團。較佳的螯合/錯合劑包括下列 (個別地或彼此混合): 1) 多羧酸,其中羧基和隨意地羥基基團的數目爲至 φ 少5,例如,葡萄糖酸, 2) 含氮之單-或多羧酸,例如,乙二胺四乙酸( EDTA ) 、N-羥基乙基乙二胺三乙酸、二乙三胺五乙酸、 羥基-乙基亞胺基二乙酸、氮基(nitrido)二乙酸-3-丙酸 、異絲胺酸二乙酸、Ν,Ν-二(/3-羥基乙基)甘胺酸、N-(1,2-二羧基-2-羥基乙基)甘胺酸、Ν- ( 1,2-二羧基-2-羥 基乙基)-天冬胺酸或氮基(nitrilo )三乙酸(ΝΤΑ), 3 )孿位二膦酸,例如,1 -羥基乙烷-1,1 -二膦酸( ❹ HEDP )、其具有至多8個碳原子的較大同系物、和其含 羥基或胺基之衍生物,和1-胺基乙烷-1,1-二膦酸、其具有 至多8個碳原子的較大同系物、和其含羥基或胺基之衍生 物, 4) 胺基膦酸,例如,乙二胺-四(亞甲基膦酸)、二 乙三胺五(亞甲基膦酸)或氮基三(亞甲基隣酸), 5) 膦醯基多羧酸,例如,2-膦醯基丁 -1,2,4_三竣酸 ,和 6)環糊精 -177- 200941582 界面活性劑-從約1 0 ppm至5重量%。 本發明組成物因此亦可包括陰離子性、陽離子性、和 /或兩性界面活性劑作爲界面活性劑成分。 氟化物離子來源-含量從約0.001重量%至10重量%。 氟化物離子來源包括但不限於:二氟化銨、氟化銨、 氫氟酸、六氟矽酸鈉、氟矽酸和四氟硼酸。 所請之組成物的成分可在分配至基板表面以供處理之 前在原位被計量和混合。再者,可安裝分析裝置以監測組 成物,且化學成分可再次被組成爲達到清潔性能規格的混 合物。可被監測的關鍵參數包括組成物的物理和化學性質 ,例如,pH、水濃度、氧化/還原電位和溶劑成分。 組成物主張使用時的範圍且亦爲混合物’其可被稀釋 以符合特定清潔規定。 由腈類製得的較佳醯胺肟化合物的一覽表但不限於下 表: -178- 200941582 腈(Ν) 醯胺肟(ΑΟ) 3 3-羥基丙腈 Ν',3-二羥基丙脒 4 乙腈 ΝΝ'-羥基乙脒 5 3-甲基胺基丙腈 Ν’-羥基-3-(甲基胺基)丙眯 6 苯甲腈 Ν’-羥基苯甲脒 8 3,3,-亞胺基二丙腈 3,3,-氮二基二(Ν,-羥基丙脒) 9 辛腈 1 Ν,-羥基辛脒 10 3-苯基丙腈 Ν,-羥基-3-苯基丙脒 11 2-氰基乙酸乙酯 3-胺基-Ν-羥基-3-(羥基亞胺基)丙酶 12 2-氰基乙酸 3-胺基-3-(羥基亞胺基)丙酸 13 2-氰基乙醯胺 3-胺基-3-(羥基亞胺基)丙隱 15 己二腈 Ν,1,Ν,6-二羥基己二脒 16 癸二腈 m,mo·二經基癸院二(脒) 17 4-吡啶腈 N·-羥基異菸鹼脒 18 間-甲基苯甲腈 Ν'-羥基-3-甲基苯甲脒 19 酞腈 異吲哚啉-1,3-二酮二肟 20 乙醇腈 Ν’,2-二經基乙脒 21 氯乙腈 2-氯-Ν’-羥基乙脒 22 苯乙腈 Ν’-羥基-2-苯基乙脒 24 鄰胺苯甲腈 2-胺基-Ν’-羥基苯甲脒 25 3,3’-亞胺基二乙腈 2,2·-氮二基二(Ν'-羥基乙眯) 26 5-氰基酞內酯 Ν’-羥基-1-酮基-1,3-二氫異苯並呋喃-5-甲脒 27 2-氰基苯基乙腈 3-胺基異喹啉-1(4Η)-酮肟或3-(羥基胺基)-3,4-二氫異 喹啉-1-胺 29 肉桂腈 ... Ν丨-羥基肉桂脒 30 戊二腈 4-氰基-Ν,-經基丁眯 31 4-氡茉甲腈 4-氯-Ν,-羥基苯甲脒 例如,N3表示3-羥基丙腈和A03爲Ν’,3-二羥基丙脒 ,其來自3-羥基丙腈與羥基胺反應形成其相應的醯胺肟 由腈類經由親核性化合物的氰乙基化作用而製得的較 佳醯胺肟化合物的一覽表但不限於下表: -179- 200941582 ID 親核性化合物 氰乙基化的化合物(CE) 由氰乙基化的化合物製得之醯胺肟 (ΑΟ) 01 山梨醇 1,2,3,4,5,6-六-0&gt;(2-氰基乙基 )己糖醇 1,2,3,4,5,6-六-Ο-〔 3-(羥基胺基)-3-亞 胺基丙基己糖醇 07 乙二胺 3,3’,3&quot;,3&quot;,-(乙烷-1,2-二基二( 氮三基))四丙腈 3,3',3&quot;,3'&quot;-(乙烷-1,2-二基二(氮三基))四 (Ν·-羥基丙脒) 28 乙二醇 3,3、乙烷-1,2-二基二(氧基)) 二丙腈 3,3.-(乙院-1,2-二基二(氧基))二(Ν,-羥基 丙眯) 34 二乙基胺 3-(二乙基胺基)丙· 3-(二乙基胺基)-Ν·-經基丙脒 35 哌哄 3,3.·(哌哄-1,4-二基)二丙腈 3,3,-(哌畊-1,4-二基)二(ΝΓ-羥基丙脒) 36 2-乙氧基乙醇 M2-乙氧基乙氧基)丙腈 3-(2-乙氧基乙氧基)·Ν*-經基丙脒 37 2-(2-二甲基胺 基乙氧基)乙醇 3-(2-(2-(二甲基胺基)乙氧基) 乙氧基)丙腈 3-(2-(2-(二甲基胺基)乙氧基)乙氧基)-Ν--羥基丙脒 38 異丁醛 4,4-二甲基-5-酮基戊烷腈 Ν’·羥基-4,4-二甲基-5-酮基戊脒 39 丙二酸二乙酯 2,2-二(2-氰基乙基)丙二酸二 乙酯 2,2-二(3-胺基-3-(羥基亞胺基)丙基)丙 二酸 40 苯胺 3-(苯基胺基)丙腈 Ν,-羥基-3-(苯基胺基)丙脒 41 氣 3,3,,3&quot;-氮三基三丙腈 3,3',3&quot;-氮三基三(Ν'-羥基丙脒) 42 丙二酸二乙酯 2,2-二(2-氰基乙基)丙二酸 2,2-二(3-胺基-3-(羥基亞胺基)丙基)丙 二酸 43 甘胺酸(單氰基 乙基化) 2-(2-氰基乙基胺基)乙酸 2-(3-胺基-3-(羥基亞胺基)丙基胺基)乙 酸 44 甘胺酸(二氰基 乙基化) 2-(二(2-氰基乙基)胺基)乙酸 2-(二(3-胺基-3-(羥基亞胺基)丙基)胺基 )乙酸 45 丙二腈 丙院-1,1,3-三腈 犯,&gt;1'1,]^3-三羥基丙烷-1,1,3-三(羧脒) 46 氰基乙醯胺 2,4-二氰基-2-(2-氰基乙基)丁 醯胺 5-胺基-2-(3-胺基-3-(羥基亞胺基)丙基 )-2-(Ν'-羥基甲眯基)-5-(羥基亞胺基)戊 醯胺 47 季戊四醇 3,3’-(2,2-二((2-氰基乙氧基) 甲基)丙院-1,3-二基)二(氧基) 二丙腈 3,3'-(2,2-二((3-(羥基胺基)-3-亞胺基丙 氧基)甲》丙烷-1,3-二基)二(氧》二( 正經基丙脒) 48 N·甲基 二乙醇胺 3,3’·(2,2'-(甲基氮二基)二(乙 烷-2,1-二基)二(氧基))二丙腈 3,3·-(2,2·-(甲基氮二基)二(乙烷-2,1-二 基)二(氧基))二(Ν,-羥基丙脒) 49 甘胺酸酐 3,3’-(2,5-二酮基哌哄-1,4-二 基)二丙腈 3,3,-(2,5-二酮基哌哄-1,4-二基)二(^-經 基丙脒) 50 乙醯胺 Ν,Ν-二(2-氰基乙基)乙醯胺 Ν,Ν-二(3-胺基-3-(羥基亞胺基)丙基)乙 醯胺 51 鄰胺苯甲腈 3,3,-(2-氰基苯基氮二基)二丙 腈 3,3’-(2&lt;N’-羥基甲眯基)苯基氮二基)二 (N·-羥基丙脒) 52 二乙醇胺 3,3'-(2,2'-(2-氰基乙基氮二基 )二(乙院-2,1-二基)二(氧基)) 二丙烷腈 3,3’-(2,2'-(3-胺基-3-(羥基亞胺基)丙基 氮二基)二(乙烷-2,1-二基))二(氧基)二 (Ν'機丙脒) -180- 200941582 例如’ CE3 6表示乙二醇的氰乙基化的產物,和a〇3 6 爲來自3- ( 2-乙氧基乙氧基)丙腈與羥基胺反應以形成其 相應的醯胺肟。 雖然本發明已揭示及詳述於本文中,並參考引用多種 特殊的材料、步驟和實施例,但須明白的是,本發明並不 限於爲此目的而擇的材料和步驟之特定組合。熟悉此項技 術人士均明白所述之細節包含許多的變化。意指說明書和 實施例可僅視爲範例’本發明的真正範圍和精神將由下列 申請專利範圍所界定。與本發明有關之所有參考文獻、專 利案、和專利申請案之內容均倂入本文以供參考。 1J 明 說 單 簡 式 圖 圖1說明接觸角的表面化學觀念和其在半導體清潔的 重要性。 圖2顯示醯胺肟化合物於強氧化劑(例如,過氧化氫 )存在下抑制銅氧化的意外結果。 圖3提供ESCA分析數據,該數據顯示清潔步驟前存 在於銅基板內的氧化銅(II)。 圖4提供ESC A分析數據,該數據顯示所有的氧化銅 (II)已藉由本發明的醯胺肟溶液予以移除。清潔方法亦 在曝露至周遭環境2小時之後抑制銅表面的氧化作用。 圖5提供ESCA分析數據,該數據顯示在曝露至周遭 環境10天之後,只有少量的氧化銅(Π)產生。使用含有 醯胺肟化合物之組成物的清潔方法抑制氧化銅(II )的產 -181 - 200941582 生。 圖6爲經清潔處理過的銅表面的歐傑縱深分佈圖( Auger depth profile)的分析;結果暗示在曝露至周遭環 境10天之後’氧化銅(I)和氧化銅(II)在使用含有醯 胺肟化合物之組成物之清潔方法後不會顯著增加。 圖7顯不銅電位與pH値座標圖(Copper Pourbaix diagram),該圖指出氧化銅/氫氧化銅在高pH的水中是 不溶的。 圖8爲描述醯胺肟溶液(DS6-10)之圖,該溶液有效 地從熱氧化物表面移除顆粒。其在稀釋因子爲10時亦有 效的。 圖9爲顯示醯胺肟溶液(DS6-10)之圖,該溶液有效 地從銅表面移除顆粒。其在稀釋因子爲10時亦有效的。 圖1〇爲描述醯胺肟溶液(DS6-10)之圖,該溶液有 效地從低k介電體BlackDiamond™ ( BDI)表面移除顆粒 。其在稀釋因子爲10時亦有效的。 圖1 1顯示慣用的CMP漿料在各種pH的ξ電位。漿 料系統在其等電點上或下爲穩定的。 圖12顯示醯胺肟溶液(DS6-10)在各種pH的ξ電位 。該醯胺肟溶液具有高負値ξ電位,其暗示移除漿料顆粒 的良好性質。 圖1 3提供使用不同清潔化學品的SEM影像。本發明 的醯胺肟溶液(DS6-10 )有效地從表面移除顆粒和氧化銅 ’而未損害銅表面。其在稀釋因子爲1〇時亦有效的。 -182- 200941582 圖14呈現醯胺肟溶液(DS6-10)白 面在60°C曝露至該溶液高達4小時之 有效地從表面移除顆粒和氧化銅,而未 同條件下,比較該影像與來自EKC技揭 圖 15 顯示來自 Applied Materials (BDI)無k値位移。此暗示本發明的 10)適合經碳摻雜的低k介電體。 圖16顯示來自EBARA EP0222D ί 的過程流程。拋光之後’晶圓被移至能 DI水之刷子單元(brush unit) ’接著 元(pencil unit)以DI清洗(高壓噴霧 ]SEM影像,銅表 後,該醯胺肟溶液 損害銅表面。在相 f之EKC5510影像 的 BlackDiamond™ 酿胺肟溶液&lt;(DS6- ]後CMP清潔工具 提供清潔化學品和 晶圓被移至射束單 水至晶圓表面)。❹ r3 A compound having an oxidation and a reduction potential - from about 0.001% by weight to 25% by weight. These compounds include hydroxylamines and salts thereof, for example, hydroxylamine chloride, hydroxylamine nitrate, hydroxylamine sulfate, hydroxylamine phosphate, or derivatives thereof, for example, N,N-diethylhydroxylamine, N-phenyl Hydroxylamine. Anthraquinone and its derivatives; hydrogen peroxide; persulfates of ammonium, potassium and sodium; permanganates of potassium and sodium; and peroxides of other sources selected from the group consisting of perborate monohydrate, Perborate tetrahydrate, percarbonate, salts thereof, and combinations thereof. Hydroxyhydroxylamine is poor due to environmental factors. Another compound which can be used as a component within the scope of the present invention is a dimercaptoperoxide, for example, a benzoyl peroxide. Another typical organic compound having an oxidation/reduction potential is a peroxyacid, and specific examples are an alkyl peroxyacid and an aryl peroxyacid. Preferred representatives are (a) peroxybenzoic acid and ring-substituted derivatives thereof, for example, alkyl peroxybenzoic acid, also peroxy-a-naphthoic acid and magnesium monobasic acid, (b) Aliphatic or substituted aliphatic peroxyacids, for example, peroxylauric acid, peroxystearic acid, c-quinone imine peroxyhexanoic acid [酞醯imino peroxyhexanoic acid (PAP)], 〇-carboxybenzimidyl peroxyhexanoic acid, N-nonenyl guanylamino peradipate and N-nonenyl guanidinosuccinate, and (c) aliphatic and araliphatic Oxydicarboxylic acid, for example, 1,2-diperoxycarboxylic acid, 1,9-diperoxydicarboxylic acid, diperoxadicarboxylic acid, diperoxytridecanedioic acid, diperoxydecanoic acid, 2-mercaptodiperoxybutane-1,4-diacid, N,N-p-nonyldi(6-aminoperhexanoic acid). Other Chelating Agents - Preferably, the cleaning composition comprises (by weight of the composition) from 〇. 〇% by weight to 15% by weight of additional one or more chelating agents. Another possible group of ingredients is a chelation complex. The chelating miscending agent is a substance which forms a cyclic compound with a metal ion, wherein a single ligand occupies more than one coordination position of the central atom, i.e., at least "two teeth". In this case, the stretched compound is therefore usually closed by forming a complex via ions to provide a loop. The number of bound ligands depends on the number of coordination of the central ions. The miscry group (coordinating group) of the commonly used complex forming a polymer is iminodiacetic acid, hydroxyquinoline, thiourea, hydrazine, dithiocarbamate, isohydroxy-176- 200941582 citric acid, Amidoxime, aminophosphoric acid, (cyclo)polyamine, fluorenyl, 1,3-dicarbonyl and crown ether groups, some of which have very specific activities for ions of different metals. For the purposes of the present invention, it is possible to use prior art intercalating agents. These can belong to different chemical groups. Preferred chelating/complexing agents include the following (individually or in combination with each other): 1) polycarboxylic acids in which the number of carboxyl groups and optionally hydroxyl groups is less than 5, for example, gluconic acid, 2) nitrogen-containing Mono- or polycarboxylic acids, for example, ethylenediaminetetraacetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid, diethylenetriaminepentaacetic acid, hydroxy-ethyliminodiacetic acid, nitrogen (nitrido Diacetate-3-propionic acid, isose acid diacetic acid, hydrazine, hydrazine-bis(/3-hydroxyethyl)glycine, N-(1,2-dicarboxy-2-hydroxyethyl)glycine Aminic acid, hydrazine-(1,2-dicarboxy-2-hydroxyethyl)-aspartic acid or nitrilo triacetic acid (ΝΤΑ), 3) quinone diphosphonic acid, for example, 1-hydroxyethyl Alkane-1,1-diphosphonic acid (❹ HEDP), its larger homologue with up to 8 carbon atoms, and its hydroxyl or amine containing derivatives, and 1-aminoethane-1,1- a bisphosphonic acid, a larger homolog having up to 8 carbon atoms, and a hydroxyl or amine containing derivative thereof, 4) an aminophosphonic acid, for example, ethylenediamine-tetrakis (methylene phosphonic acid), Diethylenetriamine penta (methylene phosphonic acid) or nitrogen tris Ortho-acid), 5) phosphinyl polycarboxylic acid, for example, 2-phosphonium butyl-1,2,4-tridecanoic acid, and 6) cyclodextrin-177- 200941582 surfactant - from about 1 0 ppm to 5% by weight. The compositions of the present invention may therefore also include anionic, cationic, and/or amphoteric surfactants as surfactant components. Fluoride ion source - content is from about 0.001% to 10% by weight. Sources of fluoride ions include, but are not limited to, ammonium difluoride, ammonium fluoride, hydrofluoric acid, sodium hexafluoroantimonate, fluoroantimonic acid, and tetrafluoroboric acid. The ingredients of the desired composition can be metered and mixed in situ prior to being dispensed onto the surface of the substrate for processing. Further, an analytical device can be installed to monitor the composition, and the chemical composition can be again composed into a mixture that meets the cleaning performance specifications. Key parameters that can be monitored include the physical and chemical properties of the composition, such as pH, water concentration, oxidation/reduction potential, and solvent composition. The composition is claimed to be in the range of use and is also a mixture 'which can be diluted to meet specific cleaning regulations. A list of preferred amidoxime compounds prepared from nitriles is not limited to the following table: -178- 200941582 Nitrile (Ν) Amidoxime (ΑΟ) 3 3-hydroxypropionitrile Ν',3-dihydroxypropanoid 4 Acetonitrile -'-hydroxyethyl hydrazine 5 3-methylaminopropionitrile Ν '-hydroxy-3-(methylamino) propyl hydrazine 6 benzonitrile Ν '-hydroxybenzhydrazide 8 3,3,-imine Dipropionitrile 3,3,-azadiyldi(indenyl-hydroxypropionyl) 9 octyl nitrile 1 -,-hydroxyoctyl 10 10-phenylpropanenitrile, -hydroxy-3-phenylpropanoid 11 Ethyl 2-cyanoacetate 3-amino-indole-hydroxy-3-(hydroxyimino)propanase 12 2-cyanoacetic acid 3-amino-3-(hydroxyimino)propionic acid 13 2- Cyanoacetamide 3-amino-3-(hydroxyimino)propanoid 15 adiponitrile hydrazine, 1, hydrazine, 6-dihydroxyhexanedifluorene 16 phthalonitrile, m, mo·di-based broth脒(脒) 17 4-pyridine nitrile N·-hydroxyisonicotin 脒18-methylbenzonitrileΝ'-hydroxy-3-methylbenzhydrazene 19 decyl isophthalide-1,3-two Ketone bismuth 20 glycolonitrile Ν',2-diionyl hydrazine 21 chloroacetonitrile 2-chloro-hydrazide-hydroxy hydrazine 22 phenylacetonitrile Ν '-hydroxy-2-phenyl acetamidine 24 o-amine benzonitrile 2 -amino-Ν'-hydroxyl Benzobenzamide 25 3,3'-iminodiacetonitrile 2,2·-azadiyldi(Ν'-hydroxyethyl hydrazine) 26 5-cyano azlactone Ν'-hydroxy-1-keto- 1,3-Dihydroisobenzofuran-5-formamidine 27 2-cyanophenylacetonitrile 3-aminoisoquinolin-1(4Η)-ketooxime or 3-(hydroxyamino)-3,4 -Dihydroisoquinolin-1-amine 29 Cinnamonitrile... Ν丨-hydroxycinnamium 30 glutaronitrile 4-cyano-indole,-pyridinium 31 4-indole carbonitrile 4-chloro-indole , -hydroxybenzhydrazyl, for example, N3 represents 3-hydroxypropionitrile and A03 is Ν',3-dihydroxypropionam, which is derived from the reaction of 3-hydroxypropionitrile with hydroxylamine to form its corresponding amidoxime. A list of preferred amidoxime compounds prepared by cyanoethylation of nucleophilic compounds is not limited to the following table: -179- 200941582 ID nucleophilic compound cyanoethylated compound (CE) from cyanoethyl Acrylamine hydrazine (ΑΟ) 01 sorbitol 1,2,3,4,5,6-hexa-0&gt; (2-cyanoethyl)hexitol 1,2,3,4, 5,6-hexa-indole-[3-(hydroxyamino)-3-iminopropylhexitol 07 Ethylenediamine 3,3',3&quot;,3&quot;,-(ethane-1,2 -diyldi(nitrogen tris))tetrapropyl 3,3',3&quot;,3'&quot;-(ethane-1,2-diylbis(nitrotriyl)tetrakis(Ν·-hydroxypropionamidine) 28 ethylene glycol 3,3, ethane- 1,2-diylbis(oxy))dipropionitrile 3,3.-(Biroshi-1,2-diylbis(oxy))di(indolyl-hydroxypropionate) 34 Diethylamine 3-(Diethylamino)propan-3-(diethylamino)-indole-p-propylpropionate 35 piperazine 3,3.(piperidin-1,4-diyl)dipropanenitrile 3,3,-(Peptonic-1,4-diyl)di(indenyl-hydroxypropionate) 36 2-ethoxyethanol M2-ethoxyethoxy)propanenitrile 3-(2-ethoxyl Ethoxy)·Ν*-pyridyl 37 2-(2-dimethylaminoethoxy)ethanol 3-(2-(2-(dimethylamino)ethoxy)ethoxy Propiononitrile 3-(2-(2-(dimethylamino)ethoxy)ethoxy)-indole-hydroxypropane 38 isobutyraldehyde 4,4-dimethyl-5-ketopentane Alkanonitrile Ν'·hydroxy-4,4-dimethyl-5-ketopentanyl 39 diethyl malonate 2,2-di(2-cyanoethyl)malonate diethyl 2,2 - bis(3-amino-3-(hydroxyimino)propyl)malonic acid 40 aniline 3-(phenylamino)propanenitrile, -hydroxy-3-(phenylamino)propanoid 41 Gas 3,3,,3&quot;-nitrotrisyltripropionitrile 3,3',3&quot;-nitrotriyl (Ν'-hydroxypropyl hydrazine) 42 diethyl malonate 2,2-bis(2-cyanoethyl)malonic acid 2,2-bis(3-amino-3-(hydroxyimino) Propyl)malonic acid 43 glycine (monocyanoethylation) 2-(2-cyanoethylamino)acetic acid 2-(3-amino-3-(hydroxyimino)propylamine Acetate 44 glycine (dicyanethylation) 2-(bis(2-cyanoethyl)amino)acetic acid 2-(bis(3-amino-3-(hydroxyimino))propane Amino)acetic acid 45 malononitrile propylidene-1,1,3-tricarbonitrile, &gt;1'1,]^3-trihydroxypropane-1,1,3-tris(carboxyindole) 46 cyanide Ethylamine 2,4-dicyano-2-(2-cyanoethyl)butanamine 5-amino-2-(3-amino-3-(hydroxyimino)propyl)- 2-(Ν'-hydroxymethylmethyl)-5-(hydroxyimino)pentanylamine 47 pentaerythritol 3,3'-(2,2-bis((2-cyanoethoxy)methyl)propyl -1,3-diyl)bis(oxy)dipropionitrile 3,3'-(2,2-bis((3-(hydroxyamino)-3-imidopropoxy)) propane -1,3-diyl)di(oxy)di(n-propylpropanoid) 48 N·methyldiethanolamine 3,3'·(2,2'-(methylnitrodiyl)di(ethane-2 ,1-diyl)bis(oxy))dipropionitrile 3,3·-(2,2·-(methylnitrodiyl)di( Ethane-2,1-diyl)di(oxy))bis(indole,-hydroxypropionamidine) 49 glycine anhydride 3,3'-(2,5-diketopiperazine-1,4-di Dipropionitrile 3,3,-(2,5-dionepiperazin-1,4-diyl)di(^-pyridinyl) 50 acetamidine oxime, bismuth-di(2-cyano Ethyl ethyl acetamide, hydrazine-bis(3-amino-3-(hydroxyimino)propyl)acetamide 51 o-amine benzonitrile 3,3,-(2-cyanophenyl Nitrogen diyl)dipropionitrile 3,3'-(2&lt;N'-hydroxymethylindenyl)phenylazinyl)bis(N-hydroxypropyl) 52 diethanolamine 3,3'-(2,2 '-(2-Cyanoethylnitrodiyl) bis(Ethylene-2,1-diyl)bis(oxy))dipropanenitrile 3,3'-(2,2'-(3-Amino) -3-(hydroxyimino)propylnitrodiyl)di(ethane-2,1-diyl))bis(oxy)bis(Ν'machine-propyl)-180- 200941582 For example 'CE3 6 indicates The product of cyanoethylation of ethylene glycol, and a〇3 6 is a reaction of 3-(2-ethoxyethoxy)propanenitrile with hydroxylamine to form its corresponding amidoxime. Although the present invention has been disclosed and described herein in detail and reference to the particular embodiments of the embodiments of the invention, it is understood that the invention is not limited to the specific combinations of materials and steps. Those skilled in the art will appreciate that the details described include many variations. It is intended that the specification and embodiments be considered as illustrative only and The contents of all of the references, patents, and patent applications of the present disclosure are hereby incorporated by reference. 1J Description Single Figure Figure 1 illustrates the surface chemistry of the contact angle and its importance in semiconductor cleaning. Figure 2 shows the unexpected result of inhibition of copper oxidation by amidoxime compounds in the presence of a strong oxidizing agent (e.g., hydrogen peroxide). Figure 3 provides ESCA analysis data showing copper (II) oxide present in the copper substrate prior to the cleaning step. Figure 4 provides ESC A analysis data showing that all of the copper (II) oxide has been removed by the amidoxime solution of the present invention. The cleaning method also inhibited the oxidation of the copper surface after exposure to ambient conditions for 2 hours. Figure 5 provides ESCA analysis data showing that only a small amount of copper oxide (Π) is produced after 10 days of exposure to the surrounding environment. The use of a cleaning method containing a composition of an amidoxime compound inhibits the production of copper (II) oxide. Figure 6 is an analysis of the Auger depth profile of the cleaned copper surface; the results suggest that 'copper oxide (I) and copper (II) oxide are contained in the use after exposure to ambient environment for 10 days. The cleaning method of the composition of the amine oxime compound does not increase significantly. Figure 7 shows the copper potential and the pH Pourbaix diagram, which indicates that copper oxide/potassium hydroxide is insoluble in high pH water. Figure 8 is a diagram depicting a solution of amidoxime (DS6-10) which effectively removes particles from the surface of the thermal oxide. It is also effective at a dilution factor of 10. Figure 9 is a graph showing a solution of amidoxime (DS6-10) which effectively removes particles from the copper surface. It is also effective at a dilution factor of 10. Figure 1 is a diagram depicting a solution of amidoxime (DS6-10) which effectively removes particles from the surface of a low-k dielectric BlackDiamondTM (BDI). It is also effective at a dilution factor of 10. Figure 11 shows the zeta potential of a conventional CMP slurry at various pHs. The slurry system is stable above or below its isoelectric point. Figure 12 shows the zeta potential of amidoxime solution (DS6-10) at various pHs. The amidoxime solution has a high negative zeta potential which suggests good properties for removing the slurry particles. Figure 13 provides an SEM image of the different cleaning chemicals used. The amidoxime solution (DS6-10) of the present invention effectively removes particles and copper oxide from the surface without damaging the copper surface. It is also effective when the dilution factor is 1 。. -182- 200941582 Figure 14 shows that the amidoxime solution (DS6-10) white surface was exposed to the solution at 60 ° C for up to 4 hours to effectively remove particles and copper oxide from the surface, while the image was compared under the same conditions. Figure 15 from EKC shows no k値 displacement from Applied Materials (BDI). This suggests that 10) of the present invention is suitable for carbon-doped low-k dielectrics. Figure 16 shows the process flow from EBARA EP0222D ί. After polishing, the wafer is moved to a brush unit capable of DI water. The pencil unit is cleaned with a DI (high pressure spray) SEM image. After the copper sheet, the amidoxime solution damages the copper surface. The EKC5510 image of the BlackDiamondTM Acrylamide solution &lt;(DS6-] post CMP cleaning tool provides cleaning chemicals and the wafer is moved to the beam surface to the wafer surface).

-183--183-

Claims (1)

200941582 十、申請專利範圍 1. —種從金屬或介電體表面移除殘留物和污染物之 方法,該方法包括提供半導體表面,其中該表面包括至少 一種金屬或金屬氧化物’和藉由使該金屬或介電體表面與 清潔組成物接觸而經歷化學機械拋光’該清潔組成物包括 至少約1 0重量%的水混合物; 從約0.1重量%至約3 5重量%的至少一種醯胺肟化合 物; 隨意地有機溶劑;和 隨意地一或多種有機酸化合物。 2. 如申請專利範圍第1項之方法’其中該清潔組成 物包括介於0.1重量%至45重量%之間的一或多種有機酸 化合物,此有機酸化合物係選自單官能、雙官能和三官能 有機酸,且進一步包括介於0.5重量%和30重量%之間的 氧化劑。 3. 如申請專利範圍第1項之方法,其進一步包括緩 衝量的至少一種鹼性化合物,此鹼性化合物係選自敍化合 物、羥基胺、羥基胺衍生物、烷醇胺及其混合物。 4. 如申請專利範圍第3項之方法,其中該至少一種 鹼性成分包括存在量爲從約0.3重量%至約15重量%之羥 基胺或羥基胺衍生物。 5 ·如申請專利範圍第3項之方法,其中該銨化合物 包括存在量爲從約0.1重量%至約50重量。/。之氫氧化四烷 -184- 200941582 基銨、TMAH五水合物、BTMAH (氫氧化苄基四甲基銨) 、TBAH、膽鹼、或THEMAH (氫氧化三(2-羥基乙基) 甲基銨)。 6. 如申請專利範圍第3項之方法,其中該烷醇胺包 括單乙醇胺、2- ( 2-羥基乙基胺基)乙醇、2- ( 2-胺基乙 氧基)乙醇、N,N,N-三(2-羥基乙基)-胺、異丙醇胺、3-胺基-1-丙醇、2-胺基-1-丙醇、2- ( N-甲基胺基)乙醇、2- 0 ( 2-胺基乙基胺基)乙醇、三(羥基甲基)胺基乙烷、或 其混合物。 7. 如申請專利範圍第2項之方法,其中該一或多種 有機酸化合物係選自甲磺酸、草酸 '乳酸、檸檬酸、茬磺 酸、十二基苯磺酸、甲苯磺酸、甲酸 '酒石酸、丙酸、苯 甲酸、抗壞血酸、葡萄糖酸、蘋果酸、丙二酸、琥珀酸、 五倍子酸、丁酸、三氟乙酸、及其混合物。 8. 如申請專利範圍第7項之方法,其中該一或多種 〇 有機酸化合物的存在量爲從約〇·2重量%至約45重量%。 9. 如申請專利範圍第2項之方法,其中該一或多種 氧化劑係選自過氧化氫、過氧二硫酸銨、過氧乙酸、氫過 氧化脲、過氧碳酸鈉、過氧硼酸鈉及其混合物。 1 0 ·如申請專利範圍第1項之方法,其中該有機溶劑 的存在量爲從約5重量%至約1 5重量°/〇。 11. 如申請專利範圍第1項之方法,其進一步包括表 面活性劑。 12. 如申請專利範圍第11項之方法,其中該表面活 -185- 200941582 性劑係選自:(a )非離子性界面活性劑;(b )陰離子性 界面活性劑;(c )陽離子性界面活性劑;(d )兩性離子 界面活性劑;(e )兩性界面活性劑;(f)及其混合物。 13. —種清潔半導體工作部件(work-piece)之方法 ,該方法係在半導體裝置製造期間晶圓化學-機械平坦化 (CMP )之後進行,該方法包括下列步驟: (a)提供半導體工作部件,其中該半導體工作部件 包括: ❹ (i) 金屬線,其中該金屬線包括銅或鋁; (ii) 阻障材料,其中該阻障材料包括一或多種 選自下列之材料:a).钽(Ta) ,b) ·氮化鉅(TaN) ,c )·鈦(Ti) ,d) ·氮化鈦(TiN) ,e) ·鎢(W),和 f) · 氮化鎢(WN ):和 (iii) 介電體; (b )使該半導體工作部件與含有清潔劑之清潔溶液 接觸,其中該清潔劑包括: © (i )水,和 (ii ) 一或多種醯胺肟化合物。 1 4 ·如申請專利範圍第1 3項之方法,其中該清潔劑 進一步包括表面活性劑,該表面活性劑係選自:(a )非 離子性;(b )陰離子性;(c )陽離子性;(d )兩性離 子:(e )兩性界面活性劑;(f)及其混合物。 1 5 ·如申請專利範圍第1 3項之方法,其中該清潔劑 進一步包括至少一種鹼性化合物,該鹼性化合物包括一或 -186- 200941582 多種選自下列之烷醇胺:單乙醇胺、2- (2 -羥基乙基胺基 )乙醇、2- (2-胺基乙氧基)乙醇、Ν,Ν,Ν-三(2-羥基乙 基)-胺 '異丙醇胺、3 -胺基-1 -丙醇、2 -胺基-1 -丙醇、2 -(Ν-甲基胺基)乙醇、2- ( 2-胺基乙基胺基)乙醇、及其 混合物。 1 6 ·如申請專利範圍第1 5項之方法,其中該至少一 種鹼性化合物的存在量爲從約〇·5重量%至約50重量%。 D 17 ·如申請專利範圍第1 3項之方法,其中該溶液實 質上不含下列物質·含氟之化合物(fluoride-containing compounds )、酸化合物、有機溶劑、烷醇胺、四級銨化 合物、羥基胺和羥基胺衍生物、不含羥基之胺、烷醇胺、 無醯胺肟基團之螯合劑、和界面活性劑。 1 8 .如申請專利範圍第1 3項之方法,其中該醯胺肟 基團係衍生自腈化合物,該腈化合物係衍生自選自下列化 合物之氰乙基化作用:糖醇、羥基酸、糖酸、多醇單體( 〇 monomeric polyols )、多羥基醇、二醇醚、多醇聚合物( polymeric polyols)、聚乙二醇、聚丙二醇、胺、醯胺、 醯亞胺、胺基醇、和合成的聚合物。 19. 如申請專利範圍第1 3項之方法,其中該清潔劑 在與半導體工作部件接觸之前進一步用水稀釋。 20. 如申請專利範圍第19項之方法,其中該稀釋因 子爲從約10至約500。 2 1 ·如申請專利範圍第1項之方法,其中該清潔組成 物進一步包括至少一種螯合劑,該螯合劑不包括醯胺肟官 -187- 200941582 能基。 22.如申請專利範圍第21項之方法,其中該至少一 種螯合劑係選自:乙二—胺四乙酸、異羥肟酸、肟、8_羥基 喹啉、聚伸烷基多元胺、***、冠醚、及其混合物。 23·如申請專利範圍第13項之方法,其中該清潔組 成物進一步包括氧化劑。 24.如申請專利範圍第23項之方法,其中該氧化劑 係選自過氧二硫酸銨、過氧乙酸、氫過氧化脲、過氧碳酸 ❹ 鈉、有機過氧化物、過氧硼酸鈉及其混合物。 2 5. —種從金屬或介電體表面移除殘留物和污染物之 方法,該方法包括: (1) 提供半導體表面,其中該表面包括至少一種金 屬或金屬氧化物,且於其上具有清潔調合物,該調合物包 括胺、羥基胺、或其混合物;和 (2) 使該金屬或介電體表面與後清潔組成物接觸一 段足以移除殘留清潔調合物的時間,該組成物包括:一或 ® 多種醯胺肟化合物、水、介於1重量%至25重量%之間的 一或多種選自單官能、雙官能和三官能有機酸之有機酸、 和介於0.5重量%和30重量%之間的氧化劑, 其中該後清潔組成物的pH介於約3.5和約7之間。 26. 如申請專利範圍第25項之方法’其中該半導體 表面包括含有Al、A1/ ( 0.5%) Cu合金、Ti、W、Ta、或 其合金之金屬。 27. 如申請專利範圍第25項之方法’其中該接觸從 -188- 200941582 該半導體表面以低於約17埃/分鐘之速率移除銅金屬或氧 化銅。 28. 如申請專利範圍第27項之方法,其中該後清潔 組成物進一步包括介於〇.〇1重量%和10重量%之間的螯 合劑。 29. 如申請專利範圍第25項之方法,其中該後清潔 組成物的表面張力爲約70達因/公分或更小。 ❿200941582 X. Patent Application 1. A method for removing residues and contaminants from a metal or dielectric surface, the method comprising providing a semiconductor surface, wherein the surface comprises at least one metal or metal oxide ' and by The metal or dielectric surface is subjected to chemical mechanical polishing in contact with the cleaning composition. The cleaning composition comprises at least about 10% by weight of a water mixture; from about 0.1% to about 35% by weight of at least one amidoxime a compound; optionally an organic solvent; and optionally one or more organic acid compounds. 2. The method of claim 1, wherein the cleaning composition comprises between 0.1% and 45% by weight of one or more organic acid compounds selected from the group consisting of monofunctional, difunctional, and A trifunctional organic acid, and further comprising between 0.5% and 30% by weight of an oxidizing agent. 3. The method of claim 1, further comprising a buffering amount of at least one basic compound selected from the group consisting of a salt compound, a hydroxylamine, a hydroxylamine derivative, an alkanolamine, and mixtures thereof. 4. The method of claim 3, wherein the at least one alkaline component comprises a hydroxylamine or hydroxylamine derivative present in an amount from about 0.3% to about 15% by weight. 5. The method of claim 3, wherein the ammonium compound is present in an amount from about 0.1% to about 50% by weight. /. Hydroxide-184- 200941582 ammonium, TMAH pentahydrate, BTMAH (benzyltetramethylammonium hydroxide), TBAH, choline, or THEMAH (tris(2-hydroxyethyl)methylammonium hydroxide) ). 6. The method of claim 3, wherein the alkanolamine comprises monoethanolamine, 2-(2-hydroxyethylamino)ethanol, 2-(2-aminoethoxy)ethanol, N, N , N-tris(2-hydroxyethyl)-amine, isopropanolamine, 3-amino-1-propanol, 2-amino-1-propanol, 2-(N-methylamino)ethanol , 2- 0 (2-Aminoethylamino)ethanol, tris(hydroxymethyl)aminoethane, or a mixture thereof. 7. The method of claim 2, wherein the one or more organic acid compounds are selected from the group consisting of methanesulfonic acid, oxalic acid, lactic acid, citric acid, sulfonic acid, dodecylbenzenesulfonic acid, toluenesulfonic acid, and formic acid. 'tartaric acid, propionic acid, benzoic acid, ascorbic acid, gluconic acid, malic acid, malonic acid, succinic acid, gallic acid, butyric acid, trifluoroacetic acid, and mixtures thereof. 8. The method of claim 7, wherein the one or more hydrazine organic acid compounds are present in an amount from about 2% by weight to about 45% by weight. 9. The method of claim 2, wherein the one or more oxidants are selected from the group consisting of hydrogen peroxide, ammonium peroxodisulfate, peroxyacetic acid, urea hydroperoxide, sodium percarbonate, sodium peroxyborate and Its mixture. The method of claim 1, wherein the organic solvent is present in an amount of from about 5% by weight to about 15% by weight. 11. The method of claim 1, further comprising a surfactant. 12. The method of claim 11, wherein the surface active-185-200941582 agent is selected from the group consisting of: (a) a nonionic surfactant; (b) an anionic surfactant; (c) a cationic a surfactant; (d) a zwitterionic surfactant; (e) an amphoteric surfactant; (f) and mixtures thereof. 13. A method of cleaning a semiconductor work-piece, the method being performed after wafer chemical-mechanical planarization (CMP) during fabrication of the semiconductor device, the method comprising the steps of: (a) providing a semiconductor working component Wherein the semiconductor working component comprises: ❹ (i) a metal wire, wherein the metal wire comprises copper or aluminum; (ii) a barrier material, wherein the barrier material comprises one or more materials selected from the group consisting of: a). (Ta), b) · TaN, c) Titanium (Ti), d) Titanium nitride (TiN), e) · Tungsten (W), and f) · Tungsten nitride (WN) And (iii) a dielectric; (b) contacting the semiconductor working component with a cleaning solution containing a cleaning agent, wherein the cleaning agent comprises: © (i) water, and (ii) one or more amidoxime compounds. The method of claim 13, wherein the detergent further comprises a surfactant selected from the group consisting of: (a) nonionic; (b) anionic; (c) cationic (d) zwitterions: (e) an amphoteric surfactant; (f) and mixtures thereof. The method of claim 13 wherein the cleaning agent further comprises at least one basic compound comprising one or -186-200941582 a plurality of alkanolamines selected from the group consisting of monoethanolamine, 2 - (2-hydroxyethylamino)ethanol, 2-(2-aminoethoxy)ethanol, hydrazine, hydrazine, hydrazine-tris(2-hydroxyethyl)-amine 'isopropanolamine, 3-amine Base-1 -propanol, 2-amino-1-propanol, 2-(Ν-methylamino)ethanol, 2-(2-aminoethylamino)ethanol, and mixtures thereof. The method of claim 15, wherein the at least one basic compound is present in an amount of from about 5% by weight to about 50% by weight. D 17 The method of claim 13 wherein the solution is substantially free of the following substances: fluoride-containing compounds, acid compounds, organic solvents, alkanolamines, quaternary ammonium compounds, Hydroxylamine and hydroxylamine derivatives, hydroxyl-free amines, alkanolamines, chelating agents without amidoxime groups, and surfactants. 18. The method of claim 13, wherein the amidoxime group is derived from a nitrile compound derived from a cyanoethylation selected from the group consisting of sugar alcohols, hydroxy acids, sugars Acid, polyol monomers, polyhydric alcohols, glycol ethers, polymeric polyols, polyethylene glycol, polypropylene glycol, amines, decylamine, quinone imine, amino alcohol, And synthetic polymers. 19. The method of claim 13 wherein the cleaning agent is further diluted with water prior to contacting the semiconductor working component. 20. The method of claim 19, wherein the dilution factor is from about 10 to about 500. The method of claim 1, wherein the cleaning composition further comprises at least one chelating agent, the chelating agent excluding the guanamine --187- 200941582 keel. 22. The method of claim 21, wherein the at least one chelating agent is selected from the group consisting of: ethylenediaminetetraacetic acid, hydroxamic acid, hydrazine, 8-hydroxyquinoline, polyalkylene polyamine, three Azole, crown ether, and mixtures thereof. The method of claim 13, wherein the cleaning composition further comprises an oxidizing agent. 24. The method of claim 23, wherein the oxidizing agent is selected from the group consisting of ammonium peroxodisulfate, peracetic acid, urea hydroperoxide, sodium peroxycarbonate, organic peroxides, sodium peroxyborate and mixture. 2 5. A method of removing residues and contaminants from a surface of a metal or dielectric, the method comprising: (1) providing a semiconductor surface, wherein the surface comprises at least one metal or metal oxide and having thereon Cleaning the blend, the blend comprising an amine, a hydroxylamine, or a mixture thereof; and (2) contacting the surface of the metal or dielectric with the post-cleaning composition for a time sufficient to remove the residual cleansing composition, the composition comprising : one or more of amidoxime compounds, water, between 1% and 25% by weight of one or more organic acids selected from the group consisting of monofunctional, difunctional and trifunctional organic acids, and between 0.5% by weight and Between 30% by weight of the oxidizing agent, wherein the pH of the post-cleaning composition is between about 3.5 and about 7. 26. The method of claim 25, wherein the semiconductor surface comprises a metal comprising Al, A1/(0.5%) Cu alloy, Ti, W, Ta, or an alloy thereof. 27. The method of claim 25, wherein the contacting is from -188 to 200941582 the semiconductor surface is at a rate of less than about 17 angstroms per minute to remove copper metal or copper oxide. 28. The method of claim 27, wherein the post-cleaning composition further comprises a chelating agent between 1% by weight and 10% by weight. 29. The method of claim 25, wherein the post-cleaning composition has a surface tension of about 70 dynes/cm or less. ❿ -189--189-
TW097141624A 2007-10-29 2008-10-29 Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions TW200941582A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72707P 2007-10-29 2007-10-29
US622507P 2007-12-31 2007-12-31

Publications (1)

Publication Number Publication Date
TW200941582A true TW200941582A (en) 2009-10-01

Family

ID=40276269

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097141624A TW200941582A (en) 2007-10-29 2008-10-29 Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions

Country Status (3)

Country Link
US (1) US20090133716A1 (en)
TW (1) TW200941582A (en)
WO (1) WO2009058275A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI551681B (en) * 2014-07-18 2016-10-01 卡博特微電子公司 Cleaning composition following cmp and methods related thereto

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211844B2 (en) * 2005-10-21 2012-07-03 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
WO2009013987A1 (en) * 2007-07-26 2009-01-29 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning and rust prevention and process for producing semiconductor element or display element
CN101827928B (en) * 2007-08-08 2012-10-03 荒川化学工业株式会社 Cleanser composition for removal of lead-free soldering flux, and method for removal of lead-free soldering flux
US20090137191A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
TW200940706A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US20090130849A1 (en) * 2007-10-29 2009-05-21 Wai Mun Lee Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
EP2207872B1 (en) * 2007-10-29 2013-07-03 Ekc Technology, Inc. Novel nitrile and amidoxime compounds and methods of preparation
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
TWI460557B (en) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd Processing agent composition for semiconductor surfaces and method for processing semiconductor surfaces using the same
US9691622B2 (en) 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US7838483B2 (en) 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
JP2010226089A (en) * 2009-01-14 2010-10-07 Rohm & Haas Electronic Materials Llc Method of cleaning semiconductor wafers
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US20110079250A1 (en) * 2009-10-01 2011-04-07 Mt Systems, Inc. Post-texturing cleaning method for photovoltaic silicon substrates
TWI445806B (en) 2009-10-14 2014-07-21 羅門哈斯電子材料有限公司 Method of cleaning and micro-etching semiconductor wafers
US8431516B2 (en) 2009-10-24 2013-04-30 Wai Mun Lee Composition and method for cleaning semiconductor substrates comprising an alkyl diphosphonic acid
US8148311B2 (en) * 2009-10-24 2012-04-03 Wai Mun Lee Composition and method for cleaning semiconductor substrates comprising an alkyl diphosphonic acid
US7947130B2 (en) 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
SG181854A1 (en) 2009-12-23 2012-07-30 Lam Res Corp Post deposition wafer cleaning formulation
KR101829399B1 (en) * 2010-03-04 2018-03-30 삼성전자주식회사 photosensitive-resin remover composition and method of fabricating semiconductor device using the same
US8536106B2 (en) * 2010-04-14 2013-09-17 Ecolab Usa Inc. Ferric hydroxycarboxylate as a builder
JP5141792B2 (en) * 2010-06-29 2013-02-13 日立化成工業株式会社 CMP polishing liquid and polishing method
KR101891363B1 (en) * 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (en) * 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
CN104395425A (en) * 2012-06-11 2015-03-04 嘉柏微电子材料股份公司 Composition and method for polishing molybdenum
US9331230B2 (en) * 2012-10-30 2016-05-03 Cbrite Inc. LED die dispersal in displays and light panels with preserving neighboring relationship
US9576789B2 (en) 2013-01-29 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus, method, and composition for far edge wafer cleaning
US20150104940A1 (en) * 2013-10-11 2015-04-16 Air Products And Chemicals Inc. Barrier chemical mechanical planarization composition and method thereof
JP6200289B2 (en) * 2013-11-18 2017-09-20 富士フイルム株式会社 Semiconductor substrate processing liquid, processing method, and semiconductor substrate product manufacturing method using the same
US9238754B2 (en) * 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
EP3143117B1 (en) * 2014-05-13 2019-09-04 Basf Se Tin pull-back and cleaning composition
US9316492B2 (en) 2014-08-08 2016-04-19 International Business Machines Corporation Reducing the impact of charged particle beams in critical dimension analysis
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102630261B1 (en) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 Cmp pad construction with composite material properties using additive manufacturing processes
KR102463863B1 (en) * 2015-07-20 2022-11-04 삼성전자주식회사 Polishing compositions and methods of manufacturing semiconductor devices using the same
JP6940495B2 (en) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Equipment and methods for forming abrasive articles with the desired zeta potential
CN105525303B (en) * 2015-12-31 2017-11-28 南京汉尔斯生物科技有限公司 A kind of metal cleaner
CN105525300B (en) * 2015-12-31 2017-11-28 南京汉尔斯生物科技有限公司 A kind of water base metal cleaning agent
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
KR102111307B1 (en) * 2016-06-02 2020-05-15 후지필름 가부시키가이샤 Process solution, substrate cleaning method and resist removal method
KR20190017815A (en) * 2016-06-09 2019-02-20 히타치가세이가부시끼가이샤 CMP polishing solution and polishing method
WO2018067763A1 (en) * 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
CN108255025A (en) * 2016-12-28 2018-07-06 安集微电子(上海)有限公司 A kind of cleaning solution
KR20190094426A (en) * 2017-01-18 2019-08-13 엔테그리스, 아이엔씨. Compositions and Methods for Removing Ceria Particles from a Surface
US11203731B2 (en) * 2017-03-08 2021-12-21 Fujimi Incorporated Composition for surface treatment and method of producing the same, surface treatment method, and method of producing semiconductor substrate
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
TWI762681B (en) * 2017-07-31 2022-05-01 日商三菱瓦斯化學股份有限公司 Composition liquid for suppressing damage of cobalt, aluminum oxide, interlayer insulating film and silicon nitride, and cleaning method using the composition liquid
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
CN107857352A (en) * 2017-11-15 2018-03-30 长沙市镇源环境工程有限公司 A kind of method for removing organic pollutants
US11446708B2 (en) * 2017-12-04 2022-09-20 Entegris, Inc. Compositions and methods for reducing interaction between abrasive particles and a cleaning brush
CN111566255A (en) 2017-12-18 2020-08-21 恩特格里斯公司 Chemically resistant multilayer coating applied by atomic layer deposition
IL275626B1 (en) * 2018-01-05 2024-03-01 Fujifilm Electronic Mat Usa Inc Surface treatment compositions and methods
US11643599B2 (en) * 2018-07-20 2023-05-09 Versum Materials Us, Llc Tungsten chemical mechanical polishing for reduced oxide erosion
CN112654655A (en) 2018-09-04 2021-04-13 应用材料公司 Advanced polishing pad formulations
US20200102475A1 (en) * 2018-09-28 2020-04-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mecahnical polishing composition and method of polishing silcon dioxide over silicon nitiride
US11060051B2 (en) * 2018-10-12 2021-07-13 Fujimi Incorporated Composition for rinsing or cleaning a surface with ceria particles adhered
TWI821455B (en) * 2018-12-10 2023-11-11 美商恩特葛瑞斯股份有限公司 Post chemical mechanical polishing cleaning compositions
JP2022514611A (en) * 2018-12-21 2022-02-14 インテグリス・インコーポレーテッド Compositions and Methods for Post-CMP Cleaning of Cobalt Substrates
US11124741B2 (en) * 2019-02-08 2021-09-21 Entegris, Inc. Ceria removal compositions
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes
US20220380705A1 (en) * 2019-09-27 2022-12-01 Versum Materials Us, Llc Composition For Removing Etch Residues, Methods Of Using And Use Thereof
CN113122143B (en) * 2019-12-31 2024-03-08 安集微电子(上海)有限公司 Chemical mechanical polishing solution and application thereof in copper polishing
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US11659838B2 (en) 2021-04-01 2023-05-30 Sterilex, Llc Quat-free powdered disinfectant/sanitizer
CN113186036B (en) * 2021-04-27 2023-03-28 上海新阳半导体材料股份有限公司 Application of post-chemical mechanical polishing cleaning solution
CN113151838B (en) * 2021-04-27 2022-11-11 上海新阳半导体材料股份有限公司 Post-chemical mechanical polishing cleaning solution
CN113278980A (en) * 2021-05-15 2021-08-20 江门市优博科技有限公司 Novel environment-friendly aluminum alloy dedusting agent and preparation method thereof
CN117693718A (en) * 2021-07-15 2024-03-12 默克专利有限公司 Aqueous solution for electronic device manufacture, method for manufacturing resist pattern, and method for manufacturing device
CN113862683B (en) * 2021-11-01 2024-02-09 长沙永安新材料有限公司 Cleaning agent and cleaning process for stainless steel polishing wax
CN114774937B (en) * 2022-06-27 2022-09-16 深圳市板明科技股份有限公司 Environment-friendly inorganic acidic degreasing agent for electroplating circuit board and degreasing method
CN115558557A (en) * 2022-11-03 2023-01-03 上海新阳半导体材料股份有限公司 Preparation method of cleaning fluid composition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US7625262B2 (en) * 2003-03-18 2009-12-01 Nomura Micro Science Co., Ltd. Material for purification of semiconductor polishing slurry, module for purification of semiconductor polishing slurry and process for producing semiconductor polishing slurry
JP2004330056A (en) * 2003-05-07 2004-11-25 Ebara Corp Filter cartridge for electronic element substrate surface treatment liquid

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI551681B (en) * 2014-07-18 2016-10-01 卡博特微電子公司 Cleaning composition following cmp and methods related thereto
CN106661518A (en) * 2014-07-18 2017-05-10 嘉柏微电子材料股份公司 Cleaning composition following CMP and methods related thereto
CN106661518B (en) * 2014-07-18 2020-01-14 嘉柏微电子材料股份公司 Cleaning compositions for post-CMP use and methods relating thereto

Also Published As

Publication number Publication date
US20090133716A1 (en) 2009-05-28
WO2009058275A1 (en) 2009-05-07

Similar Documents

Publication Publication Date Title
TW200941582A (en) Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US8062429B2 (en) Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US20090130849A1 (en) Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US10731109B2 (en) Post chemical mechanical polishing formulations and method of use
US20090137191A1 (en) Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US20100105595A1 (en) Composition comprising chelating agents containing amidoxime compounds
US20090107520A1 (en) Amidoxime compounds as chelating agents in semiconductor processes
JP6599464B2 (en) Chemical mechanical polishing formulation and method of use
EP1888735B1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US6546939B1 (en) Post clean treatment
US11845917B2 (en) Compositions and methods for post-CMP cleaning of cobalt substrates
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4736445B2 (en) Substrate cleaning solution for semiconductor device and cleaning method
US11149235B2 (en) Cleaning composition with corrosion inhibitor
WO2005076332A1 (en) Substrate cleaning liquid for semiconductor device and cleaning method
WO2009085072A1 (en) Composition comprising chelating agents containing amidoxime compounds
TW201800571A (en) Tungsten post-CMP cleaning compositions
TW200538544A (en) Alkaline post-chemical mechanical planarization cleaning compositions
US8802609B2 (en) Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
TW202113956A (en) Cleaning liquid and method for cleaning
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TW202113053A (en) Method for cleaning