TW200531174A - Thermal processing system with cross flow injection system with rotatable injectors - Google Patents

Thermal processing system with cross flow injection system with rotatable injectors Download PDF

Info

Publication number
TW200531174A
TW200531174A TW093128909A TW93128909A TW200531174A TW 200531174 A TW200531174 A TW 200531174A TW 093128909 A TW093128909 A TW 093128909A TW 93128909 A TW93128909 A TW 93128909A TW 200531174 A TW200531174 A TW 200531174A
Authority
TW
Taiwan
Prior art keywords
flow
cross
wafer
item
processing
Prior art date
Application number
TW093128909A
Other languages
Chinese (zh)
Other versions
TWI250586B (en
Inventor
Bois Dale R Du
Cole Porter
Robert B Herring
Original Assignee
Aviza Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Tech Inc filed Critical Aviza Tech Inc
Publication of TW200531174A publication Critical patent/TW200531174A/en
Application granted granted Critical
Publication of TWI250586B publication Critical patent/TWI250586B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Abstract

An apparatus is provided for thermally processing substrates held in a carrier. The apparatus includes an injection system which provides for selectable injection of gases to the process chamber. The injection system comprises one or more elongated injection tubes having a plurality of injection ports or orifices distributed in the tubes for directing flow of reactant and other gases across the surface of each substrate. The elongated injection tubes are rotatable about an axis in 360 degrees.

Description

200531174 ⑴ 九、發明說明 本申請案主張2003年9月25日申請的美國第 6 0 / 5 0 6,3 5 4號暫時專利申請案的利益和優先權,該案的揭 露在此全部倂入做參考。和該案相關的 P C T / U S 0 3 / 2 1 5 7 5 號P C T申請案,名稱爲“熱處理系統和可建構的直立室,, ,其主張美國第60/396,536號和60/428,526號暫時專利 申請案的優先權,而該等申請案的揭露,在此全部倂入做 參考。200531174 九 IX. Description of the invention The present application claims the benefits and priority of the US provisional patent application No. 60/506, 35.4, filed on September 25, 2003. The disclosure of this case is fully incorporated herein. For reference. PCT / US 0 3/2 1 5 7 5 PCT application related to this case, entitled "Heat Treatment System and Constructable Upright Chamber," which claims U.S. Provisional Patents 60 / 396,536 and 60 / 428,526 The priority of applications and the disclosure of such applications are hereby incorporated by reference in their entirety.

【發明所屬之技術領域】 本發明係關於熱處理例如基材之物件的系統和方法, 特別是關於熱處理、退火、或沉積多層材料在半導體晶圓 或基材上、或從半導體晶圓或基材上移除多層材料的一種 裝置或方法。 【先前技術】 將半導體基材或晶圓製成積體電路或半導體裝置的過 程中,通常會用到熱處理裝置。半導體晶圓的熱處理包括 (例如)熱處理、退火、滲入或摻入摻雜材料、沉積或成 長各層材料、從基材蝕刻或移除材料。這些程序常常需要 在處理前或處理期間,將晶圓加熱到如1 3 OOt高的溫度 和如3 〇 〇 c低的溫度;且常常需要輸送一種以上的流體( 例如處理氣體或反應劑)至晶圓。再者,儘管處理氣體的 溫度變化和其導入處理室的流率變化,這些程序典型地還 200531174 (2) 是需要在整個程序期間,將晶圓維持在均一的溫度。 一種習知的熱處理裝置,典型地由位於爐內或由爐圍 繞的大谷積處理室組成。待熱處理的基材密封在該處理室 內,然後由火爐將基材加熱到執行處理所需的溫度。就許 多種程序(例如化學蒸鍍;C V D )而言,密封處理室首先 要抽成真空,且一旦處理室達到所欲的溫度,就導入反應 氣體或處理氣體,以在基材上形成或沉積反應劑物質。 以前的熱處理裝置,尤其是直立式熱處理裝置,典型 地需要在處理晶圓產品的處理區上面和下面,保護鄰近處 理室側壁而設的加熱器。因爲此種配置需要較大的室容積 ,而該大室容積須被抽吸降壓、塡充處理氣體或蒸氣、和 再次充氣或淸洗,導致增加處理時間,所以此種配置並不 理想。再者,由於不易檢視加熱器內的晶圓,所以此種構 造佔用大量的空間和電力。 習知熱處理裝置的其他問題,包括:在處理前,處理 室溫度和待處理晶圓溫度上升,需要很長的時間;和在處 理後,降低溫度需要很長的時間。再者,確保處理室在能 開始處理以前,已穩定地在所欲的均一溫度,常需要額外 的時間。雖然處理晶圓所需的實際時間可能在半小時以下 ,但前處理和後處理所需的時間,典型地需1至3小時以 上。因此,快速升高或降低處理室溫度至均一溫度所需的 時間,大幅地限制習知熱處理裝置的生產能力。 在有效加熱或冷卻晶圓之前,必須先加熱或冷卻習知 熱處理裝置,所以相對長之上升時間或下降時間的根本理 -6- 200531174 (3) 由是,習知熱處理裝置內處理室和爐的熱質量。 將習知熱處理裝置之生產能力的限制予以最小化或偏 移的普遍方法,已經增加單一循環或單一運轉內能處理的 晶圓數目。同時處理大數目的晶圓,能藉由減少每一晶圓 的平均有效處理時間,而有助於將裝置的有效生產能例最 大畫。但萬一在處理程序中出了差錯,此種方法亦增加了 風險。亦即,一次的失敗,就要報廢或損失一大數目的晶 圓,例如在單一處理循環期間,若有一設備故障或處理失 敗。這在較大晶圓尺寸和較複雜積體電路的狀況時更須特 別小心,因爲依處理階段的不同,單一個晶圓可能價値 1000元美金到10000元美金。 此種解決之道的另一個問題是,增加處理室的尺寸以 容置較大數目的晶圓,亦增加了處理室的熱質量效應,藉 此降低加熱或冷卻晶圓的速率。再者,處理一大組晶圓的 較大處理室,導致或或造成先進後出的問題,使得最先載 入處理室內的晶圓變成最後移出,致該等晶圓暴露於高溫 環境的時間太長,而降低整組晶圓的均勻性。 上述方法的另一個問題是,用於供熱處理之前或之後 的許多處理程序用的系統或裝置,並沒有能力同時處理大 數目的晶圓。因此,處理大組或大數目的晶圓,雖然增加 熱處理裝置的生產能力’卻很難改善半導體製造設備的整 體生產Bb力’反而可成降低貫際的生產能力,因爲需要在 熱處理裝置前堆積晶圓,或使熱處理裝置下游的其他系統 和裝置堆積晶圓,變成瓶頸。 200531174 (4) 上述習知熱處理裝置的另一實施例是快速熱處理系統 ’其已經發展供快速熱處理晶圓。習知的快速熱處理系統 ’通常高強度的燈,選擇性地加熱透明的(通常是石英) 小處理室內之單一晶圓或小數目的晶圓。快速熱處理系統 消除處理室的熱質量效應,或將其最小化。且因爲該等燈 具有非常低的熱質量,所以能藉由快速打開或關掉的燈, 而迅速地加熱或冷卻晶圓。 不幸地,習知的快速熱處理系統具有很嚴重的缺點, 其中包括燈的設置位置。習知技術係將燈設於區或襯板內 ’每一區或襯板係由鄰近處理室側壁的多個燈組成。此種 配置很有問題,因爲爲了有效率,且因不良的檢視因素, 所以耗費了極大的空間和電力。這些問題在最新一代的半 導體處理設備,都需付出額外的代價。 習知快速熱處理系統的另一問題是,沒有能力使一組 中的多片晶圓或甚至是一片晶圓得到均勻的溫度分布。溫 度分布部均勻的原因有數個,包括(I )由一個以上的燈 對一個以上之晶圓的不良檢視因素,和(Π )燈之輸出電 力的變化。 再者,一個燈的故障或變化,對整個晶圓的溫度分布 會有不良的影響。因爲這個理由,所以在大部分之以燈爲 主的系統中,要旋轉晶圓’以確保在處理過程中,因燈的 變化所可能造成的溫度不均員,不會轉移到晶圓。但是旋 轉晶圓所需的移動零件,特別是旋轉饋入熱處理室內,增 加了系統的成本和複雜度,且降低了系統整體的信賴度。 200531174 (5) 快速熱處理系統的另一惱人的問題在於,須將晶圓的 外緣和中心維持均勻的溫度分布。大部分習知的快速熱處 理系統,並沒有適當的裝置處理這類型之溫度不均勻的問 題。結果,晶圓全表面發生短暫的溫度波動,造成晶圓在 高溫時的滑動移位,除非使用較晶圓直徑大的黑體基座, 才得以防止滑移。 習知之以燈爲主的快速熱處理系統還有其他缺點,例 如沒有適合的裝置能提供在短暫的期間內之均勻的電力分 布和溫度分布。該短暫的期間例如開燈或關燈時,除非使 用相位角控制,其產生電雜訊的。因爲每一個燈會因其使 用時間的長短而有不同的性能,所以性能的可重複性也經 常是以燈爲主之系統的缺點。更換燈須增加成本且費時, 尤其是當一個燈系統具有1 8 0個以上的燈時。因爲燈的峰 値消耗電力約2 5 0千瓦,所以所需的電力也很昂貴。 因此,需要一種裝置或方法,其能在熱處理程序期間 ,快速且均勻加熱一組基材,使該組基材中的每一基材的 全部表面達到所欲的溫度。 【發明內容】 本發明提供對這些和其他問題的解決方案,且提供相 較於習知技藝的其他優點。 本發明提供用以等溫加熱工件(例如半導體基材或晶 圓)的一種裝置和方法,以執行例如退火、滲入或摻入摻 雜材料、沉積或成長各層材料、從晶圓蝕刻或移除材料。 200531174 (6) 一種熱處理裝置,用以在高溫處理固持於載具的基材 。該裝置包括具有一處理室和一加熱源。該處理室具有頂 壁、側壁、和底壁。該加熱源具有趨近處理室之頂壁、側 壁、和底壁的多個加熱元件,以提供處理區內的等溫環境 。載具置於處理區內,以熱處理基材。依據一方面,選擇 處理室的尺寸,以包覆出不會比容置載具所需之容積大很 多的一個容積,且處理區大致延伸經過處理室。較佳地, 處理室選定的尺寸所包覆的容積,不會比容置載具所需之 容積大過1 2 5 % 。更加地,裝置更包括一泵系統和一淸洗 系統,該泵系統將處理室抽吸至處理壓力,該淸洗系統在 處理完成後回塡處理室。且選擇處理室的尺寸,以提供能 快速抽吸減壓和快速回塡的處理室。 依據本發明的另一方面,處理室的底壁包括具有至少 一加熱元件於其內的一可移動底座,且該可移動底座能下 降或上升,以使具有晶圓的載具能***處理室內或從處理 室內移除。在一實施例中,裝置更包括一可移動的熱遮罩 ,其可***底座內的加熱元件和載具所固持的基材內。熱 遮罩能將從底座內之加熱元件來的熱能反射回底座,以遮 蔽載具上的基材,將其和從底座內之加熱元件來的熱能隔 絕。在本實施例的一種版本中,裝置更包括可移入載具上 方位置的一隔離板,以在底座處於下降位置時,隔離處理 室。在裝置包括一泵系統以將處理室抽吸降壓的情況,隔 離板可密封處理室,藉此使得泵系統在底座處於下降位置 時,能將處理室抽吸降壓。 -10- 200531174 (7) 在又一實施例中,裝置更包括一磁性耦合復位系統, 其能在熱處理基材期間將載具復位。較佳地,用於復位載 具的機械能,係經過底座磁性地親合至載具,而沒有使用 可移動的親合進入處理室,且不會移動底座內的加熱元件 。更佳地,磁性耦合復位系統是一磁性耦合旋轉系統,其 在熱處理基材期間,旋轉處理區內的載具。 依據本發明的另一方面,該裝置更包含一內襯。該內 襯將載具與處理室的頂壁和側壁相分離,且一分散的或交 叉ί/IL·的噴射系統’引導流體流經固持於載具內之每一基材 的表面。交叉流噴射系統通常包括具有多個噴射口的一交 叉流噴射器,該等噴射口相對於固持在載具內之基材而設 置’且流體經由該等噴射口導入該等基材的一側。相對於 固持在載具內之基材而設置於內襯中的多個排放口,使流 體流經基材的表面。交叉流噴射系統導入的流體可包括處 理氣體或蒸氣、和用於淸洗或回塡處理室的惰性淸洗氣體 或蒸氣、或用於冷卻其內基材的惰性淸洗氣體或蒸氣。 在另一方面,本發明的裝置包括一噴射系統,該噴射 系統提供可選擇的氣體噴射噴射於處理室。本發明的噴射 系統通常包含一個以上的長噴射管,該等長噴射管具有分 布於管中的多個噴射口或孔,以引導反應劑和其他氣體流 經每一基材的表面。長噴射管可相對於一軸旋轉三百六十 度。 在另一實施例中,本發明的裝置包含一處理室、一交 叉流內襯、和一交叉流噴射系統。該處理室爲固持於載具 - 11 - 200531174 (8) 內的複數基材提供一處理區域;該交叉流內襯包覆該載具 ;該交叉流噴射系統設置於載具和交叉流內襯之間’以引 導一種以上的氣體,流經每一基材的表面。交叉流噴射系 統包含多個可相對於一軸做旋轉的噴射口。 【實施方式】 本發明指向處理相對小數目或迷你組(批次)之工件 的一種裝置或方法。該工件例如半導體積材或晶圓,且固 持在例如卡匣或晶舟的載具內,其可減少處理循環的時間 ,並可改善處理的均勻性。 此處所用的“迷你組”意指建立在一典型組系統內的多 個晶圓,但在數百個晶圓以下,且最好是在1至約5 3個 半導體晶圓的範圍內。其中,從1到5 0是產品晶圓,其 餘的是用做監控目的或做爲擋板的非產品晶圓。 藉由熱處理’將工件或晶圓加熱至所欲的溫度,該溫 度典型地在約3 5 0 °C至1 3 0 0 °C的範圍內。半導體晶圓的熱 處理’可包括(例如)熱處理、退火、滲入或摻入摻雜材 料、沉積或成長多層材料(例如化學蒸鍍或CVD )、從 晶圓蝕刻或移除材料。 現在參考圖1說明一實施例的熱處理裝置。爲了淸楚 起見’省略了該熱處理裝置中廣爲人知和熟悉該項技藝者 普遍知道的許多細節。該細節在(例如)美國專利u. s. 4,7 7 0,5 90中描述得更詳細,且該細節在此倂入做爲本案 的參考。 -12- 200531174 (9) 圖1是用於熱處理一組半導體晶圓之一熱處理裝 施例的剖面視圖。如圖所示,熱處理裝置丨〇 〇通常包 容器101和一熱源或爐110。該容器1〇1包覆一容積 成一處理室102’該處理室設有能容置一載具或晶舟 的一支撐座104 ’該載具106將一組晶圓1〇8固持於 。該熱源或爐1 1 〇具有數個加熱源件〗〗2 — 1、i i 2 _ 2、 3 (下文統稱維加熱兀件112),以升高晶圓溫度至 的溫度進fr熱處理。熱處理裝置1〇〇更包含一個以上 學或電氣溫度感測元件’例如阻抗溫度裝置或熱電耦 Ss控熱處理室1 〇 2內的溫度’和/或控制加熱元件的 。此實施例所示的溫度感測元件是一輪廓熱電耦丨! 4 具有多個獨立的溫度感測節點或點(未示),.以檢測 室1 0 2內多個位置的溫度。熱處理室亦可包括一個以 噴射器〗1 6 (只顯示其中一個)和一個以上的淸洗口 □ 1 1 8 (只顯示其中一個)。該噴射器n 6引導流體 體或蒸氣)進入處理室1 0 2內,供處理和/或冷卻 108;該淸洗口或通口 118引導氣體淸洗處理室和/ 卻晶圓1 0 8。內襯]2 0增加處理晶圓區域或處理區1 : 晶圓1 〇 8附近之處理氣體或蒸氣的濃度,並且降低形 處理室1 02內表面之沉積物剝落對晶圓所造成的污染 理氣體或蒸氣經由內襯1 2 0的排放口或槽]2 1排出。 通常由例如0型環1 2 2的密封將容器〗(Π封閉 台或基板1 2 4,以形成處理室1 〇 2,該處理室在熱處 間完全包覆晶圓1 0 8。選擇處理室]〇 2和基板1 2 4的 :置實 '括一 :而形 1 06 其內 112- 所欲 的光 ,以 作業 ,其 處理 上的 或通 (氣 晶圓 或冷 ί8內 成於 。處 於平 理期 尺寸 - 13- 200531174 (10) ,以能將處理室快速抽成真空、快速加熱' 和快速回塡。 容器1 01和基板1 24的尺寸,最好設計成使處理室1 02具 有包覆的容積,不會大於容置固持有晶圓108於其內之載 具1 0 6所需的尺寸。容器1 〇 1和基板1 2 4的尺寸,較佳設 計成使處理室102的尺寸,爲容置固持有晶圓108於其內 之載具1 0 6所需尺寸的約1 2 5 %到1 5 0 % 。容器1 〇 1和基 板1 2 4的尺寸,更佳是設計成使處理室1 〇 2的尺寸,爲不 大於容置載具1 0 6和晶圓1 0 8所需尺寸的約1 2 5 % ,以使 室的容積最小化,此有助減少抽真空和回塡所需的時間。 使用例如 Ο型環、V C R ®、或 C F ®塡料,將噴射器 1 1 6的開口 1 1 6、熱電耦1 1 4、和通口 1 1 8封閉。於處理 期間釋放或導入的氣體或蒸氣,經由形成在處理室丨0 2之 壁(未示)內或在基板124的空腔127 (如圖1所示)內 的前級管道或排放口 1 2 6而抽出。在熱處理期間,處理室 1 02可維持在大氣壓,或藉由一泵系統抽成低至5毫托( 微米汞柱)的真空。該泵系統(未示)包括一個以上的初 栗、鼓風機、高真空泵、和初閥、節流閥、前級管道閥。 在圖2所示的另一實施例中,基板! 2 4更包括一大致 環形的流道1 2 9,該流道1 2 9適於容置和支撐噴射器1 1 6 ’該噴射器1 1 6包括一環1 3 1和從該環1 3 1延伸的數個直 立噴射管或噴嘴1 1 6 A。噴嘴1 1 6 A的尺寸和形狀可提供下 述的向上流動、向下流動、或交叉流動的流動模型。環 13]和噴嘴116A的位置使得氣體能噴入晶舟】〇6和容器 1 〇 I之間的處理室1 〇 2內。此外,噴嘴】1 6 A沿著環1 3 ] -14 - 200531174 (11) 相互隔開,以將處理氣體或蒸氣均勻地導入處理室1 02內 ,且如果希望的話,在淸洗或回塡期間,噴嘴1 1 6 A可用 於將淸洗氣體導入處理室內。基板124設計成具有向外延 伸之上凸緣1 3 3、一側壁1 3 5、和向內延伸之一底部1 3 7 的短圓柱形。上凸緣133適於容置和支撐容器101,並含 有一 0型環1 22,以將容器密封於上凸緣。底部1 3 7在支 撐噴射器1 16之環131的外側,適於容置和支撐內襯120 〇 此外,圖2所示的基板1 24設有多種開口,包括回塡 /淸洗氣體入口 1 3 9、1 4 3、提供冷卻流體在基板1 2 4內 循環的冷卻口 1 4 5、1 4 7、和用以監控處理室內壓力的壓 力監控口 1 4 9。處理氣體入口 1 5 1、1 6 1將氣體從供給源 (未示)導入噴射器116。回塡/淸洗氣體入口 139、143 設於基板1 24的側壁1 3 5,主要用於將淸洗氣體供給源來 的氣體導入通口 I 1 8。在氣體供給源和開口 I 3 9、1 4 3、 1 5 1、1 6 ]間的管路上,設置質量流控制器(未示)或任 何其他適合的流動控制器,以控制氣體流進處理室I 02內 〇 容器101和內襯120可由耐高溫和高真空操作之熱應 力和機械應力,且能抗處理期間所使用或釋放之氣體和蒸 氣之腐蝕的任何金屬、陶瓷、水晶、或玻璃材料製成。容 器1 〇 1和內襯1 20較佳是由具有足以耐機械應力之厚度, 且能防止處理所產生之副產品的沉積(藉此減少處理環境 潛在的污染)的不透明、半透明、或透明的石英玻璃製成 -15- 200531174 (12) 。容器1 0 1和內襯1 2 0更佳是由能減少或消除從處理晶圓 108的區域或處理區128向外熱傳導的石英製成。 藉由裝載閘門或裝載連接埠(未示),將整批次的晶 圓1 0 8導入熱處理裝置內,然後經由能形成氣體緊密封的 處理室或基板124內的艙口或開口,進入處理室102內。 在圖1所示的構造中,處理室1 〇 2是直立的反應爐,且利 用可移動的底座1 3 0在處理期間上升,並以在基板丨24上 得密封(例如Ο型環)將艙口封閉;當底座丨3 〇下降時 ’操作者或例如晶舟處理單元的自動處理系統(未示), 能將載具或晶舟106定位在附接於底座的支撐座1〇4上。 加熱元件1 1 2包括定位在處理室1 〇 2之頂部1 3 4 (元 件1 1 2 - 3 )、側部1 3 6 (元件1 1 2 - 2 )、和底部1 3 8 (元件 Η 2 - 1 )附近的元件。加熱元件丨丨2最好圍繞晶圓,以獲 得良好的檢視因素,並藉此提供處理室內的等溫控制容積 或處理區128,以在其內處理晶圓1〇8。趨近處理室1〇2 底部1 3 8的加熱元件,可設置在底座]3 0內。如果想要的 話’亦可在基板1 2 4內或基板上增設加熱元件,以補充加 熱元件1 1 2 - 1的熱量。 在圖1所示的實施例中,處理室底部附近的加熱元件 112-1,較佳是容置在可移動的底座13〇內。底座13〇是 由熱絕緣和電絕緣材料、或將電阻抗加熱元件1 1 2 - 1嵌入 其內或附接於上的絕緣塊1 4 0所製成。底座1 3 0更包括一 個以上的反饋感測器或熱電耦1 4 1,以控制加熱元件1 I 2 -】。在所示的構造當中,熱電耦1 4 1係嵌在絕緣塊]4 0的 -16- 200531174 (13) 中心。 側部加熱元件1 12-2和頂部加熱元件1 12-3,可設置 在容器1 0 1附近的絕緣塊11 0內或其上。側部加熱元件 112-2和頂部加熱元件112-3較佳是容置在絕緣塊110內 〇 加熱元件1 1 2和絕緣塊1 1 〇、1 4 0可設計成任何形狀 構造,且可以任何方式和由任何材料製成。 爲了獲得上至1 1 50 °C之所欲的處理溫度,處理室1 02 底部1 3 8附近的加熱元件1 1 2 - 1,較佳具有從約〇 .:[千瓦 到約1 〇千瓦的最大電力輸出,和至少1 1 5 0 °C之最大處理 溫度。更佳的是這些底部加熱元件1 12-1具有至少約3.8 千瓦的最大電力輸出,和至少9 5 0 °C之最大處理溫度。在 --實施例中,側部加熱元件1 1 2 - 2功能性地分割成數個區 ,包括最接近底座130的下區和上區,每一區都能獨立於 頂部加熱元件1 1 2 - 3和底部加熱元件1 1 2 -1之外,而以相 互不同的電力位準和負荷循環進行作業。 加熱兀件1 1 2由任何適合的方式(包括使用習知技藝 的控制技術)控制。 因爲將加熱元件和絕緣塊容置在倒置的石英i甘渦丨4 2 內,所以從絕緣塊1 4 0和底部加熱元件2 - 1來的污染即 使沒有完全消除,也減少很多了。該坩堝1 4 2做爲加熱元 件絕塊與處理室]〇2間的障礙。坩堝〗42和裝載連接璋、 晶舟處理單元環境之間亦密封起來,以進一步減少或消除 處理環境的污染。坩堝]4 2的內部通常是在標準大氣壓, -17- 200531174 (14) 所以整個坩堝1 4 2各處的強度,應足以承受處理室! 底座1 3 0之間的像1大氣壓大小的壓力差。 當裝載或卸載晶圓1 0 8時,亦即當底座再較低β (圖3 ),底部加熱元件1 1 2 - 1仍然通電,以維持g 處理溫度爲低的空載溫度。例如對底部加熱元件所欲 理溫度爲9 5 0 C的處理程序,空載溫度爲5 0 - 1 5 0 °C。 些處理程序,(例如具有較高所欲處理溫度和/或較 欲升溫率的處理程序),空載溫度可設定爲較高,以 對底部加熱元件1 1 2 - 1的熱循環效應,藉以延長元件 命。 爲了進一歩減少預處理的時間,(亦即準備熱處 置1 〇 〇供處理所需的時間),在推或裝載期間(亦即 升承載有一舟106晶圓108於其上之底座130時), 加熱元件1 1 2 - 1可升溫至所欲處理溫度或較低溫。但 爲了使晶圓1 0 8和熱處理裝置1 〇 〇之組件上的熱應力 化,最好是使底部加熱元件1 1 2 - 1和位於處理室1 〇 2 1 3 4的加熱元件1 1 2 - 3與位於側部1 3 6的加熱元件] ’同時到達所欲的處理溫度。因此對某些處理程序而 例如那些需要較高處理溫度的處理程序,當將一組( )晶圓中的最後一個晶圓裝載完畢後,底座開始舉升 在底座開始舉升之前,底部加熱元件11 2- 1的便開始 〇 類似地,應瞭解在處理後,且在拉或卸載循環期 亦即當降低底座]2 8時),可減少或完全移除供給於 02和 :置時 :所欲 :的處 對某 局所 降低 的壽 理裝 當舉 底部 是, 最小 頂部 12-2 m , 批次 ,而 升溫 間( 底部 -18- 200531174 (15) 加熱元件1 1 2 -1的電力,以開始將底座溫度降至空載溫度 ,準備冷卻晶圓1 〇 8,並以經舟處理單元卸載。 爲了幫助冷卻底座130,使其在拉或卸載循環之前降 至拉的溫度,設置有通過絕緣塊1 4 0之供給空氣或惰性淸 洗氣體(例如氮)的管路。氮最好由經過絕緣塊1 4 0中心 的通道1 4 4噴出,且可在絕緣塊1 4 0的頂部和坩堝1 4 2的 內部之間及其周圍流動。然後經由高效率爲率空氣過濾器 (未示)或排放設備(未示),將熱的氮排放到外界環境 。此中中心噴射構造設計,能較迅速地冷卻晶圓1 0 8的中 心,且很適合使底部晶圓之中心/邊緣溫度差最小化,該 溫度差會因晶格構造的移位而導致損壞。 如上所述,爲了增加或延長底部加熱元件1 1 2 - 1的壽 命,可將空載溫度設定較高,且較接近所欲的處理溫度, 以減少熱循環效應。此外,也希望在富含氧的環境中,週 期性地烘烤加熱元件1 1 2 - 1,以促進形成保護性的氧化物 表面層。例如在阻抗加熱元件是由含鋁合金(例如 K ant h an® )形成的場合,在富含氧的環境中烘烤加熱元件 1 1 2 - 1,以促進氧化鋁表面的成長。因此,絕緣塊1 4 0可 進一步包括氧管路(未示),以在烘烤加熱元件1 1 2 - 1期 間,促進形成保護性的氧化物表面層。在另一實施例中, 可經由在處理期間供給冷卻氮所用的淸洗管路,藉由三方 向閥’導入烘烤用的氧。 圖3是熱處理裝置1 〇之部分剖面視圖。圖3顯示熱 處理裝置]0 0在裝載或卸載晶圓1 0 8時,亦即當底座1 3 0 -19- 200531174 (16) 在較低位置時。在此作業模式中,熱處理裝置〗〇 〇進〜步 包括一熱遮罩146,其能在底座130和晶舟】06中之較下 面晶圓108之間,轉動或滑入定位。爲了改善熱遮罩ι46 的性能,通常熱遮罩在面對熱處理元件1 1 2 - 1的一側具反 射性,而在面對晶圓的一側具吸收性。熱遮罩1 4 6的目的 包括增加對晶舟1 0 6內之晶圓1 0 8的冷卻率,及幫助維持 底座1 3 0和底部加熱元件1 1 2 -1的空載溫度,以減少處理 室升溫至所欲處理溫度之時間。具有熱遮罩之熱處理裝置 的實施例,將參考圖3至圖6更詳細地說明。 圖3亦顯示具有底座加熱元件112-1和熱遮罩146之 熱處理裝置100。在所示的實施例中,藉由臂148將熱遮 罩I 4 6附接於旋轉軸1 5 0。藉由電氣、空壓、或液壓致動 器轉動該旋轉軸1 5 0,以在拉或卸載循環期間,將熱遮罩 1 46旋轉進入介於已加熱的底座1 3 0和晶舟1 06中之最下 面的晶圓1 〇 8之間的一第一位置;且於推或裝載循環之至 少一最後部分或末階段期間(恰在晶舟1 〇6底部進入處理 室102內之前),將熱遮罩146移除或旋轉至不介於底座 和晶圓之間的一第二位置。旋轉軸1 5 0最好組裝或附接於 用於舉升或下降底座1 3 0的機構上(未示),藉此,使得 在底座頂部已淸理處理室1 時,便能儘快能將熱遮罩 146旋轉定位。在裝載期間保持熱遮罩146定位’比起無 熱遮罩1 4 6定位的情況,更能快速地將加熱兀件1 1 2 - 1加 熱至所欲的溫度。類似地’在卸載期間,藉由反射從底座 加熱元件1 1 2 - 1來的輻射,遮罩1 4 6有助於冷卻晶圓,特 -20- 200531174 (17) 別是冷卻那些較接近底座的晶圓。 另一實施例中的旋轉軸1 5 0可安裝或附接於熱處理裝 置100的另一部份,且適於與底座130同時軸向運動,或 只在底座完全下降時,才將熱遮罩146旋轉定位。 圖1圖不了圖3之底座加熱元件112-1和熱遮罩146 ’其中顯示將從底部加熱元件來的熱能或熱輻射,反射回 底座1 3 〇 ’且吸收了從批次或疊積晶圓中較低晶圓丨〇 8來 的熱能或熱輻射。使用多種不同的材料(例如金屬、陶瓷 、玻璃、或聚合物塗層;個別或其結合),可獲得所欲的 特徵、高反射率、和高吸收率。下表以例子的方式,列出 各種適合的材料和對應的參數。[Technical Field to which the Invention belongs] The present invention relates to a system and method for heat-treating objects such as substrates, and more particularly, to heat-treating, annealing, or depositing multiple layers of material on or from a semiconductor wafer or substrate. A device or method for removing multiple layers of material. [Prior art] In the process of forming a semiconductor substrate or wafer into an integrated circuit or a semiconductor device, a heat treatment device is usually used. Thermal processing of semiconductor wafers includes, for example, thermal processing, annealing, infiltration or incorporation of doped materials, deposition or growth of layers of material, etching or removal of materials from a substrate. These procedures often require heating the wafer to a temperature as high as 1300 t and a temperature as low as 300 c before or during processing; and often need to deliver more than one fluid (such as a processing gas or reactant) to Wafer. Furthermore, these procedures typically require 200531174 (2) to maintain the wafer at a uniform temperature throughout the procedure, despite changes in the temperature of the process gas and its flow rate into the processing chamber. A conventional heat treatment apparatus typically consists of a large valley processing chamber located in or surrounded by a furnace. The substrate to be heat-treated is sealed in the processing chamber, and then the substrate is heated by a furnace to a temperature required to perform the processing. For many procedures (such as chemical evaporation; CVD), the sealed processing chamber must first be evacuated, and once the processing chamber has reached the desired temperature, a reaction gas or processing gas is introduced to form or deposit on the substrate Reactant substance. Previous heat treatment equipment, especially upright heat treatment equipment, typically required heaters located adjacent to the sidewalls of the processing chamber above and below the processing zone where wafer products were processed. This configuration is not ideal because it requires a larger chamber volume, which must be pumped down, filled with processing gas or vapor, and refilled or rinsed, resulting in increased processing time. Furthermore, since it is not easy to inspect the wafers inside the heater, this structure takes up a lot of space and power. Other problems with the conventional heat treatment apparatus include: the temperature of the processing chamber and the temperature of the wafer to be processed will take a long time to rise before processing; and the temperature will take a long time to decrease after processing. Furthermore, to ensure that the processing chamber is stable at the desired uniform temperature before processing can begin, often additional time is required. Although the actual time required to process a wafer may be less than half an hour, the time required for pre-processing and post-processing typically ranges from 1 to 3 hours. Therefore, the time required to rapidly raise or lower the temperature of the processing chamber to a uniform temperature greatly limits the production capacity of the conventional heat treatment apparatus. Before effectively heating or cooling the wafer, it is necessary to heat or cool the conventional heat treatment device, so the fundamentals of the relatively long rise time or fall time-6-200531174 (3) Therefore, the processing room and furnace in the conventional heat treatment device are known Thermal mass. The common method of minimizing or shifting the limits of the throughput of conventional heat treatment equipment has increased the number of wafers that can be processed in a single cycle or operation. Processing a large number of wafers at the same time can help maximize the effective production capacity of the device by reducing the average effective processing time of each wafer. But in the event of an error in the processing procedure, this approach also increases the risk. That is, a single failure can result in the loss or loss of a large number of wafers, such as if a device fails or fails during a single processing cycle. This is especially necessary in the case of larger wafer sizes and more complex integrated circuits, because depending on the processing stage, a single wafer may cost between $ 1,000 and $ 10,000. Another problem with this solution is that increasing the size of the processing chamber to accommodate a larger number of wafers also increases the thermal mass effect of the processing chamber, thereby reducing the rate of heating or cooling the wafer. In addition, the larger processing chambers that process a large group of wafers cause or cause first-in-first-out problems, making the wafers that are loaded first into the processing chamber the last to be removed, and the time that these wafers are exposed to high temperature environments Too long, which reduces the uniformity of the entire wafer. Another problem with the above method is that the system or device used for many processing procedures before or after heat treatment does not have the ability to process a large number of wafers simultaneously. Therefore, when processing a large group or a large number of wafers, although increasing the production capacity of the heat treatment device, it is difficult to improve the overall production capacity of the semiconductor manufacturing equipment. Instead, it can reduce the overall production capacity because it needs to be deposited before the heat treatment device. Wafers, or the accumulation of wafers by other systems and devices downstream of the heat treatment device, become a bottleneck. 200531174 (4) Another embodiment of the above-mentioned conventional thermal processing apparatus is a rapid thermal processing system, which has been developed for rapid thermal processing of wafers. Conventional rapid thermal processing systems ‘Usually high-intensity lamps that selectively heat a single wafer or a small number of wafers in a transparent (usually quartz) small processing chamber. Rapid thermal processing system Eliminates or minimizes thermal mass effects in the processing chamber. And because these lamps have a very low thermal mass, they can quickly heat or cool the wafer by quickly turning the lamps on or off. Unfortunately, the known rapid thermal processing systems have serious drawbacks, including the placement of the lamps. The conventional technique is to place lamps in zones or linings. Each zone or lining is composed of a plurality of lamps adjacent to the side wall of the processing chamber. This configuration is very problematic because it consumes a lot of space and electricity for efficiency and poor viewing factors. These problems are at an additional cost in the latest generation of semiconductor processing equipment. Another problem with conventional rapid thermal processing systems is the inability to achieve uniform temperature distribution across multiple wafers or even one wafer in a group. There are several reasons for the uniform temperature distribution, including (I) poor inspection factors for more than one wafer by more than one lamp, and (Π) changes in output power of the lamp. Furthermore, the failure or change of one lamp will have an adverse effect on the temperature distribution of the entire wafer. For this reason, in most lamp-based systems, the wafer is rotated to ensure that during processing, the temperature unevenness caused by the lamp changes will not be transferred to the wafer. However, the moving parts required for rotating the wafer, especially the rotating feed into the heat treatment chamber, increase the cost and complexity of the system, and reduce the overall reliability of the system. 200531174 (5) Another annoying problem of the rapid thermal processing system is that the outer edge and center of the wafer must maintain a uniform temperature distribution. Most conventional rapid thermal processing systems do not have the proper equipment to deal with this type of temperature unevenness. As a result, a short temperature fluctuation occurs on the entire surface of the wafer, which causes the wafer to slide and shift at high temperatures. Unless a black body pedestal larger than the diameter of the wafer is used, it can be prevented from sliding. There are other disadvantages of the conventional lamp-based rapid heat treatment system, for example, no suitable device can provide uniform power distribution and temperature distribution over a short period of time. This short period of time, such as when the light is turned on or off, generates electrical noise unless phase angle control is used. Because each lamp will have different performance due to its length of use, the repeatability of performance is often the disadvantage of a lamp-based system. Replacing lamps must increase cost and time, especially when one lamp system has more than 180 lamps. Because the peak of the lamp consumes about 250 kilowatts of power, the required power is also expensive. Therefore, there is a need for a device or method that can quickly and uniformly heat a group of substrates during a heat treatment process to bring the entire surface of each substrate in the group of substrates to a desired temperature. SUMMARY OF THE INVENTION The present invention provides solutions to these and other problems, and provides other advantages over conventional techniques. The present invention provides an apparatus and method for isothermally heating a workpiece (such as a semiconductor substrate or wafer) to perform, for example, annealing, infiltration or incorporation of doped materials, deposition or growth of layers of material, etching or removal from a wafer material. 200531174 (6) A heat treatment device for treating a substrate held on a carrier at a high temperature. The device includes a processing chamber and a heating source. The processing chamber has a top wall, a side wall, and a bottom wall. The heating source has a plurality of heating elements approaching the top wall, the side wall, and the bottom wall of the processing chamber to provide an isothermal environment in the processing area. The carrier is placed in the processing area to heat treat the substrate. According to one aspect, the size of the processing chamber is selected so as to cover a volume that is not much larger than the volume required to house the carrier, and the processing area extends approximately through the processing chamber. Preferably, the volume covered by the selected size of the processing chamber is not more than 125% larger than the volume required to accommodate the carrier. Furthermore, the device further comprises a pump system and a washing system, the pump system sucks the processing chamber to a processing pressure, and the washing system returns to the processing chamber after the processing is completed. The size of the processing chamber is selected to provide a processing chamber capable of rapid suction decompression and rapid return. According to another aspect of the present invention, the bottom wall of the processing chamber includes a movable base having at least one heating element therein, and the movable base can be lowered or raised so that a carrier having a wafer can be inserted into the processing chamber. Or removed from the processing chamber. In one embodiment, the device further includes a movable thermal shield that can be inserted into the heating element in the base and the substrate held by the carrier. The heat shield can reflect the heat energy from the heating element inside the base back to the base to shield the substrate on the carrier and isolate it from the heat energy from the heating element inside the base. In a version of this embodiment, the device further includes an isolation plate that can be moved into the upper position of the carrier to isolate the processing chamber when the base is in the lowered position. In the case where the device includes a pumping system to pump down the processing chamber, the isolation plate can seal the processing chamber, thereby enabling the pumping system to pump down the processing chamber when the pump system is in the lowered position. -10- 200531174 (7) In yet another embodiment, the device further includes a magnetic coupling reset system, which can reset the carrier during the heat treatment of the substrate. Preferably, the mechanical energy for resetting the carrier is magnetically attached to the carrier through the base, without using a movable affinity to enter the processing chamber, and does not move the heating element in the base. More preferably, the magnetically coupled reset system is a magnetically coupled rotating system that rotates a carrier in a processing area during thermal processing of a substrate. According to another aspect of the invention, the device further comprises a liner. The liner separates the carrier from the top and side walls of the processing chamber, and a decentralized or cross-injected jet system 'directs the fluid through the surface of each substrate held within the carrier. Cross-flow jetting systems typically include a cross-flow jet with multiple jets that are positioned relative to the substrate held in the carrier 'and the side where the fluid is directed into the substrate . A plurality of discharge ports provided in the inner lining relative to the base material held in the carrier allows the fluid to flow through the surface of the base material. The fluid introduced by the cross-flow jetting system may include a processing gas or vapor, and an inert purge gas or vapor used for cleaning or returning the processing chamber, or an inert purge gas or vapor used for cooling the substrate therein. In another aspect, the apparatus of the present invention includes a spray system that provides a selective gas spray to the processing chamber. The spray system of the present invention typically includes more than one long spray tube having a plurality of spray ports or holes distributed in the tube to guide the reactants and other gases through the surface of each substrate. The long jet tube can be rotated 360 degrees with respect to one axis. In another embodiment, the apparatus of the present invention includes a processing chamber, a cross-flow liner, and a cross-flow injection system. The processing chamber provides a processing area for a plurality of substrates held in the carrier-11-200531174 (8); the cross-flow lining covers the carrier; the cross-flow spray system is provided on the carrier and the cross-flow lining Between 'to direct more than one gas through the surface of each substrate. Cross-flow injection systems include multiple injection ports that can be rotated relative to an axis. [Embodiment] The present invention is directed to a device or method for processing a relatively small number or mini-group (batch) of workpieces. The workpiece, such as a semiconductor building block or wafer, and held in a carrier such as a cassette or a wafer boat can reduce the processing cycle time and improve the uniformity of processing. As used herein, "mini-group" means multiple wafers built in a typical group system, but below hundreds of wafers, and preferably in the range of 1 to about 53 semiconductor wafers. Among them, 1 to 50 are production wafers, and the rest are non-product wafers used for monitoring purposes or as baffles. The workpiece or wafer is heated to a desired temperature by heat treatment ', which temperature is typically in the range of about 350 ° C to 130 ° C. Thermal processing of a semiconductor wafer ' may include, for example, thermal processing, annealing, infiltration or incorporation of doped materials, deposition or growth of multiple layers of materials (such as chemical vapor deposition or CVD), etching or removal of materials from the wafer. A heat treatment apparatus according to an embodiment will now be described with reference to FIG. For the sake of clarity, 'many details that are widely known and familiar to those skilled in the art of heat treatment equipment have been omitted. This detail is described in more detail in, for example, U.S. Patent No. 4,7 7 0,5 90, and this detail is incorporated herein by reference. -12- 200531174 (9) Fig. 1 is a sectional view of a heat treatment apparatus for heat treating one of a group of semiconductor wafers. As shown, the heat treatment apparatus typically includes a container 101 and a heat source or furnace 110. The container 101 covers a volume to form a processing chamber 102 '. The processing chamber is provided with a support base 104 which can accommodate a carrier or a wafer boat. The carrier 106 holds a group of wafers 108. The heat source or furnace 1 10 has several heating source parts 2-1, i i 2 _ 2, 3 (hereinafter collectively referred to as the heating heating element 112), and heat treatment is performed at a temperature raised to the temperature of the wafer. The heat treatment device 100 further includes one or more scientific or electrical temperature sensing elements, such as an impedance temperature device or a thermocouple Ss, which controls the temperature in the heat treatment chamber 102 and / or controls the heating element. The temperature sensing element shown in this embodiment is a contoured thermocouple! 4 has multiple independent temperature sensing nodes or points (not shown) to detect the temperature of multiple locations in the chamber 102. The heat treatment chamber can also include an injector 16 (only one of them is shown) and more than one rinsing port □ 1 1 8 (only one of them is shown). The ejector n 6 directs the fluid (or vapor) into the processing chamber 102 for processing and / or cooling 108; the purge port or port 118 directs the gas to purge the processing chamber and / or wafer 108. Lining] 2 Increase the processing wafer area or processing area 1: The concentration of the processing gas or vapor near the wafer 10 and reduce the pollution caused by the peeling of the sediment on the inner surface of the processing chamber 102 Gas or vapour is discharged through the exhaust port or groove lined with the liner. The container is usually sealed by, for example, a 0-ring 1 2 2 (a closed stage or a substrate 1 2 4 to form a processing chamber 1 02, which completely covers the wafer 1 0 8 between hot spots. Select processing室] 〇2 and the substrate 1 2 4: Realize 'enclose one: while the shape 1 06 inside 112- the desired light to the operation, its processing on or through (air wafer or cold within 8). Dimensions in flat period-13- 200531174 (10), so that the processing chamber can be quickly evacuated, quickly heated, and quickly returned. The size of the container 1 01 and the substrate 1 24 is preferably designed to make the processing chamber 1 02 With a covered volume, it will not be larger than the size required to hold the carrier 106 in which the wafer 108 is held. The sizes of the container 10 and the substrate 1 24 are preferably designed to make the processing chamber The size of 102 is about 125% to 150% of the size required to hold the carrier 108 in which the wafer 108 is held. The size of the container 1 〇1 and the substrate 1 2 4 is more It is better to design the size of the processing chamber 10 to be not more than about 125% of the size required to accommodate the carrier 106 and wafer 108, so as to minimize the volume of the chamber, which helps Less The time required to evacuate and return. Use, for example, O-ring, VCR®, or CF® material to close the opening 1 1 6 of the injector 1 1 6, the thermocouple 1 1 4, and the port 1 1 8 The gas or vapor released or introduced during processing passes through the foreline pipe or discharge port formed in the wall (not shown) of the processing chamber or in the cavity 127 (shown in FIG. 1) of the substrate 124 1 2 6 and pumped out. During the heat treatment, the processing chamber 102 can be maintained at atmospheric pressure or evacuated as low as 5 mTorr (micron mercury) by a pump system. The pump system (not shown) includes more than one Chu Li, blower, high vacuum pump, and Chu valve, throttle valve, foreline pipeline valve. In another embodiment shown in Figure 2, the base plate! 2 4 further includes a generally annular flow channel 1 2 9, The runner 1 2 9 is adapted to receive and support the ejector 1 1 6 ′. The ejector 1 1 6 includes a ring 1 3 1 and several upright injection pipes or nozzles 1 1 6 A extending from the ring 1 3 1. The size and shape of nozzle 1 1 6 A can provide the following upward, downward, or cross-flow flow models. Ring 13] and nozzle 116 The position of A allows gas to be injected into the wafer boat] 〇6 and the container 1 〇1. In addition, the nozzle] 1 6 A is separated from each other along the ring 1 3] -14-200531174 (11) ON to evenly introduce the process gas or vapor into the processing chamber 102, and if desired, the nozzle 1 1 6 A may be used to introduce the purge gas into the processing chamber during the purge or return cycle. The base plate 124 is designed in a short cylindrical shape with an outwardly extending upper flange 1 3 3, a side wall 1 3 5 and an inwardly extending bottom 1 3 7. The upper flange 133 is adapted to receive and support the container 101, and includes an O-ring 12 to seal the container to the upper flange. The bottom 1 3 7 is outside the ring 131 of the support ejector 1 16 and is suitable for receiving and supporting the lining 120. In addition, the substrate 1 24 shown in FIG. 3 9, 1 4 3. Cooling ports 1 4 5, 1 4 7 for providing cooling fluid circulation in the substrate 1 2 4 and pressure monitoring ports 1 4 9 for monitoring the pressure in the processing chamber. The process gas inlets 1 5 1 and 1 6 1 introduce a gas from a supply source (not shown) into the injector 116. The scrubbing / purging gas inlets 139, 143 are provided on the side walls 1 3 5 of the substrate 1 24, and are mainly used to introduce the gas from the purging gas supply source into the port I 1 8. Install a mass flow controller (not shown) or any other suitable flow controller on the pipeline between the gas supply source and the opening I 3 9, 1, 4 3, 1 5 1, 16] to control the gas flow into the process In container 02, container 101 and lining 120 may be resistant to high temperature and high vacuum operation by thermal and mechanical stress, and any metal, ceramic, crystal, or glass that is resistant to the corrosion of gases and vapors used or released during processing Made of materials. The container 1 010 and the inner lining 12 are preferably made of opaque, translucent, or transparent opaque, translucent, or transparent materials having a thickness sufficient to withstand mechanical stress and preventing the deposition of by-products generated by the process (thus reducing potential pollution of the processing environment). Made of quartz glass -15- 200531174 (12). The container 101 and the liner 120 are more preferably made of quartz that reduces or eliminates heat transfer from the area of the processing wafer 108 or the processing area 128 outward. Through the loading gate or loading port (not shown), the entire batch of wafers 108 is introduced into the heat treatment device, and then enters the processing through the hatch or opening in the processing chamber or the substrate 124 capable of forming a gas tight seal.室 102。 Inside the room 102. In the configuration shown in FIG. 1, the processing chamber 1 02 is an upright reaction furnace, and the movable base 1 30 is raised during processing, and is sealed with a seal on the substrate 24 (for example, an O-ring). The hatch is closed; the operator or an automatic processing system (not shown), such as a wafer boat processing unit, can position the carrier or wafer boat 106 on the support base 104 attached to the base when the base is lowered. . The heating element 1 1 2 includes a top 1 3 4 (element 1 1 2-3), a side portion 1 3 6 (element 1 1 2-2), and a bottom 1 3 8 (element Η 2) positioned on the processing chamber 1 〇2. -1) nearby components. The heating element 2 preferably surrounds the wafer to obtain a good viewing factor, and thereby provides an isothermally controlled volume or processing area 128 in the processing chamber to process the wafer 108 therein. The heating element approaching the bottom of the processing chamber 10 2 1 8 can be placed in the base] 30. If desired ', a heating element can be added to or on the substrate 1 2 4 to supplement the heat of the heating element 1 1 2-1. In the embodiment shown in FIG. 1, the heating element 112-1 near the bottom of the processing chamber is preferably housed in a movable base 130. The base 13 is made of a thermally and electrically insulating material, or an insulating block 1 40 in which an electric resistance heating element 1 1 2-1 is embedded or attached thereto. The base 1 3 0 further includes more than one feedback sensor or thermocouple 1 4 1 to control the heating element 1 I 2-]. In the construction shown, the thermocouple 1 4 1 is embedded in the center of the insulating block] 4 0 -200531174 (13). The side heating element 1 12-2 and the top heating element 1 12-3 may be provided in or on the insulating block 110 near the container 101. The side heating element 112-2 and the top heating element 112-3 are preferably accommodated in the insulating block 110. The heating element 1 12 and the insulating block 1 1 0, 1 4 0 can be designed into any shape structure, and can be any Way and made of any material. In order to obtain the desired processing temperature up to 1 1 50 ° C, the heating element 1 1 2-1 near the bottom 1 3 8 of the processing chamber 10 02 preferably has a heating power of from about 0.1: [kW to about 10 kW Maximum power output, and a maximum processing temperature of at least 1 150 ° C. Even better, these bottom heating elements 1 12-1 have a maximum power output of at least about 3.8 kW, and a maximum processing temperature of at least 95 ° C. In the embodiment, the side heating element 1 1 2-2 is functionally divided into several regions, including a lower region and an upper region closest to the base 130, each of which can be independent of the top heating element 1 1 2- 3 and the bottom heating element 1 1 2 -1, and work with mutually different power levels and load cycles. The heating element 1 1 2 is controlled by any suitable means, including control techniques using conventional techniques. Because the heating element and the insulating block are housed in the inverted quartz vortex 丨 4 2, the pollution from the insulating block 140 and the bottom heating element 2-1 is reduced even if it is not completely eliminated. The crucible 1 42 serves as an obstacle between the heating element block and the processing chamber]. The crucible 42 is also sealed from the environment of the loading connection 璋 and the wafer processing unit to further reduce or eliminate pollution of the processing environment. Crucible] The interior of 4 2 is usually at standard atmospheric pressure, -17- 200531174 (14) So the strength of the entire crucible 1 4 2 should be sufficient to withstand the processing chamber! The pressure difference between the base 1 and 3 is like 1 atmosphere. When the wafer 108 is loaded or unloaded, that is, when the base is lower β (Figure 3), the bottom heating element 1 1 2-1 is still energized to maintain a low no-load temperature for the g processing temperature. For example, for a processing program where the bottom heating element has a desired temperature of 95 ° C, the no-load temperature is 50 ° -150 ° C. For some processing procedures (for example, a processing procedure having a higher desired processing temperature and / or a higher heating rate), the no-load temperature can be set to be higher, so as to have a thermal cycling effect on the bottom heating element 1 1 2-1 Extend component life. In order to further reduce the pretreatment time (that is, the time required to prepare 100 for thermal processing) during the push or load (that is, when the carrier 130 has a boat 106 wafer 108 on it on the base 130) The heating element 1 1 2-1 can be heated to a desired processing temperature or a lower temperature. However, in order to thermally stress the components of the wafer 108 and the heat treatment device 1000, it is preferable to make the bottom heating element 1 12-1 and the heating element 1 1 2 located in the processing chamber 1 02 1 3 4 -3 and heating element 1 3 6 on the side] 'simultaneously reach the desired processing temperature. Therefore, for some processing programs, such as those requiring higher processing temperatures, the base is lifted after the last wafer in a group of wafers has been loaded. Before the base starts to lift, the bottom heating element 11 2- 1 begins. Similarly, it should be understood that after processing, and during the pull or unload cycle, that is, when the base is lowered] 2 8), the supply can be reduced or completely removed at 02 and: 时时: 所In order to reduce the lifespan of a certain bureau, the bottom is, the minimum top is 12-2 m in batches, and the heating room (bottom -18- 200531174 (15) heating element 1 1 2 -1 power to Begin to lower the base temperature to the no-load temperature, prepare to cool the wafer 108, and unload it by the boat handling unit. In order to help cool the base 130 to the pull temperature before pulling or unloading cycle, pass-through insulation is provided Pipe for supplying air or inert purge gas (such as nitrogen) to block 140. Nitrogen is preferably ejected from the channel 1 4 4 through the center of the insulating block 140, and can be on the top of the insulating block 140 and the crucible 1 4 2 flows between and around the interior Then, high-efficiency air filters (not shown) or discharge equipment (not shown) are used to discharge hot nitrogen to the outside environment. The central spray structure design can cool the center of the wafer 108 more quickly. And it is very suitable to minimize the center / edge temperature difference of the bottom wafer, which will be damaged due to the shift of the lattice structure. As mentioned above, in order to increase or extend the life of the bottom heating element 1 1 2-1, The no-load temperature can be set higher and closer to the desired processing temperature to reduce the thermal cycling effect. In addition, it is also desirable to bake the heating element 1 1 2-1 periodically in an oxygen-rich environment to Promote the formation of a protective oxide surface layer. For example, when the resistance heating element is formed of an aluminum alloy (such as Kanthan®), bake the heating element in an oxygen-rich environment 1 1 2-1 to Promote the growth of alumina surface. Therefore, the insulating block 140 may further include an oxygen pipe (not shown) to promote the formation of a protective oxide surface layer during the baking of the heating element 1 2-1. In one embodiment, During the treatment, the decontamination line for cooling nitrogen is supplied with oxygen for baking through a three-way valve. FIG. 3 is a partial cross-sectional view of the heat treatment device 10. FIG. 3 shows the heat treatment device] 0 0 during loading or unloading of crystals When the circle is 1 0, that is, when the base 1 3 0 -19- 200531174 (16) is in a lower position. In this operation mode, the heat treatment device includes a heat shield 146, which can be Base 130 and wafer boat] Rotate or slide into position between the lower wafer 108 in 06. In order to improve the performance of the thermal mask ι46, the thermal mask is usually provided on the side facing the heat treatment element 1 1 2-1 Reflective and absorptive on the side facing the wafer. The purpose of the thermal shield 1 4 6 includes increasing the cooling rate of the wafer 108 in the wafer boat 106 and helping to maintain the no-load temperature of the base 130 and the bottom heating element 1 1 2 -1 to reduce The time for the processing chamber to warm up to the desired processing temperature. An embodiment of the heat treatment apparatus having a heat shield will be described in more detail with reference to Figs. 3 to 6. FIG. 3 also shows a heat treatment apparatus 100 having a base heating element 112-1 and a heat shield 146. In the illustrated embodiment, the thermal mask I 4 6 is attached to the rotation shaft 150 by an arm 148. The rotating shaft 1 50 is rotated by an electric, pneumatic, or hydraulic actuator to rotate the thermal shield 1 46 into the heated base 1 3 0 and the wafer boat 1 06 during the pull or unload cycle. A first position between the lowermost wafers 108; and during at least a final or final stage of the push or load cycle (just before the bottom of the wafer boat 106 enters the processing chamber 102), The thermal mask 146 is removed or rotated to a second position that is not between the base and the wafer. The rotating shaft 1 50 is preferably assembled or attached to a mechanism (not shown) for raising or lowering the base 130, so that when the processing chamber 1 is already managed on the top of the base, the The thermal mask 146 is rotated and positioned. Keeping the heat shield 146 in position during loading 'more quickly heats the heating elements 1 1 2-1 to the desired temperature than in the case where no heat shield 1 4 6 is positioned. Similarly, during unloading, the mask 1 4 6 helps to cool the wafer by reflecting the radiation from the heating element 1 1 2-1 of the base, especially -20- 200531174 (17) not only cooling those closer to the base Wafer. The rotating shaft 150 in another embodiment can be installed or attached to another part of the heat treatment device 100, and is suitable for axial movement at the same time as the base 130, or only when the base is completely lowered, the heat shield 146 rotation positioning. Figure 1 does not show the base heating element 112-1 and thermal mask 146 'of Figure 3, which shows that the heat energy or heat radiation from the bottom heating element is reflected back to the base 1 3 0' and absorbed from the batch or stacked crystals Thermal energy or radiation from the lower wafer in the circle. Using a variety of different materials (such as metal, ceramic, glass, or polymer coatings; individually or in combination), the desired characteristics, high reflectance, and high absorbance can be obtained. The table below shows, by way of example, the various suitable materials and corresponding parameters.

依據一實施例,熱遮罩可由達 项尊一材料材料製成, 該材料例如碳化矽、不透明石英、或 I,识一個丨而目"奎μ 鍾鋼’其〜側面拋 先另面則畫傷、刮傷、或使其帕辦 _ 、 使熱披置的一 表面粗糙’能大幅地改變其熱傳輸性 -21 - 1 ,特別是其反射率 200531174 (18) 在另一實施例中,熟遮罩M6可由兩層不同的材料製 成。圖5是熱遮罩M6的圖例說明,其具有例如碳化矽或 不透明石央等局吸收率材料的上層1 5 2,和例如拋光不鏽 鋼或拋光銘等局反射率材料或金屬的下層】5 4。雖然顯示 具有幾乎相等的厚度’但應瞭解上層152或下層154中的 任一層’都可具有較大的厚度,此視對熱遮罩的特定需求 而定’例如爲了使兩層間因熱膨脹係數的差異而造成的熱 應力最小化。例如在某些實施例中,下層〗5 4可爲沉積、 形成、或鍍在構成上層152之石英板上的非常薄的拋光金 屬層或薄膜。該等材料可以例如連結或固接的習知手段, 一體成型、互鎖、或結合在一起。 在又一實施例中,熱遮罩1 4 6更包括一內部冷卻流道 1 5 6,以進一步將晶圓1 〇 8和底部加熱元件1 1 2 - 1隔絕。 在此實施例的一版本中,如圖6所示,冷卻流道1 5 6形成 在兩不同材料層]5 2和1 5 4之間。例如可藉由以 製或任 何其他適合的技術,在高吸收率的不透明石英層1 5 2內形 成冷卻流道1 5 6,並以例如鈦或鋁塗層的金屬層1 5 4或塗 層覆蓋。冷卻流道1 5 6亦可形成在金屬層1 5 4內,或同時 形成在金屬層和石英層152兩者內。 圖7是熱遮罩組合體1 5 3之一實施例的透視圖,其包 括熱遮罩1 4 6、臂1 4 8、旋轉軸1 5 0、和致動器1 5 5。 如圖8所示,熱處理裝置1 〇 〇更包括一隔離板1 5 8, 其能在晶舟1 〇 6上方旋轉、滑動、或其他方式運動而定位 ,以在底座]3 0處於充分下降的位置時’將熱處理室】0 2 -22- 200531174 (19) 和外界或裝載埠環境相隔離。例如當底座1 3 0在較低 時,隔離板1 5 8滑入載具1 0 6上方的位置,且上升以 處理室102。在另一實施例中,隔離板158亦可在 1 3 0處於較低位置時,轉動或擺動進入載具! 〇 6上方 置,然後上升以隔離處理室1 02。隔離板1 5 8可選擇 繞著螺紋或相對於柱而轉動,以同時舉升隔離板,而 擺動進入載具106上方的位置時,隔離處理室1〇2。 就在真空下正常作業的一個處理室1 0 2 (例如 系統)而言,隔離板1 5 8可形成對基板1 24的真空密 以使處理室1 0 2能因抽吸而降壓至處理壓力或真空。 可能希望在各批次晶圓之間抽吸處理室1 0 2降壓,以 或消除污染處理環境的潛在因素。較佳是以大直徑的 (例如0型環)形成真空密封,因此隔離板1 5 8可 多個水流道1 6 0,以冷卻密封。在圖8所示的實施例 使用與密封坩堝142相同的0型環132,在底座130 上升位置時,來密封隔離板]5 8。 就其內有在大氣壓力下正常作業之處理室1〇2的 處理裝置1 3 0而言,隔離板1 5 8只是設計用於減少處 底部熱損失的一絕緣塞。爲了達成此目的的一實施例 用了不透明石英板,其可以進一步包括(也可以不包 在其下方或在其內部的多個冷卻流道。 當底座〗3 0在充分下降的位置時,隔離板]5 8移 理室1 02下方的位置,然後藉由一個以上的電氣、液 空壓制動器(未示)、舉升,以隔離處理室。致動器 :位置 隔離 底座 的位 性地 在其 CVD 封, 例如 減少 密封 包括 中, 處於 一熱 理室 ,使 括) 入處 壓、 較佳 -23- 200531174 (20) 是使用約1 5至6 0磅/平方吋(p s I G ) 常可在熱處理裝置上獲得,以操作空壓 例的一種版本,隔離板1 5 8可包括一板 旋臂將多個輪子附接於該板體的兩側。 隔離板1 5 8從兩平行導軌滾入處理室1 在導軌上、然後使旋臂樞轉、移動隔離 方向,以密封處理室1 02。 如圖9所示,熱處理裝置1〇〇更包 轉系統1 62,其在處理程序期間,轉動 】〇 6,連同晶舟1 〇 6上所支撐的晶圓] 間旋轉晶圓1 0 8,藉由平均了加熱元件 的非均勻性,而改善晶圓內的均勻性, 上溫度和物件反應輪廓。晶圓旋轉系統 〇 . 1至約1 〇轉/分的速率轉動晶圓1 0 8 晶圓旋轉系統1 62包括具有一旋轉 動馬達或空壓馬達)的驅動組合體或旋 覆在防化學侵蝕之容器(例如退火的特 的磁鐵1 6 8。恰位於底座1 3 0之絕緣$ ]7 0、和連結絕緣塊的驅動軸 1 72,傳 底座頂部內之絕緣塊上方的另一磁鐵1 動軸1 72、和第二磁鐵1 74亦包覆在防 合物內。位於底座1 3 0側的磁鐵1 7 4, 鋼環或嵌入/附接於處理室1 0 2的支撐 經由底座]3 0而磁性耦合於旋轉機 的空氣,該空氣通 丨閥。例如在本實施 [體,且藉由短臂或 在作業中,板體或 下方的位置、停 板1 5 8進入向上的 ,括一磁輔合晶圓旋 支撐座1 0 4和晶舟 [〇 8。在處理程序期 1 1 2和處理氣體流 以創造均勻的晶圓 162通常能夠以約 〇 [馬達1 6 6 (例如電 轉機構 1 6 4、和包 氟龍或不鏽鋼)內 I 1 4 0下面的鋼環 輸旋轉能量於位在 7 4。鋼環 1 7 〇、驅 化學侵蝕之容器化 經由坩堝1 4 2而與 座〗〇4磁性耦合。 構]6 4,可消除將 -24- 200531174 (21) 消 外 的 作 個 及 7 4 認 舟 ) ) 約 感 便 度 設 噴 的 流 □ 分 旋轉機構設在處理環境內或設置機械锅合的需求,藉此 除洩漏或污染的潛在來源。再者,將旋轉機構1 64設在 面,且距處理環境一段距離,可將旋轉機構1 6 4所暴露 溫度最小化,藉此,增加晶圓旋轉系統1 6 2的信賴度和 業壽命。 除了上述之外,晶圓旋轉系統1 6 2可進一步包括一 以上的感測器(未示),以確保適當的晶舟1 06位置、 處理室1 〇 2內之鋼環或磁鐵1 7 6和底座1 3 0內之磁鐵1 的適當磁性耦合。決定晶舟1 06相對位置的感測器或確 晶舟位置的感測器,特別有用。在一實施例中,確認晶 位置的感測器,包括在晶舟1 0 6上之感測器凸部(未示 、和位於基板1 24下面的光學或雷射感測器。在作業中 於晶圓108已處理過,且底座130下降至基板124下方 3吋時,晶圓旋轉系統1 62開始旋轉,直到可看見晶舟 測器凸部。然後,操作晶圓旋轉系統1 62對齊晶舟,以 卸載晶圓1 〇 8。作業至此,晶舟會下降至裝載/卸載高 。在初始檢查後,只能從標記感測器確認晶舟位置。 如圖1 〇所示,熱處理裝置1 00較佳使用改良的噴 器2 1 6,該等噴射器2 1 6是分布性的或交叉(X )流的 射器2 1 6- 1。經由位於晶圓1 08和晶舟1 06側之噴射器 開口或孔]8 0,將處理氣體或蒸氣導入,並以層流方式 經晶圓的表面,然後從相對側之處理室管路1 2 0的排放 或槽1 8 2排出。相對於較早向上流或向下流的結構設計 交叉流噴射器Π 6-]藉由提供改善之處理氣體或蒸氣的 -25- 200531174 (22) 布,改善了一批次晶圓1 0 8內各晶圓的均勻性。 此外,交叉流噴射器2 1 6 - 1可具有其他目的,包括噴 射冷卻氣體(例如氦、氮、氫),以強迫各晶圓1 〇 8間的 對流冷卻。相較於較早向上流或向下流的結構設計,使用 交叉流噴射器2 1 6- 1,導致各晶圓1 0 8間更均勻,不論是 位於該疊積或該批次之底部或頂部或中間的晶圓。噴射器 2 1 6的孔1 8 0較佳是設計成其尺寸、形狀、和位置能提供 噴灑模式,以提供各晶圓1 〇 8間的強迫對流冷卻,使得在 整個晶圓上不會造成的大溫度梯度。 圖11是圖1 〇之熱處理裝置1 0 0的局部剖面側視圖, 其顯示部分噴射器孔1 8 0和處理室內襯1 2 0的關係、及排 放槽1 8 2和晶圓1 0 8的關係。 圖1 2是沿圖1 0熱處理裝置1 0 0之A - A線的局部平 面視圖,其顯示一實施例的氣體層流,從第一噴射器1 84 的孔1 8 0 - 1和第二噴射器1 8 6的孔1 8 0 - 2,經過例示晶圓 1 0 8其中之一,到排放槽1 8 2 - 1和]8 2 - 1。應該注意圖1 〇 所示之排放槽]8 2的位置,已經從圖1 2所示之排放槽 182-1和182-1的位置移動,已使得排放槽和噴射器116-1可顯示在熱處理裝置之單一剖面視圖中。也應注意到噴 射器1 8 4、1 8 6和排放槽1 8 2 - 1、1 8 2 · 1相對於晶圓1 0 8和 處理室內襯1 2 0的尺寸,已被誇大,以更淸楚例是從噴射 器到排放槽的氣體流。 圖I 2亦顯示處理氣體或蒸氣起初先被導向遠離晶圓 1 〇 S,而朝向內襯]2 0,以促進處理氣體或蒸氣在到達晶 -26- 200531174 (23) 圓之前先混合。在第一、二噴射器184、186導入不同反 應劑以(例如)形成多種成分薄膜或層的處理時,孔 180-1、180-2的構造特別有用。 圖1 3是沿圖1 0熱處理裝置1 〇 〇之A - A線的另—局 部平面視圖,其顯示從第一、二噴射器1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 0 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖14是沿圖10熱處理裝置1〇〇之A-A線的另一局 部平面視圖,其顯示從第一、二噴射器1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 〇 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖1 5是沿圖1 〇熱處理裝置1 0 0之A - A線的另一局 部平面視圖,其顯示從第一、二噴射器1 8 4、1 8 6之孔 1 8 0,經過例示晶圓1 〇 8其中之一,到排放槽1 8 2之氣體 流動路徑的另一實施例。 圖]6是另一實施例中具有兩個以上向上流動之噴射 器1 1 6 - 1、1 1 6 - 2之熱處理裝置! 〇 〇的剖視圖。在此實施 例中’具有位於處理室1 02下方之個別出口孔的處理噴射 器1 1 6 - 1、1 1 6 - 2,其處理氣體和蒸氣向上流出,並經過晶 圓】08 ’然後用過的廢氣體,從內襯120頂端的排放槽 1 8 2排出。向上流動的噴射器系統亦顯示於圖1。 圖1 7是另一實施例中具有一向下流動噴射器系統之 熱處理裝置1 0 〇的剖視圖。在此實施例中,具有位於處理 室】0 2內之個別孔的處理噴射器]]6 - 1、]] 6 - 2,其處理氣 - 27 - 200531174 (24) 體和蒸氣向下流出,並經過晶圓1 〇 8,然後用過的廢氣體 ,從內襯1 2 0下端的排放槽1 8 2排出。 噴射器116、216、和/或內襯120,可以具有不同噴 射點和排放來自處理區1 2 8之處理氣體的點之其他噴射器 和內襯,快速地且容易地更換或置換。熟悉該項技術者將 可瞭解,圖1 0所示的交叉流噴射器2 1 6實施例,因能夠 將處理室1 0 2內的流動模式,從圖1 〇所示的交叉流動模 型’快速且輕易地變化成如圖1或圖1 6所示的向上流動 模型’或變化成如圖1 7所示的向下流動模型,所以增加 了處理彈性自由度。此能藉由使用容易組裝的噴射器組合 體2 1 6和內襯1 2 0,以將流動幾何從交叉流動轉換成向上 流動或向下流動而完.成。 噴射器1 1 6、2 1 6、和內襯1 2 0可爲分離的組件,或 者噴射器可和內襯一體成型爲單一元件。在希望經常改變 處理室1 02流動模型的場合,後一種實施例特別有用。 操作熱處理裝置1 〇 〇的例示方法或過程,將參考圖 1 8做說明。圖】8是熱處理一批次晶圓1 〇 §之一種方法的 各步驟流程圖,其中該批次晶圓中的每一晶圓,被快速且 均勻地加熱至所欲的溫度。在此方法中,底座1 3 0下降, 且當底座130下降時,熱遮罩142移入定位,以將底部加 熱元件1 1 2 - 1來的熱反射回底座,以維持底座的溫度,並 隔離處理完畢的晶圓1 0 8 (步驟1 9 0 )。選擇性地,移動 隔離板1 5 8至定位’以密封或隔離處理室! 02 (步驟]92 )。施電力於加熱兀件]]2 - 2、1 ] 2 - 3,以將處理室]0 2開 -28 - 200531174 (25) 始預熱至或維持在中間溫度或空載溫度(步驟1 9 4 )。將 裝載有新晶圓108的載具或晶舟106,定位在底座130上 (步驟196)。在移除隔離板158、熱遮罩142、和使底 部加熱元件1 ] 2 - 1升溫以將晶圓預熱至中間溫度的同時, 舉升底座130,以將晶舟定位於處理區128內(步驟197 )。較佳地,恰在晶舟1 0 6定位在處理區1 2 8內之前,移 除熱遮罩1 42 ;將例如處理氣體或蒸氣的流體,經由多個 噴射口 1 8 0,導入晶圓1 〇 8的一側(步驟1 9 8 )。流體從 噴射口 1 8 0流經晶圓1 0 8的表面,至晶圓相對於噴射口的 另一側且設於內襯1 2 0內的排放口 1 8 2 (步驟1 9 9 )。選 擇性地,在熱處理整批次晶圓1 08期間,晶舟1 〇6可在處 理區’]2 8內旋轉,以進一步提昇熱處理的均勻性;在熱處 理晶圓期間,藉由磁性耦合的機械能,經過底座1 3 0傳至 載具或晶舟1 0 6,而而使晶舟復位(步驟2 0 0 )。 另一實施例之熱處理裝置100的方法或過程,將參考 圖做說明。圖19是熱處理一載具內之一批次晶圓 之一種方法的各步驟流程圖。在該方法中,裝置1 〇 〇設有 一處理室].〇2,處理室的尺寸和容積不會比容置具有晶圓 1 0 8於其內之載具1 0 6所需(無保護加熱器)的尺寸大太 多。降下底座1 3 0,且將固持有晶圓1 〇 8於其內的晶舟j 6 定位在底座(步驟202)上。在將晶圓]〇8預熱至中間溫 度的同時,舉升底座130以將晶舟***處理室1〇2內(步 驟2 04 )。施電力於加熱元件1 12-1,1] 2-2、1 12-3 ,每 一加熱元件設於趨近處理室1 0 2的頂壁]3 4、側壁I 3 6、 >29- 200531174 (26) 和底壁1 3 8其中至少之一,以開始加熱處理室(步驟206 )。選擇性地,獨立調整施於至少其中之一的加熱元件之 電力,以提供處理室1 02內之處理區1 2 8在所欲溫度之大 致等溫環境(步驟2 0 8 )。當晶圓I 〇 8已熱處理完畢,且 當處理區1 2 8維持所欲溫度,降下底座1 3 0,且將熱遮罩 1 42移入定位,以隔絕處理完畢的晶圓1 〇 8,及將從底部 加熱元件1 1 2 - 1來的熱反射回底座1 3 0,以維持底座的溫 度(步驟2 1 0 )。也是選擇性地,移動隔離板進入定位, 以密封或隔離處理室1〇2,並施電力於加熱元件1 12-2、 1 1 2 - 3,以維持處理室的溫度(步驟2 1 2 )。然後,將晶 舟106從底座130上移除(步驟214)。將裝載有新一批 次待處理之晶圓的另一晶舟,定位在底座上(步驟2 1 6 ) 。復位或移除隔離板1 5 8 (步驟2 1 8 )。撤退或復位熱遮 罩,以在舉升底座130供晶舟***處理室102內進行熱處 理新一批次晶圓的同時,將晶舟1 〇 6內的晶圓1 0 8預熱至 中間溫度(步驟2 2 0 )。 如上述提供和操作的熱處理裝置1 〇 〇相較於習知系統 ,減少處理或循環時間約7 5 % 。例如習知一大批次熱處 理裝置,可能在約2 3 2分鐘(包括前處理和後處理時間) 內處理1 0 0個晶圓成品。本發明熱處理裝置1 〇 〇在約5 8 分鐘內對一小批次的2 5個成品晶圓做相同的處理。 參考圖2 0 - 3 2,將描述本發明之一實施例的噴射系統 〇 具有噴射口或孔分布於長管的噴射器,已使用於水平 -30- 200531174 (27) 和直立爐’以控制基材整個表面的氣體濃度。典型地,依 特定的應用’使用兩個以上的噴射器,以分布類似或不同 的氣體’例如’爲了沉積摻雜P的多晶矽,已使用具有分 散噴射口的噴射器’以導入PH3氣體晶過位於爐內的整個 晶圓裝載,進而提供均勻的氣體濃度。使用具有分散噴射 口的噴射器,以確保整個晶圓裝載之沉積薄膜的性質相同 。傳統上,噴射器被固定著’亦即噴射器之噴射口或孔的 方向被固定,且該方向典型地朝向晶圓的中心。即便如此 ,沉積在晶圓上的薄膜仍然出現不希望存在的晶圓內均勻 性。沉積薄膜的均勻性、品質、和重複性,不僅取決於氣 體流動率、濃度、壓力、和溫度,亦取決於氣體流動模型 和氣體分布。本發明提供的噴射系統可調整角度,以提昇 不同氣體之衝擊混合動量傳輸,進而改善流動均勻性、和 沉積薄膜的品質與均勻性。本發明的噴射系統通常包含一 個以上的長噴射管,該等長噴設管具有分布在管中多個噴 射口或孔,以引導反應劑和其他氣體經過每一基材的表面 。長噴射管可相對於一軸做三百六十度旋轉。According to an embodiment, the thermal mask may be made of a material such as silicon carbide, opaque quartz, or I. One of them is "Kui μ Zhonggang", if the side is thrown, the other side is Scratching, scratching, or roughening a surface of a thermal coating can greatly change its heat transmission -21-1, especially its reflectivity 200531174 (18) In another embodiment The cooked mask M6 can be made of two different layers. FIG. 5 is a legendary illustration of a heat shield M6, which has an upper layer 1 5 2 of a local absorptive material such as silicon carbide or opaque stone, and a lower layer of a local reflectance material such as polished stainless steel or polished inscription] 5 4 . Although shown to have an almost equal thickness, it should be understood that either the upper layer 152 or the lower layer 154 may have a larger thickness, depending on the specific needs of the thermal mask, for example, to make the thermal expansion coefficient between two layers The thermal stress caused by the differences is minimized. For example, in some embodiments, the lower layer 54 may be a very thin polished metal layer or film deposited, formed, or plated on a quartz plate constituting the upper layer 152. Such materials may be integrally formed, interlocked, or combined together, for example, by conventional means of attachment or fixation. In another embodiment, the thermal shield 1 4 6 further includes an internal cooling channel 1 56 to further isolate the wafer 108 from the bottom heating element 1 1 2-1. In a version of this embodiment, as shown in FIG. 6, cooling channels 1 56 are formed between two different material layers] 5 2 and 1 5 4. For example, cooling channels 1 5 6 can be formed in the opaque quartz layer 1 5 2 of high absorptivity by a fabrication method or any other suitable technique, and a metal layer 1 5 4 or a coating of, for example, a titanium or aluminum coating. cover. The cooling channels 1 5 6 may be formed in the metal layer 154, or both in the metal layer and the quartz layer 152. Fig. 7 is a perspective view of one embodiment of a thermal mask assembly 153, which includes a thermal mask 146, an arm 148, a rotating shaft 1500, and an actuator 155. As shown in FIG. 8, the heat treatment device 100 further includes an isolation plate 158, which can be rotated, slid, or otherwise moved above the wafer boat 106 to be positioned so as to be fully lowered at the base. When in position, the heat treatment chamber] 0 2 -22- 200531174 (19) is isolated from the outside or loading port environment. For example, when the base 130 is lower, the partition plate 15 8 slides into a position above the carrier 106 and rises to process the chamber 102. In another embodiment, the isolation plate 158 can also rotate or swing into the vehicle when 130 is in a lower position! 〇 6 Above, and then rise to isolate the processing chamber 102. The isolation plate 158 can be selected to rotate around the thread or relative to the column to simultaneously lift the isolation plate, and isolate the processing chamber 102 when it swings into a position above the carrier 106. For a processing chamber 1 02 (such as a system) that operates normally under vacuum, the isolation plate 15 8 can form a vacuum tightness to the substrate 1 24 so that the processing chamber 10 2 can be depressurized to processing by suction. Pressure or vacuum. It may be desirable to pump the processing chamber 102 between batches of wafers to reduce pressure, or to eliminate potential factors that pollute the processing environment. It is preferable to form a vacuum seal with a large diameter (for example, an O-ring), so the isolation plate 158 can have a plurality of water flow channels 1600 to cool the seal. In the embodiment shown in FIG. 8, the same O-ring 132 as that used to seal the crucible 142 is used to seal the partition plate when the base 130 is raised. As far as the processing device 130, which has a processing chamber 102 operating normally under atmospheric pressure, the isolation plate 158 is only an insulating plug designed to reduce heat loss at the bottom of the place. An embodiment to achieve this purpose uses an opaque quartz plate, which may further include (or it may not include multiple cooling runners below or inside it. When the base 30 is in a fully lowered position, it is isolated Board] 5 8 positions below the processing chamber 102, and then isolate the processing chamber by more than one electrical, hydraulic, pneumatic brake (not shown), and lift. Actuator: Position isolation base The CVD seal, such as reducing the seal, is located in a thermal treatment room so that the pressure is at the entrance, preferably -23- 200531174 (20) is usually about 15 to 60 pounds per square inch (ps IG). Obtained on a heat treatment device to operate a version of the air pressure example, the isolation plate 1 58 may include a plate swing arm to attach multiple wheels to both sides of the plate body. The isolation plate 1 5 8 is rolled into the processing chamber 1 from two parallel guide rails on the guide rail, and then the swing arm is pivoted to move the isolation direction to seal the processing chamber 102. As shown in FIG. 9, the heat treatment device 100 has a turn-around system 1 62 which rotates the wafer 108 during the processing procedure, and rotates the wafer 108 with the wafer supported on the wafer boat 106. By averaging the non-uniformity of the heating element, the uniformity within the wafer is improved, and the temperature and object response profile are improved. Wafer Rotation System 0.1 to about 10 rpm to rotate the wafer 1 0 8 Wafer Rotation System 1 62 includes a drive assembly with a rotary motor or air motor) or spin-on to prevent chemical attack Container (such as an annealed special magnet 1 6 8. The insulation is located at the base 1 3 0] and the drive shaft 1 72 connected to the insulation block, and another magnet 1 above the insulation block inside the top of the base moves. The shaft 1 72 and the second magnet 1 74 are also enclosed in the anti-composition. The magnet 1 74 on the 130 side of the base, the steel ring or the support embedded / attached to the processing chamber 1 0 2 via the base] 3 0 and magnetically coupled to the air of the rotating machine, this air passes through the valve. For example, in this implementation, and through the short arm or in operation, the plate or the lower position, the stop plate 1 5 8 enters upward, including A magnetically assisted wafer spin support 104 and wafer boat [0. During the processing procedure 1 12 and the process gas flow to create a uniform wafer 162 can usually be processed by about 0 [motor 1 6 6 (such as electric rotation Mechanism 1 6 4, and fluorinated or stainless steel) The steel ring under I 1 4 0 transmits rotational energy at 7 4. Steel ring 1 7 〇 、 The containerization of chemical erosion resistance is magnetically coupled with the seat through the crucible 142. The structure] 6 4 can eliminate -24- 200531174 (21) eliminate the work and 7 4 recognize the boat)) Sensitivity is set by the flow □ split rotation mechanism is set in the processing environment or the need for a mechanical cooker to remove potential sources of leakage or pollution. Furthermore, by setting the rotating mechanism 164 on the surface and at a distance from the processing environment, the temperature exposed to the rotating mechanism 164 can be minimized, thereby increasing the reliability and service life of the wafer rotating system 162. In addition to the above, the wafer rotation system 1 6 2 may further include more than one sensor (not shown) to ensure an appropriate wafer boat 106 position, a steel ring or magnet 1 102 in the processing chamber 10 2 Proper magnetic coupling with magnet 1 in base 130. A sensor that determines the relative position of the wafer boat 06 or a sensor that determines the position of the wafer boat is particularly useful. In one embodiment, the sensor for confirming the crystal position includes a sensor protrusion (not shown) on the wafer boat 106 (not shown), and an optical or laser sensor located below the substrate 1 24. During operation When the wafer 108 has been processed and the base 130 is lowered 3 inches below the substrate 124, the wafer rotation system 1 62 starts to rotate until the convex part of the wafer boat can be seen. Then, the wafer rotation system 1 62 is operated to align the wafer Boat to unload wafer 108. At this point, the wafer boat will descend to the loading / unloading height. After the initial inspection, the wafer boat position can only be confirmed from the mark sensor. As shown in Figure 10, the heat treatment device 1 00 preferably uses a modified injector 2 1 6 which is a distributed or cross (X) flow injector 2 1 6-1. Via wafer 1 08 and wafer boat 06 The ejector opening or hole] 80, introduces the processing gas or vapor, and passes through the surface of the wafer in a laminar flow, and then discharges from the processing chamber pipe 1 2 0 or the tank 1 2 on the opposite side. Design cross-flow ejectors in earlier up- or down-flow configurations 6-] by providing improved process gas or steam -25- 200531174 (22) cloth, improving the uniformity of each wafer in a batch of wafers 108. In addition, the cross-flow ejector 2 1 6-1 may have other purposes, including spraying cooling gas (such as Helium, nitrogen, hydrogen) to force convection cooling between wafers 108. Compared to earlier upward or downward structural designs, the use of cross-flow ejectors 2 1 6-1 resulted in wafers 1 0 to 8 are more uniform, regardless of the wafer located at the bottom or top or middle of the stack or batch. The holes of the ejector 2 1 6 are preferably designed so that their size, shape, and location can provide Spray mode to provide forced convection cooling between wafers 108, so that there is no large temperature gradient caused across the wafer. Figure 11 is a partial cross-sectional side view of the heat treatment device 100 of Figure 10, which Shows the relationship between part of the injector hole 180 and the processing chamber lining 120, and the relationship between the discharge tank 1 82 and the wafer 108. Figure 12 shows the A of the heat treatment device 100 according to Figure 10- Partial plan view of line A, showing an embodiment of the laminar gas flow, from the holes 1 8 0-1 of the first ejector 1 84 and the second The holes 1 8 0-2 of the injector 1 8 6 pass through one of the illustrated wafers 108 to the discharge grooves 1 8 2-1 and] 8 2-1. It should be noted that the discharge grooves shown in FIG. 10] The position of 2 has been moved from the positions of the discharge grooves 182-1 and 182-1 shown in FIG. 12 so that the discharge groove and the ejector 116-1 can be displayed in a single cross-sectional view of the heat treatment device. It should also be noted The dimensions to the ejector 1 8 4, 1 8 6 and the discharge tank 1 8 2-1, 1 8 2 · 1 have been exaggerated to make it more clear compared to the wafer 108 and processing chamber liner 1 2 0. An example is the gas flow from the ejector to the discharge tank. Figure I 2 also shows that the processing gas or vapor is initially directed away from the wafer 10 s, and towards the inner lining] 20 to promote the mixing of the processing gas or vapor before it reaches the crystal -26- 200531174 (23) circle. The construction of the holes 180-1, 180-2 is particularly useful when the first and second injectors 184, 186 are introduced with different reactants to, for example, form a multi-component film or layer. FIG. 13 is another—partial plan view taken along line A-A of the heat treatment device 100 of FIG. 10, showing holes 18 from the first and second ejectors 18, 4, 18, and 18, respectively, through an exemplary crystal. One of the circles 108 is another embodiment of the gas flow path to the discharge tank 182. FIG. 14 is another partial plan view taken along the line AA of the heat treatment apparatus 100 of FIG. 10, which shows the holes 1 8 0 from the first and second ejectors 1 8 4 and 18 6 through the illustrated wafer 1 0 8 One of them is another embodiment of the gas flow path to the discharge tank 182. FIG. 15 is another partial plan view taken along line A-A of FIG. 10 heat treatment device 100, which shows holes 18 from the first and second injectors 18 4, 4 and 18, and is exemplified. One of the circles 108 is another embodiment of the gas flow path to the discharge tank 182. Figure] 6 is a heat treatment device with two or more upwardly flowing injectors 1 1 6-1 and 1 1 6-2 in another embodiment! 〇 〇 sectional view. In this embodiment, 'the processing ejector 1 1 6-1, 1 1 6-2 with individual outlet holes located below the processing chamber 10 02, the processing gas and vapor flow upwards and pass through the wafer] 08' and then use The passing exhaust gas is discharged from the discharge groove 1 8 2 at the top of the lining 120. The upward-flowing ejector system is also shown in FIG. 1. Fig. 17 is a cross-sectional view of a heat treatment apparatus 100 having a downward flow ejector system in another embodiment. In this embodiment, a processing ejector having individual holes located in the processing chamber] 0 2]] 6-1,]] 6-2 whose processing gas-27-200531174 (24) the body and vapor flow downward, After passing through the wafer 108, the used exhaust gas is discharged from the discharge groove 18 2 at the lower end of the lining 120. The injectors 116, 216, and / or the lining 120 may have different injection points and other injectors and linings that discharge processing gas from the processing zone 128, and are quickly and easily replaced or replaced. Those skilled in the art will understand that the cross-flow ejector 2 16 embodiment shown in FIG. 10 can quickly change the flow pattern in the processing chamber 102 from the cross-flow model shown in FIG. And it is easily changed into an upward flow model as shown in FIG. 1 or FIG. 16 or changed into a downward flow model as shown in FIG. 17, so the degree of freedom in processing elasticity is increased. This can be accomplished by using an easily assembled injector assembly 2 16 and a lining 12 20 to convert the flow geometry from cross flow to upward flow or downward flow. The injectors 116, 2 16 and the lining 120 may be separate components, or the injector and the lining may be integrally formed as a single component. The latter embodiment is particularly useful where it is desired to frequently change the flow pattern of the processing chamber 102. An exemplary method or process for operating the heat treatment apparatus 100 will be described with reference to FIG. 18. Figure 8 is a flowchart of the steps of a method for thermally processing a batch of wafers 10 §, in which each wafer in the batch of wafers is quickly and uniformly heated to a desired temperature. In this method, the base 130 is lowered, and when the base 130 is lowered, the heat shield 142 is moved into position to reflect the heat from the bottom heating element 1 1 2-1 back to the base to maintain the temperature of the base and isolate The processed wafer 108 (step 190). Optionally, move the isolation plate 1 5 8 to position ' to seal or isolate the processing chamber! 02 (step) 92). Apply electricity to the heating element]] 2-2, 1] 2-3 to preheat the processing chamber] 0 2K-28-200531174 (25) Start preheating to or maintain the intermediate or no-load temperature (step 1 9 4). The carrier or wafer boat 106 on which the new wafer 108 is loaded is positioned on the base 130 (step 196). While removing the isolation plate 158, the heat shield 142, and warming the bottom heating element 1] 2-1 to preheat the wafer to an intermediate temperature, the base 130 is lifted to position the wafer boat in the processing area 128 (Step 197). Preferably, the thermal shield 1 42 is removed just before the wafer boat 106 is positioned in the processing area 1 2 8; a fluid such as a processing gas or vapor is introduced into the wafer through a plurality of injection ports 1 8 0 1 〇8 side (step 198). The fluid flows from the ejection port 180 through the surface of the wafer 108 to the discharge port 1 8 2 on the other side of the wafer opposite to the ejection port and provided in the inner liner 120 (step 199). Optionally, during the heat treatment of the entire batch of wafers 108, the wafer boat 106 can be rotated in the processing area '] 28 to further improve the uniformity of the heat treatment; during the heat treatment of the wafers, magnetically coupled The mechanical energy is transmitted to the carrier or wafer boat 106 through the base 130, and the wafer boat is reset (step 200). The method or process of the heat treatment apparatus 100 according to another embodiment will be described with reference to the drawings. Figure 19 is a flow chart of the steps of a method for thermally processing a batch of wafers in a carrier. In this method, the device 100 is provided with a processing chamber]. 〇2, the size and volume of the processing chamber will not be greater than the capacity required to accommodate a carrier 106 having wafers 108 therein (unprotected heating) Size). The base 130 is lowered, and the wafer boat j 6 holding the wafer 108 held therein is positioned on the base (step 202). While preheating the wafer] to the intermediate temperature, the pedestal 130 is lifted to insert the wafer boat into the processing chamber 102 (step 204). Power is applied to the heating elements 1 12-1, 1] 2-2, 1 12-3, and each heating element is located near the top wall of the processing chamber 1 0 2] 3 4, side wall I 3 6, and > 29- 200531174 (26) and at least one of the bottom wall 1 3 8 to start heating the processing chamber (step 206). Optionally, the power applied to at least one of the heating elements is independently adjusted to provide the processing zone 1 2 8 in the processing chamber 102 at a substantially isothermal environment at a desired temperature (step 208). When the wafer I 08 has been heat-treated, and when the processing area 1 28 maintains the desired temperature, lower the pedestal 130, and move the thermal mask 1 42 into position to isolate the processed wafer 108, and The heat from the bottom heating element 1 1 2-1 is reflected back to the base 1 3 0 to maintain the temperature of the base (step 2 1 0). It is also optional to move the isolation plate into position to seal or isolate the processing chamber 102 and apply power to the heating elements 1 12-2, 1 1 2-3 to maintain the temperature of the processing chamber (step 2 1 2) . The boat 106 is then removed from the base 130 (step 214). Position another wafer boat with a new batch of wafers to be processed on the base (step 2 1 6). Reset or remove the isolation plate 1 5 8 (step 2 1 8). Retreat or reset the thermal mask to preheat the wafer 108 in the wafer 106 to the intermediate temperature while lifting the base 130 for the wafer boat to be inserted into the processing chamber 102 for heat treatment of a new batch of wafers (Step 2 2 0). The heat treatment apparatus 100 provided and operated as described above reduces processing or cycle time by about 75% compared to conventional systems. For example, it is known that a large batch of thermal processing equipment may process 100 finished wafers in about 232 minutes (including pre-processing and post-processing time). The heat treatment device 100 of the present invention performs the same processing on a small batch of 25 finished wafers in about 58 minutes. Referring to FIGS. 20-32, a spray system according to an embodiment of the present invention will be described. An ejector having spray ports or holes distributed in a long tube has been used in a level -30-200531174 (27) and an upright furnace to control Gas concentration over the entire surface of the substrate. Typically, depending on the specific application, 'use more than two injectors to distribute similar or different gases', for example, 'in order to deposit P-doped polycrystalline silicon, injectors with dispersed injection ports have been used' to introduce PH3 gas crystals The entire wafer load inside the furnace provides a uniform gas concentration. Use an ejector with a dispersion jet to ensure that the properties of the deposited film are the same throughout the wafer load. Traditionally, the ejector is fixed ', i.e., the direction of the ejection port or hole of the ejector is fixed, and the direction is typically toward the center of the wafer. Even so, the film deposited on the wafer still exhibits undesirable intra-wafer uniformity. The uniformity, quality, and repeatability of the deposited film depend not only on the gas flow rate, concentration, pressure, and temperature, but also on the gas flow model and gas distribution. The spray system provided by the invention can adjust the angle to improve the impact mixing momentum transmission of different gases, thereby improving the flow uniformity and the quality and uniformity of the deposited film. The spraying system of the present invention usually includes more than one long spraying tube. The long spraying tubes have a plurality of spraying ports or holes distributed in the tube to guide the reagent and other gases through the surface of each substrate. The long jet tube can rotate 360 degrees with respect to one axis.

圖20顯示本發明之一實施例的熱處理裝置230,其 包括一噴射系統2 5 0。爲了簡化本發明的說明,和本發明 沒有密切關聯的元件,未顯示於圖和說明中。裝置2 3 0通 常包括容置一處理室236的容器234,該處理室236具有 適於容置裝有一批次晶圓242於其內之載具240的支撐座 2 3 8。裝置2 3 0包括熱源或爐244,以將晶圓2 4 2升溫至 所欲的熱處理溫度。交叉流內襯2 3 2係用於增加晶圓2G -31 - 200531174 (28) 附近之處理氣體或蒸氣的濃度,並降低形成於處理室2 3 6 內表面之沉積剝落或掉落對晶圓的污染。內襯2 3 2的形狀 構造設計成適合晶圓載具240的外形輪廓,且其尺寸設計 成可縮小晶圓載具240和內襯壁之間的間隙。內襯2 3 2組 裝於基板2 4 6並加以密封,交叉流噴射系統2 5 0設於內襯 2 3 2和晶圓載具240之間。經由位於晶圓242和載具240 一側的多個噴射口或孔2 5 2導入氣體,以下述的層流經過 晶圓的表面。相對側的內襯23 2形成多個槽2 5 4,以排放 氣體或反應後的副產品。 交叉流噴射系統2 5 0包括一個以上的長噴射管,圖 2 1顯示本發明一實施例的長噴射管2 5 6。如圖所示,長噴 射管2 5 6設有許多個噴射口或孔2 5 2。在一實施例中,各 噴射口 2 5 2的間隔距離,使得噴射管組裝後,每一噴射口 2 5 2的高度,介於晶圓載具240所支撐的兩個相鄰晶圓 242之間,所以從噴射口 2 5 2噴出的氣體,會流經相鄰兩 晶圓所形成的路徑。在另一實施例中,噴射管2 5 6之各噴 射口或孔2 5 2的間隔和數目,配合內襯之各槽2 5 4的間隔 和數目,所以過量的氣體和反應副產品,從內襯的對應槽 排放。本發明的噴射系統2 5 0可包含一個以上如圖2 1所 不的長噴射管256。長噴射管256可由能承受局溫局真空 作業之熱應力和機械應力,且能抗處理期間所使用或釋放 之氣體和蒸氣腐蝕的任何金屬、陶瓷、晶體、或玻璃材料 製成。噴射管較佳是由不透明、半透明、或透明的石英玻 璃製成。在一實施例中,噴射管是由石英製成。 -32 - 200531174 (29) 圖2 2是熱處理裝置2 3 0的局部剖視圖,其顯示具有 內襯2 3 2之噴射系統2 5 0和基板24 6的連接。長噴射管 256耦合於基板246中的噴射入口 262,且介藉由〇型環 2 6 4密封於基板。如圖2 3所示,長噴射管2 5 6藉由挾持 塊2 6 6嚙合內襯2 3 2。所小2 6 8將夾持塊2 6 6鎖固於基板 246。反應劑或其他氣體經由入口 262導入噴射管256。 圖24是內襯232之頂板270的局部平面圖,該頂板 270具有複數開口 272,用以容置一個以上的噴射管256 。如圖所示,頂板2 70的開口 272設有多個凹陷2 74,以 穩定長噴射管256,並使館256的噴射口 252朝向特定的 方向。圖示於每一開口 272的三個凹陷274 A-C僅爲例示 ,應暸解可設置任何數目的凹陷,以便長噴射管可相對一 軸做三百六十度旋轉和調整,且噴射口 2 5 8可朝向所欲的 任何方向。在一實施例中,長管25 6包括一刻度銷(未示 )用以將長管2 5 6鎖固於開口 2 7 2之複數凹陷2 7 4其中之 —。在另一實施例中,管2 5 6的噴射口或孔2 5 2和刻度銷 對齊。因此當長管2 5 6組裝後,刻度銷鎖固在其中一個凹 陷2 7 4內,且管2 5 6中的噴射口 2 5 2朝向鎖固於凹陷內之 刻度銷所指示的方向。 例如,當長管2 5 6內的刻度銷鎖固在凹陷2 74A內時 ,噴射口 2 5 2朝向面對內襯2 3 2的內面。噴射口 2 5 2噴出 的氣體撞擊內襯壁,並在流經每一基材242表面之前先混 合。在另一實施例中,長管2 5 6內的刻度銷鎖固在凹陷 2 7 4 B內時,每一噴射管2 5 6的噴射口 2 5 2相向面對。噴 200531174 (30) 射口 2 5 2噴出的氣體相互撞擊,並在流經每一基材2 4 2表 面之前先混合。在又一實施例中,長管2 5 6內的刻度銷鎖 固在凹陷2 7 4 C內,所以噴射口 2 5 2朝向基材2 4 2的中心 。形成在開口內之凹陷的數目,可和所希望的數目一樣多 ,所以長管256可做三百六十度旋轉,並穩定在所欲的位 置,因此噴射口 2 5 2可朝向所欲的方向。 本發明的噴射系統使得噴射口具有充分的旋轉自由度 ,以提昇氣體之衝擊混合的動量傳輸,該動量傳輸在不同 的方法中可能會改變。影響氣體混合和流動方向之噴射口 或孔的方位,可以一刻度一刻度(run-to-run )的方式調 整,而不需修飾處理室。 ' ' 在一實施例中,本發明和具有突出段之交叉流內襯連 用。與本發明一起申請的美國專利申請第_號 案(代理人序號 3 3 5 8 6/US/1 ),描述交一叉流內襯,其 揭露在此全部倂入參考。圖2 5 -26顯示交叉流內襯2 7 6, 能和本發明噴射系統2 5 0連用。如圖所示,交叉流內襯 2 7 6包括具有封閉端2 8 0和開口端2 8 2的圓筒2 7 8。圓筒 2 7 8設有縱向突出段2 8 4以容置交叉流噴射系統2 5 0。在 突出段284的相對側,圓筒2 7 8設有縱向排列的複數橫向 槽2 8 6,以排放氣體和反應副產品。 交叉流內襯2 7 6的尺寸和形狀構造,配合晶圓載具 2 4 0和載具支撐座2 3 8的外形輪廓而設計。在一實施例中 ,內襯2 7 6包括尺寸適合晶圓載具2 4 0的第一段2 8 8、和 尺寸適合載具支撐座2 3 8的第二段2 9 0。第一段2 8 8和第 ^34- 200531174 (31) 二段2 9 0的直徑可不同,亦即內襯2 7 6可爲階梯狀,以分 別適合晶圓載具240和載具支撐座2 3 8。在一實施例中, 內襯2 7 6的第一段2 8 8具有的內徑約爲載具外徑的1 04% 至110% 。在另一實施例中,內襯276的第二段290具有 的內徑約爲載具支撐座2 3 8外徑的1 1 5 %至1 2 0 % 。第二 段2 9 0可設有一個以上的熱遮罩2 6 4,以保護例如〇型環 的密封免於被加熱元件過度加熱。具有縱向突出段284之 交叉流內襯27 6,可配合晶圓載具240的外形輪廓而製造 ’以縮小內襯2 76和晶圓載具240間的間隙。此有助於減 少內襯內壁和晶圓載具間的間隙區域內的漩渦和停滯,且 因此改善流動均勻性,凡此都可改善沉積薄膜的品質、均 勻性和重複性。 在圖27所示的一實施例中,二支長噴射管2 5 6組裝 在交叉流內襯2 7 6的突出段2 8 4內。旋轉並調整長管2 5 6 ,使噴射口 2 5 2朝向內襯2 7 6的內表面。如圖2 7所示, 噴射口 2 5 2噴出的氣體撞擊內襯壁,並在流經每一基材 2 4 2表面之前,先於突出段2 8 4內混合。在圖2 8所示的 另一實施例中,旋轉並調整兩支長管25 6,使噴射口 252 相向面對。如圖2 8所示,噴射口 2 5 2噴出的氣體相互撞 擊,並在流經每一基材242表面之前,先於突出段284內 混合。在圖2 9所示的又一實施例中,旋轉並調整兩支長 管2 5 6,使噴射口 2 5 2朝向基材2 4 2的中心。 下列的例子用以進一步例示本發明,且無意以任何形 式限制本發明的範圍。 -35- 200531174 (32) 例子1 此例子例示使用二氯甲矽烷(D C S )和氨氣之氮化矽 沉積,該沉積是在包括一本發明噴射系統的熱處理裝置內 執行。該噴射系統包含用以導入二氯甲矽烷氣體的第一噴 射管、和用以導入氣氣的第二噴射管。每一第一和第二噴 射管設有多個口或孔,以引導氣體流經過每一基材的表面FIG. 20 shows a heat treatment apparatus 230 according to an embodiment of the present invention, which includes a spray system 250. In order to simplify the description of the present invention, elements not closely related to the present invention are not shown in the drawings and the description. The device 2 30 generally includes a container 234 containing a processing chamber 236 having a support seat 2 3 8 adapted to receive a carrier 240 containing a batch of wafers 242 therein. The device 230 includes a heat source or furnace 244 to heat the wafer 2 4 2 to a desired heat treatment temperature. Cross-flow lining 2 3 2 is used to increase the concentration of processing gas or vapor near the wafer 2G -31-200531174 (28), and to reduce the deposits formed on the inner surface of the processing chamber 2 3 6 Pollution. The shape of the lining 2 3 2 is designed to fit the outer contour of the wafer carrier 240, and its size is designed to reduce the gap between the wafer carrier 240 and the lining wall. The inner liner 2 3 2 is mounted on the substrate 2 4 6 and sealed. A cross-flow spray system 2 50 is provided between the inner liner 2 3 2 and the wafer carrier 240. The gas is introduced through a plurality of injection ports or holes 2 5 2 on the wafer 242 and the carrier 240 side, and passes through the surface of the wafer in a laminar flow as described below. The lining 23 2 on the opposite side forms a plurality of grooves 2 5 4 to discharge gas or reaction by-products. The cross-flow injection system 250 includes more than one long injection tube, and FIG. 21 shows a long injection tube 2 56 according to an embodiment of the present invention. As shown in the figure, the long spray tube 2 5 6 is provided with a plurality of spray ports or holes 2 5 2. In one embodiment, the distance between each injection port 2 5 2 is such that after the injection tube is assembled, the height of each injection port 2 5 2 is between two adjacent wafers 242 supported by the wafer carrier 240. Therefore, the gas ejected from the injection port 2 5 2 will flow through the path formed by the two adjacent wafers. In another embodiment, the interval and number of each injection port or hole 2 5 2 of the injection pipe 2 5 6 are matched with the interval and number of each groove 2 5 4 of the lining, so excess gas and reaction by-products from the inside The corresponding groove of the liner is discharged. The injection system 250 of the present invention may include more than one long injection pipe 256 as shown in FIG. 21. The long jet tube 256 may be made of any metal, ceramic, crystal, or glass material that can withstand the thermal and mechanical stresses of local vacuum operation and is resistant to the gases and vapors used or released during processing. The spray tube is preferably made of opaque, translucent, or transparent quartz glass. In one embodiment, the spray tube is made of quartz. -32-200531174 (29) Figure 22 is a partial cross-sectional view of the heat treatment device 230, which shows the connection of the spray system 250 with a lining 2 32 and the substrate 24 6. The long injection pipe 256 is coupled to the injection inlet 262 in the substrate 246, and is sealed to the substrate through an O-ring 2 64. As shown in Fig. 23, the long injection pipe 2 5 6 engages the inner lining 2 3 2 by the holding block 2 6 6. The small 2 6 8 locks the clamping block 2 6 6 to the base plate 246. The reactant or other gas is introduced into the injection pipe 256 through the inlet 262. Fig. 24 is a partial plan view of a top plate 270 of the lining 232. The top plate 270 has a plurality of openings 272 for receiving more than one injection pipe 256. As shown in the figure, the opening 272 of the top plate 2 70 is provided with a plurality of depressions 2 74 to stabilize the long spray pipe 256 and direct the spray port 252 of the hall 256 in a specific direction. The three recesses 274 AC shown in each opening 272 are for illustration only. It should be understood that any number of recesses can be provided so that the long jet tube can be rotated and adjusted 360 degrees with respect to one axis, and the jet port 2 5 8 can In any direction you want. In one embodiment, the long tube 25 6 includes a graduated pin (not shown) for locking the long tube 2 5 6 to the plurality of recesses 2 7 4 of the opening 2 7 2. In another embodiment, the spray port or hole 2 5 2 of the tube 2 5 6 is aligned with the scale pin. Therefore, after the long tube 2 5 6 is assembled, the scale pin is locked in one of the recesses 2 7 4, and the spray port 2 5 2 in the tube 2 5 6 is oriented in the direction indicated by the scale pin locked in the recess. For example, when the scale pin in the long pipe 2 5 6 is locked in the recess 2 74A, the injection port 2 5 2 faces the inner surface facing the inner lining 2 3 2. The gas ejected from the injection port 2 5 2 hits the inner lining wall and is mixed before flowing through the surface of each substrate 242. In another embodiment, when the scale pin in the long tube 2 5 6 is locked in the recess 2 7 4 B, the spray ports 2 5 2 of each spray tube 2 5 6 face each other. Spray 200531174 (30) Jets 2 5 2 The jets of gas hit each other and are mixed before flowing through the surface of each substrate 2 4 2. In another embodiment, the scale pin in the long tube 2 5 6 is locked in the recess 2 7 4 C, so the spray port 2 5 2 faces the center of the substrate 2 4 2. The number of depressions formed in the opening can be as many as desired, so the long tube 256 can be rotated 360 degrees and stabilized at the desired position, so the spray port 2 5 2 can be oriented to the desired direction. The injection system of the present invention enables the injection port to have a sufficient degree of rotational freedom to enhance the momentum transmission of the impact mixing of the gas, which may be changed in different methods. The orientation of the injection ports or holes that affect the gas mixing and flow direction can be adjusted on a run-to-run basis without modifying the processing chamber. '' In one embodiment, the present invention is used in conjunction with a cross-flow liner having a protruding section. U.S. Patent Application No. _ (Agent No. 3 3 5 8 6 / US / 1) filed with the present invention describes a cross-flow lining, the disclosure of which is fully incorporated herein by reference. Figures 2-5 -26 show the cross-flow lining 27.6, which can be used in conjunction with the spray system 250 of the present invention. As shown, the cross-flow liner 2 7 6 includes a cylinder 2 7 8 having a closed end 2 80 and an open end 2 8 2. The cylinder 2 7 8 is provided with a longitudinally protruding section 2 8 4 to accommodate the cross-flow injection system 2 50. On the opposite side of the protruding section 284, the cylinder 2 7 8 is provided with a plurality of longitudinal grooves 2 8 6 arranged in a longitudinal direction to discharge gas and reaction by-products. The size and shape of the cross-flow lining 2 7 6 is designed to match the contours of the wafer carrier 240 and the carrier support seat 2 38. In one embodiment, the inner liner 2 7 6 includes a first segment 2 88 that is sized to fit the wafer carrier 2 4 0 and a second segment 2 9 0 that is sized to fit the carrier support 2 3 8. The first section 2 8 8 and the second section 34- 200531174 (31) The diameter of the second section 2 9 0 can be different, that is, the inner lining 2 7 6 can be stepped to fit the wafer carrier 240 and the carrier support 2 respectively. 3 8. In an embodiment, the first section 2 8 8 of the inner liner 2 7 6 has an inner diameter of about 104% to 110% of the outer diameter of the carrier. In another embodiment, the second segment 290 of the inner liner 276 has an inner diameter of about 15% to 120% of the outer diameter of the carrier support seat 2 3 8. The second section 290 may be provided with more than one thermal shield 264 to protect, for example, the seal of the o-ring from being overheated by the heating element. The cross-flow liner 276 with the longitudinally protruding section 284 can be manufactured according to the outline of the wafer carrier 240 to reduce the gap between the liner 2 76 and the wafer carrier 240. This helps reduce vortices and stagnation in the area of the gap between the inner wall of the liner and the wafer carrier, and therefore improves the flow uniformity, which can all improve the quality, uniformity and repeatability of the deposited film. In an embodiment shown in FIG. 27, two long injection pipes 2 5 6 are assembled in the protruding section 2 8 4 of the cross-flow lining 2 7 6. Rotate and adjust the long tube 2 5 6 so that the spray port 2 5 2 faces the inner surface of the inner liner 2 7 6. As shown in FIG. 27, the gas ejected from the injection port 2 5 2 hits the inner lining wall and is mixed in the protruding section 2 8 4 before flowing through the surface of each substrate 2 4 2. In another embodiment shown in Fig. 28, the two long tubes 25 6 are rotated and adjusted so that the injection ports 252 face each other. As shown in Fig. 28, the gases ejected from the ejection ports 2 5 2 collide with each other and are mixed in the protruding section 284 before flowing through the surface of each substrate 242. In still another embodiment shown in Fig. 29, the two long tubes 2 5 6 are rotated and adjusted so that the spray port 2 5 2 faces the center of the substrate 2 4 2. The following examples are provided to further illustrate the invention and are not intended to limit the scope of the invention in any way. -35- 200531174 (32) Example 1 This example illustrates a silicon nitride deposition using dichlorosilane (D C S) and ammonia gas, and the deposition is performed in a heat treatment apparatus including a spray system of the present invention. The injection system includes a first injection pipe for introducing dichlorosilane gas, and a second injection pipe for introducing gas. Each first and second spray tube is provided with a plurality of ports or holes to direct the gas flow through the surface of each substrate

在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的二氯甲矽烷和氨氣,在流經 每一基材的表面之前,先遠離晶圓並撞擊內襯內表面。 在另一種變化例中,旋轉並調整長管,使噴射口朝向 基材的中心。從噴射口噴出的二氯甲矽烷和氨氣,流經每 一基材的表面。In one variation, the long tube is rotated and adjusted so that the jet port faces the inner surface of the liner. The dichlorosilane and ammonia gas sprayed from the spray port are separated from the wafer and hit the inner surface of the liner before flowing through the surface of each substrate. In another variation, the long tube is rotated and adjusted so that the spray port faces the center of the substrate. Dichlorosilane and ammonia gas sprayed from the spray port flow through the surface of each substrate.

圖3 0是計算流體動力學的例不說明,其顯示噴射器 構造內之二氯甲矽烷和氨氣的均勻流,經過基材的表面。 噴射器的噴射口朝向基材的中心,創造徑向向內的氣體流 。在此案例中,二氯甲矽烷和氨氣的質量差較小(D C S二 101,NH3= 17 ),因此氣體速度較相似。 例子2 此例子例示使用二特丁基氨基矽烷(BTBAS ; bis t e r t b u t y ] a m i η 〇 s i 1 a n e )和氨氣之氮化矽沉積,該沉積是在 包括一本發明噴射系統的熱處理裝置內執行。該噴射系統 -36- 200531174 (33) 包含用以導入二特丁基氨基矽烷氣體的第一噴射管、和用 以導入氨氣的第二噴射管。每一第一和第二噴射管設有多 個口或孔,以引導氣體流經過每一基材的表面。 在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的二特丁基氨基矽烷和氨氣, 在流經每一基材的表面之前,先遠離晶圓並撞擊內襯內表 面。 在另一種變化例中,旋轉並調整長管,使噴射口朝向 基材的中心。從噴射口噴出的二特丁基氨基矽烷和氨氣, 在流經每一基材的表面之前,先撞擊和混合。 圖3 1是計算流體動力學的例示說明,其顯示噴射器 構造內之二特丁基氨基砂烷和氨氣的均勻流,經過基材的 表面。噴射器的噴射口朝相互面對,創造收斂的氣體流。 在此案例中,二特丁基氨基矽烷的分子量爲1 74,氨的分 子量爲1 7。二特丁基氨基矽烷和氨氣的重繞與混合,確 保氣體流經過晶圓時的均勻氣體速度,並導致在3 0 0毫米 之晶圓上’額外小於1 · 5 % ( 1 s i g m a )的晶圓內均勻度。 例子3 此例子例不使用三甲基銘(T M A ; t r i m e t h y 1 a 1 u m i n u m )和臭氧(〇3 )之氧化鋁(A1 203 )沉積,該沉積是在包 括一本發明噴射系統的熱處理裝置內執行。該噴射系統包 含用以導入三甲基鋁氣體的第一噴射管、和用以導入臭氧 氣體的第二噴射管。每一第一和第二噴射管設有多個口或 - 37- 200531174 (34) 孔,以引導氣體流經過每一基材的表面。 在一種變化例中,旋轉並調整長管,使噴射口朝向內 襯的內表面。從噴射口噴出的三甲基鋁和臭氧氣體,在流 經每一基材的表面之前,遠離晶圓並撞擊內襯壁。 在另一種變化例中,旋轉並調整長管,使噴射口相互 面對。從噴射口噴出的三甲基鋁和臭氧氣體,在流經每一 基材的表面之前,先撞擊和混合。 圖3 2是計算流體動力學的例示說明,其顯示噴射器 構造內之三甲基銘和臭氧氣體的均勻流,經過基材的表面 。噴射器的噴射口朝向內襯壁,創造徑向向外的氣體流。 二甲基鋁和臭氧的重繞與混合,確保氣體流經過每一晶圓 之表面時的均勻氣體速度。 以上關於本發明之特定實施例和例子的描述,僅係例 不或說明的目的而提出’且雖然本發明已經以先前的例子 做說明和圖解,但不能被解釋爲受限於此。上述例子並無 意耗盡或限制本發明於所揭露的精確模式,且基於上面的 教示’可在本發明的範疇內,做許多的修飾、改良、和變 化。本發明的範疇意欲藉由所附的申請專利範圍及其均等 者,含蓋此處所揭露者的上位領域。 【圖式簡單說明】 在閱讀上述詳細說明,配合附圖和以下所附的申請專 利範圍後,將更容易瞭解本發明的這些和各種其他特徵和 優點。 -38- 200531174 (35) 圖1是本發明具有底座加熱器之熱處理裝置的一實施 例的剖視圖,其提供一等溫控制容積,且使用了習知向上 流動的構造設計; 圖2是可用於圖1所示之熱處理裝置中的基板實施例 之透視圖; 圖3是本發明一實施例之熱處理裝置的局部剖示圖, 其具有底座加熱器和熱遮罩; 圖4是本發明一實施例中圖3所示底座加熱器和熱遮 罩的例示圖; 圖5是本發明熱遮罩之一實施例的例示圖,其包括具 有高吸收性的上層材料和具有高反射性的下層材料; 圖6是本發明熱遮罩之一實施例的例示圖,其具有冷 卻流道; 圖7是本發明熱遮罩和致動器之一實施例的透視圖; 圖8是本發明熱處理裝置之一實施例的局部剖視圖, 其具有一隔離板; 圖9是本發明處理室之一實施例的剖視圖,其具有底 座加熱器和磁性耦合晶圓旋轉系統; 圖1 〇是本發明熱處理裝置之一實施例的剖視圖,其 具有交叉流噴射系統; 圖1 1是本發明如圖]0所示之熱處理裝置之一實施例 的局部側剖示圖,顯示噴射器孔相對於內襯的位置和排放 槽相對於晶圓的位置; 圖1 2是本發明沿圖]〇之A-A線之熱處理裝置一實 - 39- 200531174 (36) 施例的局部平面圖,顯示從第一噴射器和第二噴射器之孔 噴出的氣體流,經過晶圓到排放口; 圖1 3是本發明沿圖1 0之A-A線之熱處理裝置另一 實施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口; 圖1 4是本發明沿圖1 〇之A - A線之熱處理裝置又一 實施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口; 圖1 5是本發明沿圖1 〇之A-A線之熱處理裝置再一 實施例的局部平面圖,顯示從第一噴射器和第二噴射器之 孔噴出的氣體流,經過晶圓到排放口;是本發明之一實施 例; 圖1 6是本發明熱處理裝置之一實施例的剖視圖,具 有另一種向上流動噴射系統; 圖1 7是本發明熱處理裝置之一實施例的剖視圖,具 有另一種向下流動噴射系統; 圖1 8是本發明用以熱處理一批次晶圓之方法的一實 施例流程圖,藉此將該批次中的每一晶圓快速且均勻地加 熱至所欲的溫度; 圖1 9是本發明用以熱處理一批次晶圓之方法的另一 實施例流程圖,藉此將該批次中的每一晶圓快速且均勻地 加熱至所欲的溫度; 圖2 0是本發明熱處理裝置之一實施例的剖視圖,其 具有一噴射系統; -40- 200531174 (37) 圖21是本發明長管之一實施例,其具有多個噴射口 圖22是本發明熱處理裝置之一實施例的局部剖面側 視圖,其顯示具有交叉流內襯之噴射系統和基板的連接; 圖2 3是本發明熱處理裝置之一實施例的局部剖面上 視圖,其顯示具有交叉流內襯之噴射系統和基板的連接; 圖24室內襯頂板的局部平面視圖,其顯示具有凹陷 的開口; 圖25是本發明交叉流階梯狀內襯之一實施例的外部 視圖,其顯示一縱向突出段; 圖2 6是本發明交叉流階梯狀內襯之一實施例的外部 視圖,其顯示在內襯內的多個排放槽; 圖2 7是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,在流經晶 圓和從排放槽排出之前,先撞擊內襯的內壁; 圖2 8是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,在流經晶 圓和從排放槽排出之前,先相互撞擊; 圖29是本發明具有突出段之交叉流內襯的噴射系統 一實施例的平面視圖,顯示從孔噴出的氣體流,朝向晶圓 的中心並從排放槽排出; 圖3 0是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有朝向基材中心之噴射 口的噴射系統,用以沉積氮化矽; -41 - 200531174 (38) 圖3 1是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有相互面對之噴射口的 噴射系統,用以沉積氮化矽;和 圖3 2是本發明熱處理裝置之一實施例的計算流體動 力學的例示,該熱處理裝置包括具有朝向內襯內壁之噴射 口的噴射系統,用以沉積氧化鋁。Fig. 30 is an example of computational fluid dynamics, which shows a uniform flow of dichlorosilane and ammonia gas within the structure of the ejector, passing through the surface of the substrate. The ejection port of the ejector faces the center of the substrate, creating a radially inward gas flow. In this case, the mass difference between dichlorosilane and ammonia is small (D C S di 101, NH3 = 17), so the gas velocities are similar. Example 2 This example illustrates a silicon nitride deposition using di-tert-butylaminosilane (BTBAS; bis t r t b u t y] a m i η s i 1 a n e) and ammonia gas, which is performed in a heat treatment apparatus including a spray system of the present invention. This injection system -36- 200531174 (33) includes a first injection pipe for introducing di-tert-butylaminosilane gas, and a second injection pipe for introducing ammonia gas. Each of the first and second spray pipes is provided with a plurality of ports or holes to direct a gas flow through the surface of each substrate. In one variation, the long tube is rotated and adjusted so that the jet port faces the inner surface of the liner. Di-tert-butylaminosilane and ammonia gas sprayed from the spray port are far away from the wafer and hit the inner surface of the liner before flowing through the surface of each substrate. In another variation, the long tube is rotated and adjusted so that the spray port faces the center of the substrate. Di-tert-butylaminosilane and ammonia gas sprayed from the spray port are impinged and mixed before flowing through the surface of each substrate. Figure 31 is an illustrative illustration of computational fluid dynamics, which shows a uniform flow of t-butylaminosarane and ammonia gas across the surface of the substrate within the injector structure. The ejector orifices face each other, creating a convergent gas flow. In this case, di-tert-butylaminosilane has a molecular weight of 1 74 and an ammonia molecular weight of 17. Rewinding and mixing of di-tert-butylaminosilane and ammonia ensures a uniform gas velocity as the gas flows through the wafer, and results in 'extra less than 1.5% (1 sigma) of 300 mm wafers In-wafer uniformity. Example 3 This example does not use aluminum oxide (A1 203) deposition of trimethy (TMA; trimethy 1 a 1 uminum) and ozone (〇3), and the deposition is performed in a heat treatment apparatus including a spray system of the present invention . The injection system includes a first injection pipe for introducing trimethylaluminum gas, and a second injection pipe for introducing ozone gas. Each of the first and second jets is provided with multiple ports or-37- 200531174 (34) holes to direct the gas flow through the surface of each substrate. In one variation, the long tube is rotated and adjusted so that the jet port faces the inner surface of the liner. The trimethylaluminum and ozone gas ejected from the ejection port were separated from the wafer and hit the liner wall before flowing through the surface of each substrate. In another variation, the long tube is rotated and adjusted so that the jets face each other. The trimethylaluminum and ozone gas ejected from the ejection port are impinged and mixed before flowing through the surface of each substrate. Figure 32 is an illustrative illustration of computational fluid dynamics, which shows a uniform flow of trimethylamine and ozone gas in the structure of the ejector, passing through the surface of the substrate. The ejector's spray port faces the lining wall, creating a radially outward gas flow. The rewinding and mixing of dimethyl aluminum and ozone ensures a uniform gas velocity as the gas flows across the surface of each wafer. The above description of specific embodiments and examples of the present invention has been presented for the purpose of illustration and not for the purpose of illustration ', and although the present invention has been described and illustrated with previous examples, it cannot be construed as being limited thereto. The above examples are not intended to exhaust or limit the invention to the precise mode disclosed, and based on the above teachings, many modifications, improvements, and changes can be made within the scope of the invention. The scope of the present invention is intended to cover the superordinate domains disclosed herein by the scope of the attached patent application and its equivalents. [Brief description of the drawings] After reading the above detailed description, in conjunction with the accompanying drawings and the scope of patents attached below, it will be easier to understand these and various other features and advantages of the present invention. -38- 200531174 (35) Fig. 1 is a cross-sectional view of an embodiment of a heat treatment device with a base heater according to the present invention, which provides an isothermal control volume and uses a conventional upward flow structure design; Fig. 2 is applicable to Fig. 1 is a perspective view of an embodiment of a substrate in a heat treatment apparatus shown in Fig. 1; Fig. 3 is a partial sectional view of a heat treatment apparatus according to an embodiment of the present invention, which has a base heater and a heat shield; Fig. 4 is an implementation of the present invention An example of a base heater and a heat shield shown in FIG. 3 in the example; FIG. 5 is an illustration of an embodiment of the heat shield of the present invention, which includes an upper layer material with high absorption and a lower layer material with high reflection Figure 6 is an illustration of an embodiment of a thermal shield of the present invention, which has a cooling channel; Figure 7 is a perspective view of an embodiment of a thermal shield and an actuator of the present invention; Figure 8 is a heat treatment device of the present invention A partial cross-sectional view of an embodiment having an isolation plate; FIG. 9 is a cross-sectional view of an embodiment of the processing chamber of the present invention, which has a base heater and a magnetically coupled wafer rotation system; FIG. A cross-sectional view of an embodiment having a cross-flow spraying system; FIG. 11 is a partial side cross-sectional view of one embodiment of the heat treatment device shown in FIG. The position of the groove relative to the wafer; Figure 12 is a partial plan view of the heat treatment apparatus of the present invention taken along the line AA of the present invention-39- 200531174 (36) embodiment showing the first ejector and the second ejector The gas flow ejected from the hole passes through the wafer to the discharge port. FIG. 13 is a partial plan view of another embodiment of the heat treatment device of the present invention along the line AA of FIG. 10, showing the flow from the first ejector and the second ejector. The gas flow ejected from the hole passes through the wafer to the discharge port; FIG. 14 is a partial plan view of another embodiment of the heat treatment device of the present invention along the line A-A of FIG. 10, showing from the first ejector and the second ejector The gas flow ejected from the hole passes through the wafer to the discharge port. FIG. 15 is a partial plan view of still another embodiment of the heat treatment device according to the line AA of FIG. 10 according to the present invention, showing from the first ejector and the second ejector. A gas stream ejected from the hole through the wafer The discharge port is an embodiment of the present invention; FIG. 16 is a cross-sectional view of one embodiment of the heat treatment apparatus of the present invention, with another upward flow spray system; FIG. 17 is a cross-sectional view of an embodiment of the heat treatment apparatus of the present invention, having Another downward flow spray system; FIG. 18 is a flowchart of an embodiment of a method for thermally treating a batch of wafers according to the present invention, thereby rapidly and uniformly heating each wafer in the batch to FIG. 19 is a flowchart of another embodiment of a method for heat treating a batch of wafers according to the present invention, thereby quickly and uniformly heating each wafer in the batch to a desired temperature Figure 20 is a cross-sectional view of an embodiment of a heat treatment apparatus of the present invention, which has a spray system; -40- 200531174 (37) Figure 21 is an embodiment of a long pipe of the present invention, which has multiple spray ports Figure 22 is A partial cross-sectional side view of an embodiment of the heat treatment device of the present invention, showing the connection of the spray system with a cross-flow lining and a substrate; FIG. 23 is a partial cross-sectional top view of an embodiment of the heat treatment device of the present invention, Shows the connection of the spray system with the cross-flow lining and the substrate; FIG. 24 is a partial plan view of the ceiling of the indoor lining showing a recessed opening; FIG. 25 is an external view of one embodiment of the cross-flow stepped lining of the present invention , Which shows a longitudinally protruding section; FIG. 26 is an external view of an embodiment of the cross-flow stepped lining of the present invention, showing a plurality of discharge grooves in the lining; FIG. A plan view of an embodiment of a cross-flow liner injection system, showing the gas flow ejected from the hole, before passing through the wafer and discharged from the discharge slot, hitting the inner wall of the liner; FIG. A plan view of an embodiment of an injection system lined with cross-flow segments, showing the gas flows ejected from the holes impacting each other before flowing through the wafer and being discharged from the discharge slot; A plan view of an embodiment of a flow-lined spray system showing a gas flow ejected from a hole toward the center of a wafer and discharged from a discharge tank; FIG. 30 is a calculation of one embodiment of the heat treatment apparatus of the present invention An example of body dynamics, the heat treatment device includes a spray system with a spray port facing the center of the substrate for depositing silicon nitride; -41-200531174 (38) Figure 31 is a calculation of an embodiment of the heat treatment device of the present invention An illustration of fluid dynamics, the heat treatment apparatus includes a spray system with mutually facing ejection ports for depositing silicon nitride; and FIG. 32 is an illustration of computational fluid dynamics of an embodiment of the heat treatment apparatus of the present invention. The heat treatment apparatus includes a spray system having a spray port facing the inner wall of the lining for depositing alumina.

【主要元件符號說明】[Description of main component symbols]

100 熱處理裝置 10 1 容器 1 02 處理室 104 支撐座 106 載具(晶舟) 1 08 晶圓 1 1 0 熱源(爐) 1 1 2〜1 1 2-3 加熱元件 114 熱電耦 116 噴射器 116 噴射器 1 1 6 A 噴射管 118 通口 120 內襯 1 20 處理室內襯 1 2 1 排放口(槽) -42- 200531174(39) 122 〇型環 124 基板 126 前級管道(排放口) 127 空腔 128 區域(處理區) 129 流道 13 0 底座 13 1 環 13 3 上凸緣 134 頂部 13 5 側壁 13 6 側部 13 7 底部 13 8 底部 139 、 143 回塡/淸洗氣體入口 1 40 絕緣塊 14 1 熱電耦 142 坩堝 144 通道 145 、 147 冷卻口 146 熱遮罩 148 臂 149 壓力監控口 1 50 旋轉軸100 Heat treatment device 10 1 Container 1 02 Processing chamber 104 Support base 106 Carrier (crystal boat) 1 08 Wafer 1 1 0 Heat source (furnace) 1 1 2 ~ 1 1 2-3 Heating element 114 Thermocouple 116 Ejector 116 Eject 1 1 6 A Spray pipe 118 Port 120 Lining 1 20 Treatment chamber lining 1 2 1 Drain port (slot) -42- 200531174 (39) 122 〇ring 124 Base plate 126 Foreline pipe (drain port) 127 Empty Cavity 128 area (processing area) 129 runner 13 0 base 13 1 ring 13 3 upper flange 134 top 13 5 side wall 13 6 side 13 7 bottom 13 8 bottom 139, 143 loop / wash gas inlet 1 40 insulation block 14 1 Thermocouple 142 Crucible 144 Channel 145, 147 Cooling port 146 Thermal shield 148 Arm 149 Pressure monitoring port 1 50 Rotary shaft

- 43- 200531174 (40) 151、 161 處理氣體入口 1 52 上層 153 熱遮罩組合體 1 54 下層 155 致動器 156 冷卻流道 15 8 隔離板 162 晶圓旋轉系統 1 64 旋轉機構 166 旋轉馬達 168 磁鐵 170 鋼環 1 72 驅動軸 174 磁鐵 176 磁鐵 180-2 孔 180-1 孔 180 孔 182-2 槽 182-1 槽 1 82 排放口(槽) 1 84 第一噴射器 1 86 第二噴射器 2 16-1 交叉流噴射器-43- 200531174 (40) 151, 161 Process gas inlet 1 52 Upper layer 153 Thermal mask assembly 1 54 Lower layer 155 Actuator 156 Cooling channel 15 8 Isolation plate 162 Wafer rotation system 1 64 Rotating mechanism 166 Rotating motor 168 Magnet 170 Steel ring 1 72 Drive shaft 174 Magnet 176 Magnet 180-2 Hole 180-1 Hole 180 Hole 182-2 Slot 182-1 Slot 1 82 Drain (slot) 1 84 First injector 1 86 Second injector 2 16-1 Cross Flow Ejector

-44 - 200531174 (41) 23 0 熱處理裝置 232 交叉流內襯 234 容器 23 6 處理室 23 8 支撐座 240 載具 242 晶圓 244 爐 246 基板 250 交叉流噴射系統 252 噴射口或孔 254 (橫向)槽 256 長噴射管 262 噴射入口 264 〇型環 266 夾持塊 268 鎖銷 270 頂板 272 開口 274A〜274C 凹陷 276 交叉流內襯 278 圓筒 280 封閉端 2 82 開口端-44-200531174 (41) 23 0 Heat treatment device 232 Cross-flow lining 234 Container 23 6 Processing chamber 23 8 Support seat 240 Carrier 242 Wafer 244 Furnace 246 Substrate 250 Cross-flow spray system 252 Spray port or hole 254 (transverse) Groove 256 Long injection pipe 262 Injection inlet 264 O-ring 266 Clamping block 268 Lock pin 270 Top plate 272 Opening 274A ~ 274C Depression 276 Cross-flow lining 278 Cylinder 280 Closed end 2 82 Open end

-45 200531174 (42) 284 突出段 28 8 第一段 290 第二段-45 200531174 (42) 284 protruding section 28 8 first section 290 second section

-46 --46-

Claims (1)

200531174 (1) 十、申請專利範圍 1.一種適於熱處理支撐在一載具內之複數基材的裝置 ,該裝置包含用以引導反應劑和其他氣體流經每一基材之 表面的一交叉流噴射系統,該交叉流噴射系統包含一或更 多長管,每一該長管可相對一軸做旋轉,且每一長管設有 複數噴射口。 2 ·如申請專利範圍第1項所述的裝置,其中該複數噴 射口成型在一直線上,且縱向分布在該一或更多長管中。 3 ·如申請專利範圍第1項所述的裝置,其中該一或更 多長管可相對一軸旋轉三百六十度。 4 ·如申請專利範圍第1項所述的裝置,更包含包覆該 載具的一交叉流內襯,其中該交叉流噴射系統設於該內襯 和該載具之間,且該交叉流噴射系統可旋轉三百六十度。 5 ·如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口朝向面對該內襯,致從該等噴 射口流出的氣體,在經過每一基材的該表面之前,先撞·擊 該內襯。 6 .如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口相互面對,致從該等噴射口流 出的氣體,在經過該基材之前,先相互撞擊。 7 ·如申請專利範圍第4項所述的裝置,其中旋轉該一 或更多長管,使該等噴射口朝向面對每一基材的中心。 8 .如申請專利範圍第4項所述的裝置,其中該交叉流 內襯包含具有一封閉端和一開口端的一圓筒,該圓筒設有 -Λ (- 200531174 (2) 一縱向突出段’且該交叉流噴射系統容置在該突出段內。 9 ·如申請專利範圍第8項所述的裝置,其中該交叉流 噴射系統包含容置在該突出段內的一或更多長管。 1 〇 ·如申請專利範圍第9項所述的裝置,其中該開口 端設有二開口,以容置該等長管。 1 1 ·如申請專利範圍第1 0項所述的裝置,其中該開口 _設有複數凹陷’以使該等噴射口朝向一預定方向。 12·—種用以熱處理固持在一載具內之複數基材的裝 置,該裝置包含: 一處理室,其提供給該等基材一處理區域; 一交叉流內襯,其包覆固持在該載具內的該等基材; 和 一交叉流噴射系統,其設於該載具和該交叉流內襯之 間,以引導一或更多氣體流經每一基材的該表面,該交叉 流噴射系統包含一或更多長管,每一該長管可相對一軸做 旋轉,且每一長管設有複數噴射口。 1 3 ·如申請專利範圍第1 2項所述的裝置,其中該處理 室的尺寸設計成可處理1至1 0 0片基材。 1 4 .如申請專利範圍第1 2項所述的裝置,其中該交叉 流噴射系統包含一第一長管和一第二長管,每一長管設有 形成在一線上且縱向分布在該管中的複數噴射口’其中每 一長噴射管可相對一軸做三百六十度旋轉。 1 5 .如申請專利範圍第1 4項所述的裝置,其中該交叉 流內襯包含具有一封閉端和一開口端的一圓筒’該圓筒設 -48- 200531174 (3) 有一縱向突出段,以容置該第一和第二長噴射管。 1 6 .如申請專利範圍第1 5項所述的裝置,其中該封閉 端設有開口,以容置該第一和第二長噴射管。 1 7 .如申請專利範圍第1 6項所述的裝置,其中該等開 口設有複數凹陷,且每一噴射管設有鎖固在一凹陷內的一 刻度銷,以使該第一和第二長噴射管中的該等噴射口,朝 向一預定方向。 1 8 ·如申請專利範圍第1 2項所述的裝置,其中該交叉 流內襯的形狀和尺寸可適合於該載具,且該交叉流內襯的 內徑約爲該等基材之直徑的1 0 4 %至1 1 0 % 。 1 9 .如申請專利範圍第1 8項所述的裝置,其中該交叉 流內襯設有複數槽,該等槽配合複數噴射口,用以排放氣 體。200531174 (1) X. Patent application scope 1. A device suitable for heat-treating a plurality of substrates supported in a carrier, the device comprising a cross for guiding reactants and other gases to flow through the surface of each substrate A stream injection system, the cross-flow injection system includes one or more long tubes, each of which can rotate relative to an axis, and each long tube is provided with a plurality of injection ports. 2. The device according to item 1 of the scope of patent application, wherein the plurality of injection ports are formed in a straight line and longitudinally distributed in the one or more long tubes. 3. The device according to item 1 of the patent application scope, wherein the one or more long tubes can be rotated 360 degrees with respect to one axis. 4. The device according to item 1 of the scope of patent application, further comprising a cross-flow lining covering the vehicle, wherein the cross-flow injection system is provided between the lining and the vehicle, and the cross-flow The jet system can be rotated 360 degrees. 5. The device according to item 4 of the scope of the patent application, wherein the one or more long tubes are rotated so that the jets face toward the lining, so that the gas flowing out of the jets passes through each Before hitting the surface of the substrate, the liner is bumped. 6. The device according to item 4 of the scope of patent application, wherein the one or more long tubes are rotated so that the ejection ports face each other, so that the gas flowing out of the ejection ports before passing through the substrate, Hit each other first. 7-The device according to item 4 of the scope of patent application, wherein the one or more long tubes are rotated so that the jets face the center facing each substrate. 8. The device according to item 4 of the scope of patent application, wherein the cross-flow lining comprises a cylinder having a closed end and an open end, the cylinder is provided with -Λ (-200531174 (2) a longitudinal protruding section ' And the cross-flow injection system is housed in the protruding section. 9-The device according to item 8 of the patent application scope, wherein the cross-flow injection system comprises one or more long tubes housed in the protruding section. 1 0. The device according to item 9 of the scope of patent application, wherein the open end is provided with two openings to accommodate the long pipes. 1 1 · The device according to item 10 of the scope of patent application, wherein the The opening is provided with a plurality of recesses so that the spray ports face a predetermined direction. 12 · —A device for heat-treating a plurality of substrates held in a carrier, the device comprising: a processing chamber provided to the And other substrates, a processing area; a cross-flow liner, which covers and holds the substrates in the carrier; and a cross-flow spray system, which is provided between the carrier and the cross-flow liner, To direct one or more gases through the table of each substrate The cross-flow injection system includes one or more long tubes, each of which can rotate relative to an axis, and each long tube is provided with a plurality of injection ports. 1 3 · As described in item 12 of the scope of patent application The device, wherein the size of the processing chamber is designed to process 1 to 100 substrates. 14. The device according to item 12 of the patent application scope, wherein the cross-flow spraying system includes a first long pipe and A second long tube, each of which is provided with a plurality of spray ports formed in a line and longitudinally distributed in the tube, wherein each of the long spray tubes can be rotated 360 degrees with respect to an axis. 1 5. If applied The device according to item 14 of the patent scope, wherein the cross-flow lining includes a cylinder having a closed end and an open end. The cylinder set-48- 200531174 (3) a longitudinal protruding section to accommodate the first The first and second long injection pipes. 16. The device according to item 15 of the scope of patent application, wherein the closed end is provided with an opening to accommodate the first and second long injection pipes. Device according to item 16 of the patent, wherein the openings are provided with a plurality of depressions And each spraying pipe is provided with a scale pin locked in a recess, so that the spraying ports in the first and second long spraying pipes face a predetermined direction. The device according to item 2, wherein the shape and size of the cross-flow lining can be adapted to the carrier, and the inner diameter of the cross-flow lining is about 104% to 1 1 of the diameter of the substrates. 0%. 19. The device according to item 18 of the scope of patent application, wherein the cross-flow lining is provided with a plurality of grooves, and the grooves cooperate with a plurality of ejection ports for exhausting gas. -49--49-
TW093128909A 2003-09-25 2004-09-23 Thermal processing system with cross flow injection system with rotatable injectors TWI250586B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50635403P 2003-09-25 2003-09-25
US10/946,849 US20050121145A1 (en) 2003-09-25 2004-09-21 Thermal processing system with cross flow injection system with rotatable injectors

Publications (2)

Publication Number Publication Date
TW200531174A true TW200531174A (en) 2005-09-16
TWI250586B TWI250586B (en) 2006-03-01

Family

ID=34396311

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093128909A TWI250586B (en) 2003-09-25 2004-09-23 Thermal processing system with cross flow injection system with rotatable injectors

Country Status (5)

Country Link
US (1) US20050121145A1 (en)
EP (1) EP1676294A4 (en)
JP (1) JP2007515054A (en)
TW (1) TWI250586B (en)
WO (1) WO2005031803A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412084B (en) * 2005-10-11 2013-10-11 Tokyo Electron Ltd A substrate processing apparatus and a substrate processing method

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP2006229040A (en) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd Method and apparatus for heat treatment
JP2007189077A (en) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd Heat treatment apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5211464B2 (en) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 Oxidizer for workpiece
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (en) * 2009-12-30 2012-09-19 应用材料公司 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
JP2013517616A (en) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101364701B1 (en) * 2011-11-17 2014-02-20 주식회사 유진테크 Apparatus for processing substrate with process gas having phase difference
KR101750633B1 (en) * 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (en) 2015-08-04 2018-01-11 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7315607B2 (en) * 2021-03-16 2023-07-26 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022002761A1 (en) * 2022-07-29 2024-02-01 centrotherm international AG Device for the thermal treatment of substrates, especially semiconductor wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (en) * 1981-07-24 1983-02-02 Fujitsu Ltd Chemical vapor growth method
JPS5972721A (en) * 1982-10-20 1984-04-24 Toshiba Corp Vapor phase growth device
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
GB2169003B (en) * 1984-11-16 1987-12-31 Sony Corp Chemical vapour deposition
EP0308946B1 (en) * 1987-09-22 1993-11-24 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
JP2683671B2 (en) * 1988-06-27 1997-12-03 東京エレクトロン株式会社 Film forming method and film forming apparatus on semiconductor substrate
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412084B (en) * 2005-10-11 2013-10-11 Tokyo Electron Ltd A substrate processing apparatus and a substrate processing method

Also Published As

Publication number Publication date
WO2005031803A3 (en) 2006-12-21
WO2005031803A9 (en) 2005-05-26
WO2005031803A2 (en) 2005-04-07
US20050121145A1 (en) 2005-06-09
EP1676294A4 (en) 2007-10-31
JP2007515054A (en) 2007-06-07
TWI250586B (en) 2006-03-01
EP1676294A2 (en) 2006-07-05

Similar Documents

Publication Publication Date Title
TW200531174A (en) Thermal processing system with cross flow injection system with rotatable injectors
US20050098107A1 (en) Thermal processing system with cross-flow liner
TW200416773A (en) Thermal processing system and configurable vertical chamber
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20070137794A1 (en) Thermal processing system with across-flow liner
JP3252960B2 (en) Semiconductor thin film deposition equipment for atomic layer epitaxy process
US8222574B2 (en) Temperature measurement and control of wafer support in thermal processing chamber
EP2311076B1 (en) Rapid thermal processing chamber with shower head
KR100241293B1 (en) Temperature controlling method and apparatus for rapid thermal process
US20070095284A1 (en) Gas treating device and film forming device
US7216496B2 (en) Heating medium circulating device and thermal, treatment equipment using the device
JP2008182228A (en) Temperature measurement and control of wafer support in thermal processing chamber
US9842753B2 (en) Absorbing lamphead face
US5370371A (en) Heat treatment apparatus
US10405376B2 (en) Apparatus and method for treating substrate
KR20070121756A (en) Substrate processing platform allowing processing in different ambients
JPH06302523A (en) Vertical thermal treatment equipment
US10508333B2 (en) Heating apparatus and substrate processing apparatus having the same
JP4346071B2 (en) Wafer mounting method on wafer holder to reduce thermal shock
WO2014176174A1 (en) Absorbing lamphead face
KR20050020757A (en) Thermal processing system and configurable vertical chamber
KR20230166386A (en) Apparatus for processing substrate and method for processing substrate using the same
KR200298458Y1 (en) Process chamber of semi conductor manufacturing equipment
JPH09153485A (en) Vapor growth device
JPH08162447A (en) Semiconductor manufacturing device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees