TW200416131A - Layered components, materials, methods of production and uses thereof - Google Patents

Layered components, materials, methods of production and uses thereof Download PDF

Info

Publication number
TW200416131A
TW200416131A TW092114914A TW92114914A TW200416131A TW 200416131 A TW200416131 A TW 200416131A TW 092114914 A TW092114914 A TW 092114914A TW 92114914 A TW92114914 A TW 92114914A TW 200416131 A TW200416131 A TW 200416131A
Authority
TW
Taiwan
Prior art keywords
item
layered
diffusion
scope
patent application
Prior art date
Application number
TW092114914A
Other languages
Chinese (zh)
Inventor
Nancy E Iwamoto
Michael E Thomas
Original Assignee
Honeywell Int Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell Int Inc filed Critical Honeywell Int Inc
Publication of TW200416131A publication Critical patent/TW200416131A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Abstract

A layered component is described that includes: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the low k dielectric material, wherein the diffusion blocking material is attracted to the low k dielectric material. A layered material is also described that includes: a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer comprising a plurality of diffusion blocking particles, wherein the particles have a article size that is larger than the pore diameter Methods of minimizing the diffusion of metal atoms into a material having a plurality of pores are further disclosed that include: providing a precursor material that comprises molecules having a molecule size that is larger than a pore diameter of any of the plurality of pores; providing a solvent carrier solution; combining the precursor material and the solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a layer of porous material.

Description

玖、發明說明: 【發明所屬之技術領域】 、本發明有關-種電子及半導體應用之層狀元件,製造其 、材料及方法’包含控制導電或性能降解原子及/或分子擴 欢入材料之底層及相鄰層及使該擴散達最小。 【先前技術】 過去20年來’積體電路形體尺寸減小約100倍,自10,000 奈=減小至約100奈米。對較大密度/較小尺寸、更大成本 放姐及較向性能推進之積體電路技術一般已忽略形體尺寸 對材料傳遞之效果。當《尺相収降低至低於約100奈 米原子規格之問題開始影響性能。例如,近來發展之利 用於高性能裝置之銅導體對形體尺寸展現阻抗依賴性… 丁預測未來2G年形體尺寸及製程複雜性並顯示如何選擇 材料及在層狀材料及元件性能中持續為—重要考量。 、此性能肇端另一實例已發展至具有介電常數⑷小於約3 《介電常數材料以符合現有及未來雙花紋蝕刻積體製程所 需之所有性質。為了降低該等材料之介電常數至低於約 3U-種被視為"低《介電材料,,或,,低介電常數材料”之材 ⑴’必㈣介電材料中導人經控制之孔隙度。由於所提供 《合成高溫材料具有其介電型質之基礎材料限制,因此孔 隙度為所需。原子規模之孔隙(尺寸約2奈米)必須约束在升 溫下保有之尺寸控制。該等多孔系統中氣態及原子傳遞必 ,研究並改良,因為在互連積體製程中該等材料經歷寬廣 乾園溫度及氣態加工。此外,低《介電之孔隙尺寸將需在 85850 200416131 最小互連形體尺寸之5%等級已提供用於隨後障壁/晶種沉 積之最小穿孔/壕溝側壁粗糙度。另一考量為即使無固有產 生之孔隙度,在提供用以傳遞材料之任何材料基質中存在 有自由體積。此”開放空間”存在於所有材料-無論孔隙係意 圖加入/在材料中產生。 先前技術圖1顯示模擬非晶型Si〇2-慣用之半導體金屬内 電介質·之原子排列在藉熱或CVD生長製程形成後長相如 何。先前技術圖2顯示非晶型氧化矽與數種氣體比較之孔隙 孔徑分布之對數-公稱模型(G.S. Nakayama及J.F. Shackelford, 非晶固體期刊(126),249-254 (1990))。雖然半導體工業執業 者數十年來例行地使用該材料及類似材料,但相當無法了 解的是有些氣體可輕易地移經此材料基值,尤其是在升溫 下之薄膜。Bairer檢視氣體擴散經融合氧化矽並顯示即使在 室溫下該傳遞可能有實質性(R.M. Barrer,Diffusion In and Through Solids,劍橋大學出版,1941)。收集該等結果並在 開始考量具有孔隙度之材料之前加以考量。先前技術圖3顯 示在聚甲基丙烯酸甲酯(PMMA)聚合物中電腦產生之原子 間隔排列,提供可能存在於聚合物材料中之自由空間概視 圖。材料内之自由空間可能易於擴散,視變數而異,如溫 度、晶格能、鏈硬度及其他鍵結力(其可決定原子結構排列)。 除了上述條件及製程以外,化學蒸鍍(後文稱為"CVD11) 製程尤其不利於材料及層狀材料,因為CVD製程係在高溫 氣態下進行-加速導電原子及分子滲透並移動至附近及/或 相鄰材料。 200416131 物種擴散入相鄰層如介電層將影響許多性能特徵並歸因 為,包含a)介電常數,b)蚀刻行為,c)化學機械加工(CMP) 殘存性,d)清潔行為及e)電氣信賴度。因此,擴散性及可信 賴地控制可能擴散性之材料及條件決定之分析將為開始著 手之有利分析。最後,用以決定材料是否具有可能擴散性 (材料之有效黏度及孔隙直徑)之方法之一必須加以研究、計 算、考證及彼此組合以決定擴散常數-其為材料擴散入底下 材料之擴散性指標。相關理論述於”Transport Considerations in Porous Low K and Metal Interconnect Systems Approaching Atomic Dimensions",由 Michael E. Thomas,Douglas M. Smith, Stephen Wallace及Nancy Iwamoto (IITC,2001 年 6 月 3_5 日, 舊金山,CA)。一旦完成分析,辨別及組裝適當/適宜層狀 材量、單層材料及元件將可理想地增加積體電路及相關元 件之性能,同時增加材料及/或元件設計及製造期間之成本 效益。此外,一旦決定材料之有效黏度及材料之孔隙直徑, 該材料必須被評估以決定是否需要其他材料層、相對元件 或積體元件或可添加或偶合至相關材料及潛在高的擴散層 或材料之間。 【發明内容】 本文描述一種層狀元件,包含:基材;具有數個孔隙之 介電材料,其中該材料偶合至該基材;及偶合至該介電材 料之擴散阻斷材料,其中該擴散阻斷材料被牵引至該介電 材料。 其他具體例中,描述一種層狀材料,包含:具有數個孔 85850 -9- 200416131 隙之介電材料,其中各孔隙具有孔隙直徑;及包括數個擴 散阻斷顆粒之層,其中該顆粒之粒徑大於該孔隙直徑。 又另一具體例中,揭示一種使金屬原子擴散入具有數個 孔隙 < 材料中之擴散達最小之方法,包含··提供前驅物材 料’其包括具有分子尺寸大於任何數個孔隙之孔隙直徑之 分子;提供溶劑載體溶液;組合前驅物材料及溶劑載體溶 液形成擴散阻斷反應性溶液;及將擴散阻斷反應性溶液施 加至多孔材料層。 【實施方式】 本文描述層狀元件、單層元件及材料,其包括可有效降 低導電及/或金屬原子及/或分子擴散入底下材料(如多孔介 電層)之至少一層或材斜。基本上,如前述,所有材料包括 可允許擴散及隨後污染金屬原子、導電材料或其他不期望 材料及原子進入該底下/多孔層之數個孔隙或孔洞。(參見 nMOSFET特性及信賴度之銅污染誘發之降解,,,m. inoham, H_ Sakurai,Τ· Yamaguchi,Η· Tomita, T. Iijima,Η· Oyamatus, Τ· Nakayama,H.Yoshimura及 Υ· Toyoshima, 2000 年座談會 VLSI技術論文概述)。 如前述,一旦選擇材料作為欲併入層狀材料中之單層材 料或元件,必須計算可能擴散性。為了適當決定可能擴散 性,必須回顧並研究擴散原子及/或分子之移動及臨界濃 度。移動及臨界濃度之問題可由數種不同配合加以研究, 包含製作模型及模擬或應用擴散理論。 獲得可能擴散性配合之一方式為模擬典型之移動情節, -10- 85850 200416131 如包括偶合至有機電介質之銅之層狀材料。當利用分子模 型檢視相鄰於有機電介質之㈣之㈣安定性時(其中該 ,狀材料可在7GGK之標的溫度下平衡),發現銅移動可被= 2 ’視介電材料孔徑、由介電材料所形成之介面層密度或 電介質密度而定。圖4顯示所欲層狀材料之孔徑相對=移 動速率之模擬。如所示,依據該模擬,孔徑需小於25埃以 降低或阻止移動。圖5顯示所欲層狀材料之介電層密度相對 於鋪移動速率。如所示,介電層密度需在0.3至0.4克又/毫升 左右以降低或阻止移動(此包含圖6所示之介面區域 ’巧示所欲層狀材料之緊鄰接於銅層之介電材料(電介質表 面上端2-5Α)密度(介面C密度)相對於銅移動速率。如所示, 介面C密度需在1.5克/毫升左右以降低或停止移動。如所建 議,鄰接銅介面之中間表面在降低或停止移動傾向方面具 重要性。類似結果已發現於無機介電材_。模型實驗進一 步顯示藉由改變介面取代物之選擇,該介面密度可增加以 避免無機及有機材料兩者之移動。該介面密度可藉^附 接至王要介電結構之懸垂基或來自調配物或來自介電形成 後製程如CVD、ALD、電㈣刻或液體及溶劑製程如濕蚀 刻、清洗、CMP(化學機械拋光)(其具有涉及之溶劑且可潛 在地承載反應性基至電介質中)之反應性成分而改變。 獲得可能擴散性配合之另一方法係使用擴散理論,如早 期所提示者。擴散理論包含研究氣體傳遞過材料之速率, 其為材料滲透性。特定言之,,,滲透性"一詞定義為材料在 壓力έ別下流經厚度X之材料單位結面積之-指數。滲透性與 85850 -11 - 方私式(1)之擴散係數乘以材料中存在之擴散物種溶解度有 關: P^D X S ⑴ /、中· P材料滲透性(原子·托耳) D=擴散係數(cin2/秒) S j解度(原子/固體之cm3_托耳) 對氣體擴散以達穩定狀態之膜使用下列表示法(方程式 (2))可獲得與擴散係數D及氣體溶解度s有關之典型活化 ^β=χ2/6Ό (2) 其中:χ=膜厚度(cni) tLag=建立穩定態擴散所需之擴散時間(秒) D=擴散係數(cm2/秒) D可自達到穩定狀態所需時間使用方程式(2)計算。(w.说明 Description of the invention: [Technical field to which the invention belongs] The invention relates to a layered component for electronic and semiconductor applications, manufacturing thereof, materials and methods' including controlling conductive or performance degradation of atoms and / or molecules into materials The bottom layer and adjacent layers minimize this diffusion. [Prior art] In the past 20 years, the size of the integrated circuit has been reduced by about 100 times, from 10,000 nanometers to about 100 nanometers. For larger density / smaller size, greater cost, integrated circuit technology that promotes performance and performance has generally ignored the effect of shape size on material transfer. When the problem of the ruler phase reduction fell below about 100 nm atomic specifications began to affect performance. For example, the recently developed copper conductors used in high-performance devices exhibit impedance dependence on the size of the body ... Ding predicts the size and process complexity of the body in the next 2G years and shows how to choose materials and continue to play a role in layered materials and component performance—important Consider. Another example of this performance has been developed to have a dielectric constant ⑷ less than about 3 "dielectric constant material to meet all the properties required for the current and future double-patterned etched integration process. In order to reduce the dielectric constant of these materials to less than about 3U-kinds of materials that are considered " lower dielectric materials, or, low dielectric constant materials " Controlled porosity. Due to the limitations of the basic materials provided by synthetic high-temperature materials with their dielectric properties, porosity is required. Atomic-scale pores (about 2 nm in size) must be constrained by dimensional control maintained at elevated temperatures The gaseous and atomic transfer in these porous systems must be researched and improved because these materials undergo a wide range of dry temperature and gaseous processing during the interconnection process. In addition, the low dielectric pore size will need to be 85850 200416131 5% of the minimum interconnect size has been provided for minimum perforation / ditch sidewall roughness for subsequent barrier / seed deposition. Another consideration is that even if no porosity is inherently generated, any material matrix is provided to transfer the material There is free volume in this. This "open space" is present in all materials-regardless of the pore system intended to be added / generated in the material. Prior art Figure 1 shows the simulation of amorphous Si02-the usual What is the appearance of the dielectric atoms in a semiconductor metal after formation by heat or CVD growth processes? Figure 2 of the prior art shows the logarithmic-nominal model of the pore size distribution of amorphous silicon oxide compared to several gases (GS Nakayama and JF Shackelford Journal of Amorphous Solids (126), 249-254 (1990)). Although semiconductor industry practitioners have routinely used this material and similar materials for decades, it is quite unknown that some gases can easily move through this material. Base values, especially for thin films at elevated temperatures. Baier examined gas diffusion through fused silica and showed that the transfer might be substantial even at room temperature (RM Barrer, Diffusion In and Through Solids, Cambridge University Press, 1941). Collected These results are taken into account before starting to consider materials with porosity. Prior art Figure 3 shows computer-generated atomic spacing arrangements in polymethyl methacrylate (PMMA) polymers, providing information that may exist in polymer materials. Free space overview. Free space within a material may easily diffuse, depending on variables such as temperature, lattice , Chain hardness, and other bonding forces (which can determine the arrangement of the atomic structure). In addition to the above conditions and processes, the chemical vapor deposition (hereinafter referred to as " CVD11) process is particularly detrimental to materials and layered materials, because the CVD process system Under high temperature gas state-accelerate the penetration of conductive atoms and molecules and move to nearby and / or adjacent materials. 200416131 The diffusion of species into adjacent layers such as dielectric layers will affect many performance characteristics and is attributed to, including a) the dielectric constant, b) etching behavior, c) chemical mechanical processing (CMP) survivability, d) cleaning behavior, and e) electrical reliability. Therefore, analysis of diffusibility and reliable control of possible diffusibility of materials and conditions will begin to begin. Favorable analysis. Finally, one of the methods used to determine whether a material has the potential for diffusivity (effective viscosity and pore diameter of the material) must be studied, calculated, verified, and combined with each other to determine the diffusion constant-it is the diffusivity index for the material to diffuse into the underlying material. . Related theories are described in "Transport Considerations in Porous Low K and Metal Interconnect Systems Approaching Atomic Dimensions" by Michael E. Thomas, Douglas M. Smith, Stephen Wallace, and Nancy Iwamoto (IITC, June 3-5, 2001, San Francisco, CA) Once the analysis is completed, identifying and assembling appropriate / suitable layered materials, single-layer materials and components will ideally increase the performance of integrated circuits and related components, while increasing the cost-effectiveness of materials and / or component design and manufacturing. In addition, once the effective viscosity of the material and the pore diameter of the material are determined, the material must be evaluated to determine if other material layers, opposing elements or integrated elements are needed or can be added or coupled to the relevant materials and potentially high diffusion layers or materials. [Summary of the Invention] A layered element is described herein, including: a substrate; a dielectric material having a plurality of pores, wherein the material is coupled to the substrate; and a diffusion blocking material coupled to the dielectric material, wherein The diffusion blocking material is drawn to the dielectric material. In other specific examples, it is described A layered material comprising: a dielectric material having a plurality of holes 85850 -9- 200416131, wherein each pore has a pore diameter; and a layer including a plurality of diffusion blocking particles, wherein the particle diameter is larger than the pore diameter In yet another specific example, a method for minimizing the diffusion of metal atoms into a material having several pores < is disclosed, including providing a precursor material 'which includes pores having a molecular size greater than any number of pores A molecule with a diameter; providing a solvent carrier solution; combining a precursor material and a solvent carrier solution to form a diffusion-blocking reactive solution; and applying the diffusion-blocking reactive solution to a porous material layer. Layer elements and materials that include at least one layer or slant that can effectively reduce the diffusion of conductive and / or metal atoms and / or molecules into the underlying material (such as a porous dielectric layer). Basically, as previously mentioned, all materials include allowable diffusion And subsequent contamination of metal atoms, conductive materials or other undesirable materials and atoms into the pores of the underlying / porous layer Or holes. (See degradation induced by copper contamination of nMOSFET characteristics and reliability ,, m. Inoham, H. Sakurai, T. Yamaguchi, T. Tomita, T. Iijima, T. Oyamatus, T. Nakayama, H. Yoshimura and Υ · Toyoshima, 2000 Symposium Overview of VLSI Technical Papers.) As mentioned earlier, once a material is selected as a single-layer material or component to be incorporated into a layered material, the possible diffusivity must be calculated. In order to properly determine possible diffusivity, the movement and critical concentration of diffusing atoms and / or molecules must be reviewed and studied. The problems of movement and critical concentration can be studied in several different combinations, including making models and simulating or applying diffusion theory. One way to obtain a possible diffusive fit is to simulate a typical mobile scenario, such as a layered material including copper coupled to an organic dielectric. When the molecular model was used to examine the stability of the osmium adjacent to the organic dielectric (where the material can be balanced at the standard temperature of 7GGK), it was found that copper movement can be determined by = 2 'depending on the aperture of the dielectric material, It depends on the density of the interface layer or the dielectric density. Figure 4 shows a simulation of the relative pore size of the desired layered material = the rate of movement. As shown, according to this simulation, the aperture needs to be less than 25 Angstroms to reduce or prevent movement. Fig. 5 shows the dielectric layer density of the desired layered material with respect to the paving speed. As shown, the density of the dielectric layer needs to be about 0.3 to 0.4 g / ml to reduce or prevent movement. (This includes the interface area shown in Figure 6 'which shows that the desired layered material is close to the dielectric of the copper layer. The density of the material (the upper end of the dielectric surface 2-5A) (the density of the interface C) relative to copper. As shown, the density of the interface C needs to be about 1.5 g / ml to reduce or stop the movement. As suggested, it is adjacent to the middle of the copper interface The surface is important in reducing or stopping the tendency to move. Similar results have been found in inorganic dielectric materials. Model experiments have further shown that by changing the choice of interface substitutes, the interface density can be increased to avoid both inorganic and organic materials The interface density can be ^ attached to the overhanging base of Wang Yao's dielectric structure or from formulations or from post-dielectric formation processes such as CVD, ALD, electrical engraving or liquid and solvent processes such as wet etching, cleaning, CMP (Chemical mechanical polishing) (which has a solvent involved and can potentially carry reactive groups into the dielectric) varies. Another method of obtaining a possible diffusive fit is to use Diffuse theory, as suggested earlier. Diffusion theory involves studying the rate at which gas passes through a material, which is the permeability of the material. In particular, the word "permeability" is defined as the material flowing through the thickness X under pressure. The index of the unit junction area of the material. The permeability is related to the 85850 -11-square private formula (1) multiplied by the solubility of the diffusive species present in the material: P ^ DXS ⑴ /, medium · P material permeability (atomic · Torr) D = Diffusion coefficient (cin2 / sec) S j solution (atomic / solid cm3_Tor) The diffusion coefficient can be obtained by using the following expression (equation (2)) for a film that diffuses gas to a steady state. Typical activation related to D and gas solubility ^ β = χ2 / 6Ό (2) Where: χ = film thickness (cni) tLag = diffusion time (seconds) required to establish steady state diffusion D = diffusion coefficient (cm2 / s) D can be calculated from the time required to reach a steady state using equation (2). (W.

Jost,Diffusion,第 3版,學院出版社,196(^H Daynes,proc. Royal Society,A(97) 286 (1920))。在穩定態與 D一起測量之 滲透性P獲得材料中氣體之溶解度s。方程式(2)具高度情 報,因為就傳遞氣體或其他材料通過電介質或厚度χ之金屬 膜而言,其顯示何種擴散係數與材料無關。 圖7顯示對傳遞氣體、液體或固體物種經過各種厚度χ之 材料獲得之材料擴散係數值範圍之"主要曲線",依據方程 式(2)歷時60秒之時間。該等條件為典型之單晶圓製程時 間。圖7Α顯示圖7所示之相同”主要曲線”,但強調小氣體分 子傳遞通過層間介電質(ILDs)之過程。依據圖7及7Α之資 85850 -12- 200416131 月疋也,、>、示互連材料將需要帶有極小之擴散係數以阻 抗加工期間材科中可感知之氣態、液態或固體滲透性。亦 ▲月右材料之側向或垂直尺寸僅為】〇〇奈米#,則材料需具 有小於約1G.W/秒之擴散係數以避免前述物種完全擴散 傳遞經過該材料。 、右已估算擴散之活化能(或晶格移動活化能,W,可作成 擴散係數之評估: D=(kT/h)d2exp[.Ea/RT] 其中二為擴散係數,d為低凡電介質中晶格間隔或孔隙尺 寸。藉由重組此方程式以觀看孔隙尺寸: d2=Dh/[kTexp(-E/RT)] 接著使其與律及StGkes定律比較以觀察擴散 劑尺寸: r=kT/[6(pi)nD] (其中r為擴散劑半徑,黏度及D為擴散係數),吾人可使 ㈣等關聯性觀察介電基質藉由黏度之影響。❹最小孔 徑20埃之此分析獲得最大d為約iXHr9m2/秒。 使用顯示孔隙直徑與擴散劑半徑时量關聯性之該等相 同万程式,對擴散劑直徑及孔隙直徑在M〇〇埃之範圍内, 亦可評估所需黏度在大於1GG Pa•秒,其亦假定^劑μ 大於該孔隙直徑。 二 亦可評估可用之黏度範圍,如已知者,在特定例中 散?直徑必須大於孔隙直徑’且在所欲具體例中,在麗 及最大形體尺寸1()〇埃之最小製程時間為60秒(延遲時間)。 85850 -13- 200416131 亦即,理論延遲時間約a2/6D其中a為形體尺寸及延遲時間至 少60秒。藉由比較擴散劑及孔隙直徑,顯見黏度可能大於 100 Pa-秒(圖 8及 9)。 亦已發展更可罪之係數範圍。依據模型實驗,孔隙可因 抗相鄰原子及/或分子移動而阻斷。因此擴散係數及基質性 質之改變可證明一旦建立材料構型,則可藉分析有效黏度 之理論關聯性而阻斷移動,其可藉檢視條件而界定。 組合擴散係數及黏度間之關聯性與界定黏度及平均_自 由路徑間之關聯性之定義: D=RT/N6 7Γ nr n= p cL/2及 2) 7Γ (2r)2x] 其中L=平均自由路徑 r=顆粒半徑 c=顆粒速度 n=黏度 p =密度 x=顆粒濃度 產生程式11=:以/[2(/2)7!:(2〇2叉]及0=[211丁]/[_冗^21^], 其導致理論關聯性回至模擬並描述擴散係數、黏度、顆粒 速度及平均路徑及顆粒濃度之關聯性。顆粒速度係依據模 型及平均顆粒速度;及滲漏電流之臨界顆粒濃度為1015原子 /cc(參見前述引證之”m〇SFet特性及信賴度之銅污染謗發 之降解。因此現在建立一種方法以評估若在基質内有足 85850 -14- 200416131 夠密度以停止以平均自由路徑論證為主之移動則何者 效黏度:檢視該等關聯性提示對顆粒質徑大於或等於孔隙 直徑而言,擴散係數必須小於lxl0.13m2/秒且必須為:: 之對應”有效黏度"。亦提示為了獲得低於臨界濃度必^ 顆粒直徑在l埃之範圍,及有效黏度在任何時候均在大 利.5 Pa_秒之範圍’如圖1〇所示。再者,銅移㈣埃層之 模型顯示銅原子以約524111/秒移動。 曰 然而’若技術背景中所討論之合理製程時間與溫度被維 持,則擴散係數甚至必須小於lxl〇-um2/秒及有效黏度確實 可能需要大於50 Pa·秒。此資訊概略與最初分析相符,但係 對比最初評估者遠為較低之有效擴散絲而不考慮顆粒濃 度而言。該等範圍對擴散係數以及對預期黏度及標的擴散 劑半徑而言為合理範圍,如圖n所示(參見7及1〇叫。 圖13顯示可通過聚合物材料及氧切之數種標準氣體之 擴散係數作圖。該數據顯示在擴散性方面約有5等級之倍數 增加,當聚合物自室溫加熱至约45(rc時。甚至在饥,該 氣體擴散性係高至在大部分固體聚合物材料中时質上I 於約60秒之時間内發生傳遞。 對高溫低/C材料如有機聚合物(聚驢亞胺)、無機聚合物 (聚石夕氧燒’ PDMS)或甚至非晶型氧化石夕而言,證明小的氣 體分子在典型製程時間内可容易地移經該材料。諷刺地, 若此狀況不存在,則將難以利用揮發性多孔原(p_gen)(用 乂 Φ成孔隙)形成多孔低《介電材料,其中反應產物必須快 速離開S材料。氣體及其他原子物種亦可能流經非常薄(約 85850 -15- w叫16131 3奈米)之障壁金屬(假設未來可使用於半導體應用)。 使用本又前述之該資訊、方程式及模型實驗,已可設計 本文所欲之層狀元件,包括··基材;具有數個孔隙之;電 材料,其中該材料偶合至該基材;及偶合至該介電材料之 擴散阻斷材料,其中該擴散阻斷材料被牵引至該介電材 科:本文所欲之層狀元件亦可包括不在元件上之層狀擴散 阻斷材料,但代之可用以,,阻斷"個別孔隙/穿孔且未覆蓋整 個展下層。有些具體例中,擴散阻斷材料將與底下之介電 才料或層反應’且其他具體例中,該擴散阻斷材料與底下 介電材料或層不具反應性。其他具體例中,擴散阻斷材料 U下之低/C介電材料或層反應,及其他具體例中,該 擴政阻斷材料與展下之低《介電材料或層不具反應性。 又另一具體例中,所欲之擴散阻斷層狀元件可由密實層 =料或低/C材料所構成或含有以可阻斷物種擴散之方式密 :::材料或低“才料之相·分離元素。又另一具體例中, =論是否具反應性,該_材料可在電介f表面使用表面 二自私’丨貝及阻斷材料)、吸附、吸收、溶解度、黏著性 ,力及阻斷材料之分子結構並作為機械驅動物 組裝。 % 所欲具體例中,當與不包括偶合至介電材料及/或低介電 1科<擴散阻斷劑相較,擴散阻斷劑作用使移動原子及/或 :子明顯降低。移動原子及/或分子明顯降低需了解意指當 ^不包括偶合至介電材料及/或低介電材料之擴散阻斷劑 相車父’移動原子及/或分子至少降低約51%。其他所欲具體 85850 -16- 200416131 例中,當與不包括偶合至介電材料及/或低介電材料之擴散 阻斷劑相較’該擴散阻斷劑使移動原子及/或分子至少降低 約60%。又另一所欲具體例中,當與不包括偶合至介電材料 及/或低介電材料之擴散_劑相較,該擴散_劑使移動 原子及/或分子至少降低約75%。較佳具體例中,當與不包 括偶合至介電材料及/或低介電材料之擴散阻斷劑相較,該 擴散阻斷劑使移動原子及/或分子至少降低約9〇%。甚至更 佳具體例中,當與不包括偶合至介電材料及/或低介電材料 (擴散阻斷劑相較,該擴散阻斷劑使移動原子及/或分子至 少降低約95%。 然而,本文更重要理論之一為擴散阻斷材料、顆粒、分 子或化合物可a)與孔隙壁反應,b)擴散入孔隙中並在壁上或 與壁反應而降低孔隙直徑,i因此不會使比新的孔隙直徑 更小I物質擴散進去,c)擴散入孔隙中,於孔隙中膨脹而 阻斷孔隙且因此因為尺寸而使本身無法進一步擴散;旬擴 散入孔隙中,在孔隙内部膨脹並在孔隙壁或與孔隙壁反 應;e)降低有效孔隙直徑;〇阻斷孔隙並降低有效擴散常數 及/或g)其組合。有些較佳具體例中,使用可附接至環之硬 質分子。該等分子經熱活化而獲得環膨脹(尺寸膨脹)。開環 轉化反應亦可用於數種具體例中。其他較佳具體例中,可 使用附接至高度分支鏈之層之硬質核心分子。該硬質核心 及分支結構係選擇成熱、機械或其他力無法適當產生易接 近之自由空間供擴散。其他具體例中,可使用具有顆粒及/ 或擴散阻斷材料之溶液或稀溶液,其具有比表面底下孔隙 -17- 85850 直徑或表面易接近之自由空間更大之尺寸。 亦而了解擴政阻斷材料或元件可設計成阻斷氣體、液 i屬及其他不期望材料擴散入底下層或材料中。該氣 體、液體、金屬及其他/ _ k 他/頜外不期望材料可藉一般用於電子 材料發展及加工之任何製程沉積,包含CVD/ALD(原子層沉 積)沉積、液體清洗及介電材料触刻、氣態熱加工及氣體敍 刻右擴政阻斷材料藉個別製程施加至介電材料形成製程 中山、亦可藉包予製造所用之該等相同製程進行。 本文所伙之表面可包括任何所需之實質上為固體之材料 如基材、晶圓或其他適宜表面。特別所需之基材層將包括 薄膜、有機聚合物、無機聚合物、玻璃、陶瓷、塑膠、金 屬或塗佈金屬、或複合材料。表面及/或基材層包括至少一 層且在有,例中包括數層。較佳具體例中,該基材包括發 或申化鍺板角或晶圓表面、封裝表面如錄銅、銀、錄或金 (引導框*所見者、銅表面如電路板或封裝互連軌跡所見 者、穿孔-壁或較硬介面(”鋼"包含考量裸銅及其氧化物)、 聚合物為主之封裝或板介面如聚酿亞胺為主之撓曲封裝、 錯或其他金屬合金焊接球表面、玻璃及聚合物如聚酿亞 胺、BT及FR4。更佳具體例中,該基材包括積體電路工業 及封裝及電路板工業所用之材料如石夕、銅、玻璃及其他聚 合物。本文所欲之谪舍本 週且表面亦可包含其他先前形成之層堆 =〃他層狀7C件、或其他元件。此實例可為其中介電材 声:c:障壁層首先鋪陳為層狀堆疊其被视為隨後旋 層狀兀件之”表面”。 — 85850 -18 - 200416131 至少一層偶合至該表面或基材。本文所用之’’偶合’’意指 表面及層或兩層物理性地彼此附接或物質或元件之兩部分 間有物理引力,包含鍵結力如共價鍵及離子鍵,及非鍵結 例如凡德瓦爾力(Van der Waals)、靜電、庫偷力、氫键及/ 或磁性引力。又,本文所用之偶合一詞意指包含其中表面 及層或兩層彼此直接附接之情況,但該詞亦意指包含其中 表面及層或數層彼此間接偶合之情況,如當表面及層間具 有黏著促進層或其中表面及層或數層間有其他層之情況。 本文所用之”低介電常數”意指在1MHz至2GHz測量之介 電常數,除非另有說明。期望低介電常數材料或層之介電 常數值小於約3。較佳具體例中,低介電常數材料或層之值 小於約2.5。更佳具體例中,低介電常數材料或層之值小於 約2。 所欲之介電及低介電材料包括無機為主之化合物如矽為 主(揭示於共同讓渡之US專利6,143,855及未審定之US專利 號 10/078919 (2002 年 2 月 19 日申請));(例如 Honeywell NANOGLASS®及HOSP®產品)、鎵為主、鍺為主、砷為主、 硼為主之化合物或其組合,及有機為主之化合物如聚醚、 聚伸芳基醚(揭示於共同讓渡之US專利6,124,421)(如 Honeywell之FLARE™產品)、聚醯亞胺、聚酯及金剛烷為主 或籠型為主之化合物(揭示於共同讓渡之WO 01/78110及 WO 01/08308)(如Honeywell之GX-3™產品)。該介電及低介 電材料可藉使該材料旋轉塗佈在表面上、浸塗、噴塗、輥 塗該材料在表面上、將材料滴在表面上及/或將材料展塗在 -19- 85850 200416131 表面上而施用。 本文所用之”旋塗材料”、”旋塗有機材料"、”旋塗組合物,, 及旋塗無機組合物”可交替使用且代表可使用旋轉塗佈施 用製程旋塗至基材或表面之該等溶液及組合物。進一步期 望該’’玻璃上旋塗材料”代表”旋塗無機材料,,之次組群,其中 旋塗玻璃代表包括全部或部分係矽為主化合物及/或聚合 物之該等旋塗材料。矽為主之化合物實例包括矽氧烷化合 . 物如曱基矽氧烷、甲基倍半矽氧烷、苯基矽氧烷、苯基倍 半石夕氧燒、曱基苯基碎氧燒、甲基苯基倍半碎氧垸、錢鲁 烷聚合物、矽酸聚合物及其混合物。所欲之矽氮烷聚合物 為王氫矽氮烷,其具有”透明"聚合物主幹該處附接有發色 團。Jost, Diffusion, 3rd ed., Academy Press, 196 (^ H Daynes, proc. Royal Society, A (97) 286 (1920)). The permeability P measured with D in the steady state gives the solubility s of the gas in the material. Equation (2) is highly informative because it shows which diffusion coefficient is independent of the material in terms of passing gas or other materials through a dielectric or a metal film of thickness x. Figure 7 shows the "main curve" of the range of material diffusion coefficient values obtained by passing gas, liquid, or solid species through materials of various thickness x, according to equation (2) for a period of 60 seconds. These conditions are typical single wafer process times. Figure 7A shows the same "main curve" shown in Figure 7, but emphasizes the process of small gas molecules passing through interlayer dielectrics (ILDs). According to Figures 7 and 7A, 85850 -12- 200416131, and, the interconnect materials will need to have a very small diffusion coefficient to resist the gaseous, liquid or solid permeability that can be perceived in the material family during processing. Also ▲ The lateral or vertical dimension of the material on the right is only 〇〇 奈米 #, then the material needs to have a diffusion coefficient of less than about 1 G.W / s to prevent the aforementioned species from completely spreading and passing through the material. The right has estimated the activation energy for diffusion (or activation energy for lattice movement, W, which can be used to evaluate the diffusion coefficient: D = (kT / h) d2exp [.Ea / RT] where two is the diffusion coefficient and d is the extraordinary dielectric Medium lattice spacing or pore size. View the pore size by reorganizing this equation: d2 = Dh / [kTexp (-E / RT)] Then compare it with the law and StGkes' law to observe the diffusing agent size: r = kT / [6 (pi) nD] (where r is the radius of the diffusing agent, viscosity and D are the diffusion coefficients), we can make correlations such as 基质 to observe the influence of the dielectric matrix on the viscosity. ❹ The smallest pore diameter of 20 angstroms is the largest in this analysis. d is about iXHr9m2 / sec. Using these same formulas that show the correlation between the pore diameter and the radius of the diffusing agent, for the diameter of the diffusing agent and the pore diameter in the range of OO angstroms, the required viscosity can also be evaluated to be greater than 1GG Pa • sec, which also assumes that the agent μ is larger than the pore diameter. Second, the usable viscosity range can also be evaluated, as known, in a particular case, the diameter of the? Must be larger than the pore diameter ', and in the specific example desired, In Lai and the largest body size 1 () 0 angstroms, the minimum process time is 60 seconds ( Late time) 85850 -13- 200416131 That is, the theoretical delay time is about a2 / 6D where a is the size of the body and the delay time is at least 60 seconds. By comparing the diffusing agent and the pore diameter, it is obvious that the viscosity may be greater than 100 Pa-seconds (Figure 8 and 9). A more guilty coefficient range has also been developed. According to model experiments, pores can be blocked due to resistance to movement of adjacent atoms and / or molecules. Therefore, changes in the diffusion coefficient and matrix properties can prove that once the material configuration is established , You can block the movement by analyzing the theoretical correlation of effective viscosity, which can be defined by viewing conditions. Definition of the correlation between the combination diffusion coefficient and viscosity and the correlation between the defined viscosity and the mean_free path: D = RT / N6 7Γ nr n = p cL / 2 and 2) 7Γ (2r) 2x] where L = mean free path r = particle radius c = particle velocity n = viscosity p = density x = particle concentration generation formula 11 =: / [2 (/ 2) 7 !: (2〇 fork) and 0 = [211 丁] / [_ Redundant ^ 21 ^], which leads to the theoretical correlation back to the simulation and describes the diffusion coefficient, viscosity, particle velocity, and Correlation between average path and particle concentration. Particle velocity is based on model and average particle velocity And the critical particle concentration of the leakage current is 1015 atoms / cc (see the above-cited "mSFFet characteristics and reliability of copper pollution and degradation. Therefore, a method is now established to evaluate if there is sufficient 85850 in the matrix -14- 200416131 sufficient density to stop the movement based on the average free path argument, which is the effective viscosity: reviewing these correlations suggests that for particles with a particle diameter greater than or equal to the pore diameter, the diffusion coefficient must be less than lxl0.13m2 / s and Must be :: corresponds to "effective viscosity". It is also suggested that in order to obtain a concentration below the critical value, the particle diameter must be in the range of 1 angstrom, and the effective viscosity is in the range of 5 Pa_s at any time 'as shown in FIG. 10. Furthermore, the model of the copper migration angstrom layer shows that copper atoms move at about 524111 / sec. However, if the reasonable process time and temperature discussed in the technical background are maintained, the diffusion coefficient must even be less than 1 × 10-um2 / s and the effective viscosity may indeed need to be greater than 50 Pa · s. This information is roughly consistent with the original analysis, but compared to the original evaluator's much lower effective diffuser, regardless of particle concentration. These ranges are reasonable ranges for the diffusion coefficient and for the expected viscosity and target diffusing agent radius, as shown in Figure n (see 7 and 10). Figure 13 shows several standard gases that can pass through polymer materials and oxygen cutting. The diffusion coefficient is plotted. This data shows that there is a gradation increase of about 5 in terms of diffusivity. When the polymer is heated from room temperature to about 45 (rc.) Even in starvation, the gas diffusivity is as high as that in most solid polymers. In material materials, time-to-mass I transfer takes place in about 60 seconds. For high-temperature low-C materials such as organic polymers (polydonimine), inorganic polymers (polylithic sintered 'PDMS), or even amorphous As for the type oxide stone, it is proved that small gas molecules can easily move through the material within the typical process time. Ironically, if this condition does not exist, it will be difficult to use the volatile porous element (p_gen) (using 乂 Φ 成Porosity) forms a porous, low-dielectric material, in which the reaction product must quickly leave the S material. Gases and other atomic species may also flow through very thin (about 85850 -15-w called 16131 3 nm) barrier metals (assuming future Used in half Application). Using the information, equations, and model experiments described above, it is possible to design the layered elements desired in this article, including ... substrates; those with several pores; electrical materials, where the materials are coupled to the substrate Material; and a diffusion blocking material coupled to the dielectric material, wherein the diffusion blocking material is drawn to the dielectric material department: the layered element desired herein may also include a layered diffusion blocking material not on the element However, it can be used instead to block " individual pores / perforations and not covering the entire underlayer. In some specific examples, the diffusion blocking material will react with the underlying dielectric material or layer 'and in other specific examples, The diffusion blocking material is not reactive with the underlying dielectric material or layer. In other specific examples, the low / C dielectric material or layer under the diffusion blocking material U reacts, and in other specific examples, the expansion blocking material The dielectric material or layer is not reactive with the lower one. In another specific example, the desired diffusion blocking layered element may be composed of a dense layer or a low / C material or may contain a species that can block Way of diffusion ::: material Or low-quality phase · separating element. In another specific example, = on whether it is reactive, the material can use the surface of the dielectric f surface (selfishness and shell material), adsorption, absorption , Solubility, adhesion, force and molecular structure of the blocking material and assembled as a mechanical driver.% In the specific example desired, when the coupling with a dielectric material and / or low dielectric 1 branch < diffusion blocking is not included Compared with the agent, the effect of the diffusion blocking agent significantly reduces the mobile atoms and / or molecules. The mobile atoms and / or molecules are significantly reduced. It needs to be understood that when ^ does not include the diffusion coupled to the dielectric material and / or the low dielectric material Blocker phase car parent's mobile atoms and / or molecules are reduced by at least about 51%. Others specifically 85850 -16- 200416131 In the case, when the diffusion resistance with coupling to dielectric materials and / or low dielectric materials is not included Discontinuants reduce mobile atoms and / or molecules by at least about 60% compared to the diffusion blocker. In yet another specific example, the diffusion agent reduces mobile atoms and / or molecules by at least about 75% when compared to a diffusion agent that does not include a coupling to a dielectric material and / or a low dielectric material. In a preferred embodiment, the diffusion blocker reduces moving atoms and / or molecules by at least about 90% when compared to a diffusion blocker that does not include a coupling to a dielectric material and / or a low dielectric material. In even more preferred embodiments, the diffusion blocker reduces moving atoms and / or molecules by at least about 95% when compared to excluding diffusion couplers that are not coupled to dielectric materials and / or low dielectric materials. One of the more important theories in this article is that diffusion blocking materials, particles, molecules, or compounds can a) react with the pore wall, b) diffuse into the pores and react on or with the wall to reduce the pore diameter, so i does not make Smaller than the new pore diameter I material diffuses into it, c) diffuses into the pores, expands in the pores and blocks the pores and therefore cannot diffuse further due to its size; it diffuses into the pores, expands inside the pores and Pore walls or react with pore walls; e) reduce effective pore diameter; o block pores and reduce effective diffusion constant and / or g) combinations thereof. In some preferred embodiments, a hard molecule attachable to a ring is used. These molecules are thermally activated to obtain ring expansion (size expansion). The ring-opening conversion reaction can also be used in several specific examples. In other preferred embodiments, a hard core molecule attached to a highly branched chain layer can be used. The hard core and branch structure are selected such that thermal, mechanical, or other forces cannot properly produce accessible free space for diffusion. In other specific examples, a solution or a dilute solution having particles and / or a diffusion-blocking material may be used, which has a size larger than the diameter of the pores below the surface -17-85850 or the free space accessible by the surface. It is also understood that the expansion blocking material or element can be designed to block the diffusion of gases, liquid metals and other undesired materials into the underlying layer or material. The gases, liquids, metals, and other undesired materials can be deposited by any process commonly used in the development and processing of electronic materials, including CVD / ALD (atomic layer deposition) deposition, liquid cleaning, and dielectric materials Contact engraving, gaseous thermal processing, and gas engraving right expansion blocking materials are applied to the dielectric material forming process Zhongshan by individual processes, or they can be contracted to the same processes used in manufacturing. The surfaces referred to herein may include any desired substantially solid material such as a substrate, wafer or other suitable surface. Particularly required substrate layers will include films, organic polymers, inorganic polymers, glass, ceramics, plastics, metal or coated metals, or composite materials. The surface and / or substrate layer includes at least one layer and, in some cases, several layers. In a preferred embodiment, the substrate includes a corner or wafer surface of a germanium substrate, a package surface such as copper, silver, or gold (a guide frame * as seen by a user, a copper surface such as a circuit board or a package interconnect trace). What you see, perforated-wall or harder interfaces ("steel" includes consideration of bare copper and its oxides), polymer-based packages or board interfaces such as polyimide-based flex packages, or other metals Surfaces of alloy solder balls, glass, and polymers such as polyimide, BT, and FR4. In more specific examples, the substrate includes materials used in the integrated circuit industry and packaging and circuit board industries such as stone, copper, glass, and Other polymers. The desired material in this article and the surface may also include other previously formed layer stacks = other layered 7C pieces, or other components. This example can be the dielectric material sound: c: the barrier layer is first laid out It is a layered stack that is considered to be the "surface" of a subsequent spiral layered element. — 85850 -18-200416131 At least one layer is coupled to the surface or substrate. As used herein, "coupling" means a surface and a layer or two Layers are physically attached to each other or substance or element There is physical attraction between the two parts, including bonding forces such as covalent and ionic bonds, and non-bondings such as Van der Waals, static electricity, Coulomb force, hydrogen bonding, and / or magnetic attraction. Also, As used herein, the term coupling refers to the case where the surface and the layer or two layers are directly attached to each other, but the word also means the case where the surface and the layer or layers are indirectly coupled to each other, such as when the surface and the layers have adhesion Facilitating layers or cases where there are other layers between the surface and layers or layers. As used herein, "low dielectric constant" means the dielectric constant measured at 1 MHz to 2 GHz, unless otherwise specified. Low dielectric constant materials or layers are expected. The dielectric constant value is less than about 3. In a preferred embodiment, the value of the low dielectric constant material or layer is less than about 2.5. In a more preferred embodiment, the value of the low dielectric constant material or layer is less than about 2. Desired Dielectric and low dielectric materials include inorganic-based compounds such as silicon-based (disclosed in commonly assigned US Patent 6,143,855 and unexamined US Patent No. 10/078919 (filed on February 19, 2002)); (E.g. Honeywell NANOGLASS® HOSP® products), gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof, and organic-based compounds such as polyethers, polyarylene ethers (disclosed in commonly assigned US patents) 6,124,421) (such as Honeywell's FLARE ™ products), polyimide, polyester, and adamantane-based or cage-based compounds (disclosed in commonly assigned WO 01/78110 and WO 01/08308) ( Such as Honeywell's GX-3 ™ products). The dielectric and low dielectric materials can be spin-coated on the surface, dip-coated, spray-coated, roll-coated on the surface, drip the material on the surface and / Or spread the material on the surface of -19- 85850 200416131 and apply. As used herein, "spin-coating materials", "spin-coating organic materials", "spin-coating compositions, and spin-coating inorganic compositions" are used interchangeably and represent that a spin-coating application process can be used to spin-coat to a substrate or surface These solutions and compositions. It is further expected that the "spin-on-glass material" represents "spin-coated inorganic materials," followed by the group, where the spin-on-glass represents all or part of the silicon-based compound and / or polymer Examples of such spin-coated materials. Examples of silicon-based compounds include siloxane compounds. Materials such as fluorenylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, etc. , Fluorenylphenyl crushed oxygen, methylphenyl sesquioxetane, chalcurethane polymer, silicic acid polymer and mixtures thereof. The desired silazane polymer is king hydrogen silazane, which has "Transparent" polymer backbones have chromophores attached to them.

取本文所用之"玻璃旋塗材料"亦包含矽氧烷聚合物及嵌段 水&物通式(H〇-i_gSi〇i.5-2.g)x之氫矽氧烷聚合物及通式 (HSi〇i.5)xax大於約4之氫倍半矽氧烷聚合物。亦包含氫倍 切氧燒與燒氧基氫梦氧燒或經基氫珍氧燒之共聚物。玻 璃旋塗材料又包含通式(H。·, GSi〇i 5·2 G)n(RGi GSi〇i 52❶U ,機氫♦氧mi(HSiQl 5)n(RSi()i山之有機氫倍半碎. 氧烷,其中m大於0且11與m總合大於约4及R為烷基或芳基。 , 有一可用之有機氫矽氧烷聚合物之η與m總合自約4至約 〇〇/、中基或C6-C12芳基。該有機氫碎氧燒及 有機氫倍半碎氧燒聚合物另稱為旋塗聚合物。有些特定實 例,含燒基氫碎氧燒如甲基氫石夕氧燒、乙基氫♦氧燒、丙 基風梦氧燒、第三丁基氫石夕氧垸、苯基氫碎氧燒,及燒基 85850 -20- 200416131 氫倍半矽氧烷如甲基氫倍半矽氧烷、乙基氫倍半矽氧烷、 丙基氫倍半矽氧烷、第三丁基氫倍半矽氧烷、苯基氫倍半 矽氧烷及其組合。數種所欲旋塗材料描述於下列發證之專 利及未審定申請案中,其併於本文供參考:(PCT/US 00/ 15772,2000年 6 月 8 日申請;US 申請號 09/330248,1999年 6 月10日申請;US申請案09/491166,1999年6月10日申請; US 6,365,765,2002年 4 月 2 曰發證;US 6,268,457,2001 年 7 · 月31日發證;US申請號10/ 001 143,2001年11月10日申請; # US 申請號 09/491 166,2000 年 1 月 26 日申請;PCT/US 00/00523,1999年1月 7 日申請;US 6,177,199,2001年 1 月 23 曰發證;US 6,35 8,559, 2002年 3 月 19 曰發證;US 6,218,020, 2001年4月17日發證;US 6,361,820,2002年3月26日發證; US 6,218,497,2001 年4月 17 曰發證;US 6,359,099,2002年 3月19日發證;US 6,143,855,2000年11月7日發證;及US 申請號09/61 1528,1998年3月20日申請)。 有機氫矽氧烷及有機矽氧烷樹脂之溶液可用以形成籠型 H 矽氧烷聚合物薄膜,其可用於製造多種電子裝置、微電子 裝置、尤其是半導體積體電路及各種電子及半導體元件使 * 用之層狀材料,包含硬掩模層、介電層、蚀刻阻擋層及包 , 埋之蝕刻阻檔層。該等有機氫矽氧烷樹脂層與可用於層狀 材料及裝置之其他材料相當地可相容,如金剛燒為主之化 合物、鑽石烷為主之化合物、矽-核心化合物、有機電介質 及奈米多孔電介質。被視為與本文所欲之有機氫矽氧烷樹 脂層可相容之化合物揭示於PCT申請號PCT/US 01/32569, • 21 - 85850 200416131 2001 年10 月 17日申請;pCT申請號 pcT/us 〇ι/5〇812,2〇〇1 年12月31日申請;us申請號09/538276 ; US申請號 09/544504 ; US 申請號 09/58785 1 ; US專利 6,214,746 ; US專 利 6,171,687 ; US專利 6,172,128 ; US專利 6,156,812 ; US 申請 唬 60/3 50187 ’ 2002年 1 月 15 日申請;及 us 60/347195,2002 年1月8日申請,其均併於本文供參考。 本文所用之有機氫矽氧烷具有下列通式: 式⑴ 式⑺ 式(3) 式⑷Take the "glass spin coating material" used in this article, which also contains a siloxane polymer and a block water & hydrosiloxane polymer of general formula (H〇-i_gSi〇i.5-2.g) x And a hydrogen silsesquioxane polymer of general formula (HSioi.5) xax greater than about 4. It also includes the copolymers of hydrogen sesquioxane and oxyhydrogen oxyhydroxanthine or oxyhydrogen oxyhydroxanthine. The glass spin-coating material also contains the general formula (H. ·, GSi〇i 5 · 2 G) n (RGi GSi〇i 52❶U, organic hydrogen ♦ oxygen mi (HSiQl 5) n (RSi () i mountain organic halves) Crush. Oxane, where m is greater than 0 and 11 combined with m is greater than about 4 and R is an alkyl or aryl group. There is a useful organohydrosiloxane polymer where η and m are combined from about 4 to about 0. 〇 /, medium or C6-C12 aryl. The organic hydrogen fragmentation and organic hydrogen sesquigenated fragment polymers are also referred to as spin-coated polymers. Some specific examples include hydrogen fragmentation and fragmentation, such as methyl groups. Hydrogen oxyhydroxide, ethyl hydrogen oxyhydroxide, propyl wind oxyhydroxide, tertiary butyl hydroxyl oxalate, phenylhydrogen oxyhydroxide, and radical 85850 -20- 200416131 hydrogen silsesquioxane Alkanes such as methylhydrosilsesquioxane, ethylhydrosilsesquioxane, propylhydrosilsesquioxane, third butylhydrosilsesquioxane, phenylhydrosilsesquioxane, and Several desired spin-coated materials are described in the following issued patents and unexamined applications, which are incorporated herein by reference: (PCT / US 00/15772, filed June 8, 2000; US application number 09 / 330248, filed June 10, 1999; US application 09/491166, applied on June 10, 1999; US 6,365,765, issued on April 2, 2002; US 6,268,457, issued on July 31, 2001; US application number 10/001 143, November 10, 2001 Applications; # US application number 09/491 166, application dated January 26, 2000; PCT / US 00/00523, application dated January 7, 1999; US 6,177,199, issued on January 23, 2001; US 6, 35 8,559, issued on March 19, 2002; US 6,218,020, issued on April 17, 2001; US 6,361,820, issued on March 26, 2002; US 6,218,497, issued on April 17, 2001; US 6,359,099, issued on March 19, 2002; US 6,143,855, issued on November 7, 2000; and US application number 09/61 1528, filed on March 20, 1998). The solution of the organosiloxane resin can be used to form a cage-type H siloxane polymer film, which can be used to manufacture a variety of electronic devices, microelectronic devices, especially semiconductor integrated circuits and various electronic and semiconductor components. The material includes a hard mask layer, a dielectric layer, an etch stop layer and a cladding, and a buried etch stop layer. These organic hydrogen siloxane trees Other layers may be used for layered materials and materials of quite compatible device, such as the diamond-based compound burning, mainly of diamond alkoxy compounds, silicon - core compounds, organic dielectrics, and nanoporous dielectrics. Compounds considered to be compatible with the organohydrosiloxane resin layer desired herein are disclosed in PCT application number PCT / US 01/32569, • 21-85850 200416131 filed on October 17, 2001; pCT application number pcT / us 〇ι / 5〇812, filed on December 31, 2001; us application number 09/538276; US application number 09/544504; US application number 09/58785 1; US patent 6,214,746; US patent 6,171 US patent 6,172,128; US patent 6,156,812; US application 60/3 50187 'filed January 15, 2002; and US 60/347195, filed January 8, 2002, all of which are consolidated For reference. The organohydrogensiloxane used herein has the following general formula: Formula ⑴ Formula ⑺ Formula (3) Formula ⑷

[H-Sii.slntR-SiOj 5]m [Ho.s-SiKs.KsJ^R, 5-1 〇.Si〇i 5 i 8]m [Ho-Ko-SUnfR-SiOi 5]m [H-Sii.5]x[R.Si015]y[Si〇2]z 其中: η與m總合、或x、y&x總合自約8至約5〇〇〇,及爪或7係選 擇成含碳構成分之量小於約4〇%(低有機含量=L〇sp)或量 大於約40%(高有機含量=H〇sp);尺係選自經取代及未經取 代之正常鏈及分支鏈烷基(甲基、乙基、丁基、丙基、戊基)、 晞基(乙晞基、稀丙基、異丙缔基)、環烷基、環烯基、芳基 (苯基、苄基、莕基、蒽基及菲基)及其混合物;且其中含= 取代基之特定莫耳百分比為起始物量比例之函數。有些 LOSP具體例中,以含碳取代基莫耳百分比在約15莫耳%: 約25莫耳%_内可獲得特财利之結果。有些H〇sp具體 例中,以含碳取代基莫耳百分比在約55莫耳%至約75莫耳% 範圍内可獲得特別有利之結果。 ° 具有介電常數在約1.5至約4範園之奈米多孔氧化♦介電 85850 -22- 200416131 薄膜亦可為層之至少一層。本文所欲之奈米多孔氧化矽化 合物為下列發證之us專利中所見者:6,022,812; 6,037,275 ; 6,042,994;6,048,804;6,090,448;6,126,773;6,140,254; 6,204,202 ; 6,208,041 ; 6,318,124 及 6,319,855。該等類之薄[H-Sii.slntR-SiOj 5] m [Ho.s-SiKs.KsJ ^ R, 5-1 〇.Si〇i 5 i 8] m [Ho-Ko-SUnfR-SiOi 5] m [H-Sii .5] x [R.Si015] y [Si〇2] z where: η is combined with m, or x, y & x is combined from about 8 to about 5000, and the claw or 7 series is selected to contain The amount of carbon constituents is less than about 40% (low organic content = Lossp) or the amount is greater than about 40% (high organic content = Hose); the rule is selected from substituted and unsubstituted normal chains and branches Alkyl (methyl, ethyl, butyl, propyl, pentyl), fluorenyl (ethenyl, dipropyl, isopropyl), cycloalkyl, cycloalkenyl, aryl (phenyl , Benzyl, fluorenyl, anthryl, and phenanthryl) and mixtures thereof; and the specific mole percentages containing = substituents are a function of the amount of starting material. In some specific examples of LOSP, special financial results can be obtained with the percentage of moles containing carbon substituents in the range of about 15 mole%: about 25 mole%. In some instances of Hosp, particularly advantageous results can be obtained with a carbon-containing substituent mole percentage in the range of about 55 mole% to about 75 mole%. ° Nanoporous oxidation with a dielectric constant in the range of about 1.5 to about 4 ♦ Dielectric 85850 -22- 200416131 The thin film can also be at least one layer. The nanoporous silicon oxide compounds desired herein are those seen in the following issued US patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,773; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855. Such thin

膜以矽為主之前驅物舖置,於水壓例中老化或縮合並充分 加熱以實質上移除所有多孔原並在薄膜中形成孔洞。該石夕 為主之前驅物組合物包括具有式Rx-S卜Ly之單體或預聚 物,其中R獨jl選自烷基、芳基、氫及其組合,乙為負電基 團如烷氧基、羧基、胺基、醯胺基、齒基、異氰酸酯基及 其組合,X為〇至約2之整數,及y為約2至約4之整數。其他 奈米多孔化合物及方法可見於下列之發證us專利: 6,156,812 ; 6,171,687 ; 6,172,128 ; 6,214,746 ; 6,313,185 ; 6,380,347 ;及6,380,270,其均併於本文供參考。The membrane is laid with silicon as the precursor, and it is aged or condensed in a water pressure case and heated sufficiently to remove substantially all of the porogen and form holes in the film. The Shi Xi-based precursor composition includes a monomer or prepolymer having the formula Rx-S and Ly, where R is selected from alkyl, aryl, hydrogen and combinations thereof, and B is a negatively charged group such as alkane Oxy, carboxyl, amine, amido, dentate, isocyanate, and combinations thereof, X is an integer from 0 to about 2, and y is an integer from about 2 to about 4. Other nanoporous compounds and methods can be found in the following issued US patents: 6,156,812; 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,270, all of which are incorporated herein by reference. .

,,籠型結構”、”籠型分子”及”籠型化合物,,欲交替使用且代 表具有至少10個碳排列成至少一個橋接共價連接環系統之 兩個或多個原子之分子。換言之,籠型結構、籠型分子或 籠型化合物包括由共價結合之分子所形成之數個環,其中 該結構、分子或化合物界定—體積使得該體積㈣之點不 離開該體積而不通過該環。該橋接及/或環系統可包括一戈 多個雜原子且可為芳族、部分飽和或不飽和。冑—步所欲 之籠型結構包含c60碳巨分子(fullerenes)及至少具有一個橋 鍵之冠狀ϋ。例如金剛境或鑽石㈣認為籠型結構,而: 化合物或芳族螺化合物在此定義中不視為蘢型結構,因: 莕化合物或芳族螺化合物不具有一或一個以上之橋鍵。 85850 -23- 200416131 所欲之籠型化合物未必限制為僅包括碳原子,但亦可包 含雜原子如N、S、Ο、P等。雜原子可有利地導入非四角鍵 角度構形。有關取代基及所欲籠形化合物之衍生化,需體 認有許多取代基及衍生化適用。例如當籠型化合物相當疏 水性時,可導入親水性取代基以增加親水性溶劑中之溶解 度,或反之亦然。或者,當需要極性時,可添加極性側基 至該籠型化合物中。進一步期望適當取代基可包含親熱 基、親核基及親電子基。亦需了解官能基可用於籠型化合 物(如加速交聯反應、衍生化反應等)。當籠型化合物衍生化 時,尤其期望該衍生化包含籠型化合物之闺化且特佳之鹵 素為氟·。 本文詳述之籠型分子或化合物亦可為附接至聚合物主幹 之基,且因此可形成奈米多孔材料,其中籠型化合物形成 一種孔洞(分子内)且其中使至少部分之主幹自身或與另一 主幹交聯可形成另一類孔洞(分子間)。其他之籠型分子、籠 型化合物及該等分子及化合物之變體詳述於PCT/US 01/325 69,2001年10月18日申請,其併於本文供參考。 所欲聚合物亦包括廣範圍之官能基或結構基,包含芳族 系統及1¾化基。再者,適當聚合物可具有許多構形,包含 均聚物、雜聚物。再者,交替聚合物可具有各種型態如線 性、分支、超分支或三維。所欲聚合物之分子量在廣範圍 變化,典型上為400道耳呑至400000道耳呑之間或以上。 本文所述之有機及無機材料有些方面類似地述於 6111^(^1^等人之113專利號5,874,516(1999年2月),其併於本 -24- 85850 200416131 文供參考,且可以如該專利所述實質相同之方式使用。例 如,期望本文所述之有機及無機材料可用於製造電子晶片 及多晶片模組、中間層電介質、保護性塗層及在電路板或 印刷電路板中作為基材。再者,本文所述之有機及無機材 料之薄膜或塗層可藉溶液技術如噴霧、旋轉塗佈或洗鑄方 式形成,以旋轉塗佈法較佳。較佳之溶劑為2_乙氧基乙基 醚、環己酮、環戊酮、甲苯、二甲苯、氯苯、N-甲基吡咯 啶酮、N,N-二甲基甲醯胺、N,N_二甲基乙醯胺、甲基異丁 基酮、2-甲氧基乙基醚、5_甲基_2_己酮、丁内酯及其混 合物。典型上,塗層厚度約〇1至約丨5微米。至於介電材料, 薄膜厚度小於2微米。 、添加劑亦可用以增強或賦予特定標的性質,如聚合物領 域已知者,包含安定劑、火焰延遲劑、顏料、塑化劑、界 面活性劑|。可摻合可相容或不相纟聚合物以獲得所需性 貝亦可使用黏著性促進劑。此促進劑典型為六甲基二矽 胺煶,其可用以與表面如二氧化矽表面上存在之可用羥基 巨此基(其暴露至濕氣或溼度中)反應。微電子應用之聚合物 車乂好。有低τ (一般小於約i ,較好小於ppb)之離子 誰貝,特別是用於介電中間層時。 八j文所用之”交聯”代表其中長分子中至少兩分子或兩部 1藉化予相互作用連接在一起。此相互作用可以許多方式 毛生/包含形成共價鍵、形成氫键、疏水性、親水性、離 或靜電相互作用。再者,分子相互作用之特徵亦可為 刀子及本身 < 間或兩個或多個分子間藉至少暫時性之物理 85850 -25- 連接。 "j述’有些較佳具體例包括在_或所有介電層或低介 电苇數層中之數個孔洞及/或孔隙。此數個孔洞亦可使用" 奈,米多孔層”或”超奈米多孔層,,表示。本文所用之,,奈米多孔 層’’代表由數個孔洞及非揮發性成分所組成之任何適宜低 J私材料(亦即$ 3·〇)。本文所用之"實質上,,意指在層中以 大万、5 1 重f百分比存在之所需成分。本文所述之層狀材 料吓包括·· a)具有數個孔隙之介電材料,纟中各孔隙具有 3直彳二及b)包括數個顆粒之層,其中該顆粒之粒徑大於 孔隙直徑。 於介電材料中併入或導入多孔原可形成數個孔隙及/或 孔/同至少一種多孔原可添加至介電材料及/或層中。該孔 ^或孔’同可能因結構重排或材料損失之結果所形成,使得 留有孔隙或孔洞或自由體積增加。 並本文所用之”孔隙”一詞包含材料中之孔洞及微胞及任何 八名闷思我空間。’’孔隙’’ 一詞亦包含材料密度差異其中 2體積增加(已導人”多孔性f,,)。適當氣體包含相當純的 1及八此口物。主要為N2及〇2之混合物之空氣一般分佈 ^孔隙中,但純的氣體如氮氣、氦氣、氬氣、(:02或C0亦 可。孔隙典型上為球形但或可或又可包含管狀、層狀、平 ^ /、有其他形狀之孔洞或前述形狀之組合且可為開孔 或封閉。本又所用之”多孔原"可具有各種機制用以形成孔 隙但通常為材料,其移除後留下,,孔隙”或”孔洞”或可重排產 生”孔隙”或”孔洞"之材料。一具體例中,多孔原為照射、加 85850 -26- 200416131 熱、化學或濕氣可分解、可降解、可解聚合或可斷裂之可 分解材料且包含固體、液體或氣體材料。 可分解多孔原可自部份或完全交聯之基質移除或可揮發 或擴散而在隨後完全熟化之基質中產生孔隙,且因此降低 基免介電常數並增強該犧牲性質。另一具體例中,多孔原 可為不分解但可溶於基質中留下”孔隙,,之材料。第三具體 例中,該多孔原可為不分解但足夠揮發性以在特定升溫如 ' 250-350 C範圍逸出之材料。超臨界材料如c〇2可用以移除 該多孔原及分解之多孔原片段。較好,對熱分解多孔原而· &,孩多孔原包括具有分解溫度大於材料之最小交聯溫度 之材料。較好,本新穎多孔原具有降解或分解溫度高達約 300 C且有些例中大於約3〇〇〇c。較好,降解或分解之多孔 原在大於與多孔原組合之材料之最小交聯溫度下可揮發。 較好,該降解或分解之多孔原在約5〇〇c至約45(rCi溫度揮 發。 雖然國際專利公報w〇 _31183教示多孔原可添加至熱春 可固性苯并環丁缔、聚芳晞或熱可固性全氟乙晞單體以增 加八孔隙度且因此降低樹脂之介電常數,但該參考文獻提 _ 不已知可與第一種基質系統充分發揮功用之多孔原未必可 · 在另一基質系統中發揮良好功用。 一已知之夕孔原如直鏈聚合物、星狀聚合物、交聯聚合奈 米球岌&共聚物及高分支之聚合物可與無機為主之化 ,物及材料用於所欲具體例中。適宜直鏈聚合物為聚醚如 水(乙烯氧)及Ic (丙晞氧);聚丙烯酸酯如聚(甲基丙烯酸甲 85850 -27- 416131 酯);脂族聚碳酸酯如聚(碳酸丙二酯)及聚(碳酸乙二酯); 禾酯,聚颯,聚苯乙埽(包含選自氫化苯乙婦及羥基-取代之 苯乙烯之單體單元);聚(α_甲基苯乙烯);及其他乙晞基為 主之聚合物。可用之聚酯多孔原包含聚己内酯;聚對苯二 甲酸乙二醇酯;聚(氧基己二醯基氧基_丨,4_伸苯基聚(氧 基對苯二甲醒基氧基伸苯基);聚(氧基己二醯基氧基 -1,6-六伸甲基);聚乙交酯、聚***酯(聚乳酸)、聚***酯_ 乙夂酯、聚丙自同酸、聚碳酸酯如聚(六伸甲基碳酸酯)二醇其 分子量約500至約2500 ;及聚醚如聚(雙酚A_共聚_表氯醇) 其分子量約300至約6500。適宜之交聯不溶奈米球體(製備 為奈米乳液)適宜由聚苯乙烯或聚(甲基丙晞酸甲酯)所構 成。適宜之嵌段共聚物為聚乙醇酸酐、聚乳酸、聚(苯乙缔 -共聚-α _甲基苯乙烯)、聚(苯乙晞_乙烯氧)、聚(醚内酯)、 聚(酯碳酸酯)及聚(内酯乳酸)。適宜高分支聚合物為高分支 聚酿’如高分支聚(己内酯)及聚醚如聚乙晞氧及聚丙晞氧。 其他可用之多孔原為乙二醇-聚(己内酯)。可用之聚合物後 段包含聚乙晞基吡啶、氫化聚乙晞基芳族、聚丙晞腈、聚 石夕氧烷、聚己内醯胺、聚胺基甲酸酯、聚二晞如聚丁二婦 及1兴戊一缔、聚氯乙稀、聚乙縮酸及胺_封端之伸燒氧。 其他可用之熱塑性材料包含聚異戊二晞、聚四氫呋喃及聚 乙基号π坐琳。 適用於所欲具體例之其他適宜之多孔原包含聚合物,較 好為含有一或多個反應性基如經基或胺基者。該等一般象 數中’用於本文揭示之組合物及方法中之適宜聚合物多孔 85850 -28- 200416131 原為例如聚伸烷氧、聚伸烷氧之單醚、聚伸烷氧之二醚、 聚伸烷氧之雙醚、脂族聚酯、丙烯酸聚合物、乙縮醛聚合 物、聚(己内酯)、聚(戊内酯)、聚(甲基丙晞酸甲酯)、聚(乙 烯丁酸)及/或其組合。當多孔原為聚伸燒氧單醚時,一特別 具體例為在氧原子與Ci至約C0燒基醚基團間之C!至約〇6垸 基鏈且其中垸基鏈經取代或未經取代,如聚乙二醇單甲基 醚、聚乙二醇二甲基醚、或聚丙二醇單甲基醚。 包括至少兩個稠合芳族環之多孔原(其中各稠合芳族環 其上具有至少一個烷基取代基及在相鄰芳族環上之至少兩 個燒基取代基之間存在有鍵)可用於所欲具體例。較佳多孔 原包含未官能基化之聚蒽嵌戊晞均聚物、官能基化之聚蒽 嵌戊烯均聚物、下述之聚蒽嵌戊烯共聚物、聚(2_乙烯基莕) 及乙晞基蒽欲戊晞,及彼此之掺合物。其他可用之多孔原 包含金剛烷、鑽石烷、C6〇碳巨分子及聚原冰片晞。各該等 多孔原(包含上述者)可與另一種或其他多孔原材料摻合,如 聚己内酯、聚苯乙烯及聚酯。可用摻合物包含未官能化之 聚蒽嵌戊烯均聚物及聚己内酯。更佳之多孔原為未官能基 化之聚蒽嵌戊浠均聚物、官能基化之聚蒽嵌戊埽均聚物、 聚慈欲戊场'共聚物及聚原冰片缔。 可用之聚蒽嵌戊烯均聚物可具有重量平均分子量較好約 300至約20,〇〇〇 ;更好約3〇〇至約1〇,〇〇〇,•且最好約1〇⑻至約 7000,且可使用不同起始劑如2,2,_偶氮雙異丁腈(aibn); 偶氮二羧酸二-第三丁酯;偶氮二羧酸二·苯基酯;U,·偶氮 雙(環己烷甲腈);苯甲醯基過氧化物(BPO);第三丁基過氧 85850 -29- 200416131 化;及三氟化硼二乙基醚化物自蒽嵌戊烯聚合而得。該聚 蒽提戊埽均聚物可具有末端官能基如參鍵或雙鍵至鐘端 ^ 以雙或三鍵醇如烯丙基醇、丙炔醇、丁炔醇、丁埽醇或甲 基丙缔酸羥基乙基酯終止陽離子性聚合反應。 歐糾專利么報3丨5453教示氧化矽及某種金屬氧化物可與 碳反應形成揮發性次氧化物及氣態氧化碳形成孔隙並教示 碳源包含任何適宜之有機聚合物包含聚€嵌戊埽。但該參 考文獻並未教示或提示聚蒽嵌戊埽為可用於非金屬材料之 多孔原或可用以降低基質之介電常數或增加介電材料之蝕 刻選擇性。 可用之聚蒽嵌戊烯共聚物可為直鏈聚合物、星型聚合物 或咼分支聚合物。辅單體可具有可導致類似於聚蒽嵌戊烯 均聚物之共聚物構型之巨大側基即可導致不同於聚蒽嵌戊 晞均來物之共聚物構型之非巨大侧基。具有巨大側基之辅 單體包含二甲基乙酸乙烯酯;丙晞酸第三丁酯;苯乙晞; α-甲基苯乙烯;第三丁基苯乙晞;乙晞基莕;5_乙烯基 -2-原冰片錦r ;乙烯基環己烷;乙烯基環戊烷;9_乙烯基蒽 嵌戊埽;4-乙烯基聯苯;四苯基丁二錦Γ ;二苯乙晞;第三 丁基二苯乙烯;及茚;及較好為三甲基乙酸乙烯酯。氫聚 碳石夕燒可作為額外輔單體或與蒽嵌戊晞及至少一種前述辅 單體之共聚物成分。可用之氫聚碳矽烷之實例具有1〇%或 75%缔丙基。具有非巨大側基之輔單體包含乙酸乙烯酯、丙 稀酸甲醋、甲基丙烯酸甲酯及乙烯基醚且較好為乙酸乙烯 酯0 一 85850 •30- 200416131 當討論孔隙產生時,”降解”一詞代表共價鍵斷裂。此键 斷裂可以數種万式發生包含異相分解及同相分解斷裂。键 斷裂不需要完全,亦即未必所有可斷裂鍵 鍵斷裂在有些鍵中比其他鍵更快速。例如酿:一般=胺 鍵更不安疋且因此以較快速率斷裂。鍵斷裂亦可能導致彼 此不同之片段釋出,視降解部分之化合組成而定。 孔隙產生製程之較佳具體例中,對熱降解多孔原而言,‘ 對含多孔原之材料施加熱能以實質上使多孔原降解或分解· 成其起始成分或單體。本文所用之"實質上降解"較好意指 至少40重量%之多孔原降解或分解。更佳具體例中,至少約 50重量%之多孔原降解或分解,且最佳具體例中,至少約8〇 重量%之多孔原降解或分解。另一具體例中,多孔原於另 一製程階段溶出並與製成另一階段組合,如微影蝕刻顯影 期間或含多孔原之材料確實濕汽提期間。 任何適宜程序或條件可用以移除或至少部分移除至少一 種多孔原,包含加熱、溶於溶劑中、優選蝕刻、曝光、電 籲 磁照射如紫外光、x_射線、雷射或紅外線照射;機械能如 聲振或物理壓力;或粒子照射如T射線、α粒子、中子束 · 或電子束,如共同讓渡之專利公報PCT/US96/08678及US專 , 利 6,042,994; 6,_,526; 6,177,143;及 6,235,353 所教示者, 其均併於本文供參考。 本文所述之材料、前驅物及層可為且可以任何方式設計 成溶劑化或溶於任何適宜溶劑中,只要所得溶液可塗佈至 基材、表面、晶圓或層狀材料上即可。典型溶劑亦為可溶 85850 -31 - 200416131 解單體、異構單體混合物及聚合物之該等溶劑。所欲溶劑 包含在所需溫度如臨界溫度會揮發或可加速任何上述設計 標的或需要之有機或無機分子之任何適宜純的溶劑或混合 物。該溶劑亦包括極性及非極性化合物之任何適宜純的溶 劑或混合物。本文所用之”純的π意指具有固定組成之成 分。例如純水僅由η2ο所構成。本文所用之”混合物”意指非 純的成分,包含鹽水。本文所用之’’極性”意指分子或化合 物在分子或化合物之一點上或沿該分子或化合物上產生不 均等電荷、部分電荷或自發性電荷分布之特性。本文所用 之π非極性”意指分子或化合物在分子或化合物之一點上或 沿該分子或化合物上產生均等電荷、部分電荷或自發性電 荷分布之特性。 有些所欲具體例中,溶劑或溶劑混合物(包括至少兩種溶 劑)包括被視為烴族溶劑之部分之該等溶劑。烴溶劑為包括 碳及氫之該等溶劑。需了解主要烴溶劑為非極性;但有數 種烴溶劑可視為極性。烴溶劑一般分成三類··脂族、環狀 及芳族。脂族烴溶劑可包括直鏈化合物及分支及可能交聯 之化合物兩者,但脂族烴溶劑不視為環狀。環狀烴溶劑為 具有類似脂族烴溶劑之性質且以環結構定向之包括至少三 個碳原子之該等溶劑。芳族烴溶劑為一般包括三或多個不 飽和键之含有以一般键及/或多環稠合在一起之單環或多 環之該等溶劑。所欲烴溶劑包含甲苯、二甲苯、對-二甲苯、 間-二甲苯、三甲基苯、溶劑石腦油Η、溶劑石腦油A、烷如 戊烷、己烷、異己烷、更烷、壬烷、辛烷、十二碳烷、2- -32- 85850 200416131 甲基丁烷、十六碳烷、十三碳烷、十五碳烷、環戊貌、2 2 4 三甲基戍烷、石油醚、_化烴如氯化烴、硝化烴、苯、i 2 一甲基+、1’2’4 -二甲基苯、礦油精、煤油、異丁夷苯甲 基莕、乙基甲苯、石油英。特佳之溶劑包含(但不限於)戊燒、 己烷、庚烷、環己烷、苯、甲苯、二甲苯及其混合物或組 合〇 另一所欲具體例中,溶劑或溶劑混合物可包括不視為烴 溶劑類化合物之該等溶劑,如酮類如丙鯛、二乙基嗣、甲 基乙基酮等、醇類;酯類、醚類及胺類。又另一所欲具體 例中,溶劑或溶劑混合物可包括本文所述溶劑之任何組合。 較佳具體例中,溶劑包括水、乙醇、丙醇、丙酮、環氧 乙烷、苯、甲苯、***、環己酮、丁内酯、甲基乙基酮、 及苯甲醚。較佳具體例中,不使用溶劑且選擇至少一種液 體單體形成無溶劑調配物。 又期望另一種低介電常數材料亦可包括其他成分。例 如曰低4电Μ數材料暴露至機械應力時,可添加軟化劑 或其他保護劑。其他例中當介電材料置於平滑表面時,宜 利用黏著促進劑。又其他例中,可期望添加清潔劑或消泡 劑。 擴Α阻斷材料亦可包括至少一種CVD前驅物材料,如 H〇neyWeU電子材料公司、it康寧公司及Schumacher所製得 者。該擴散阻斷材料十 _何杆5F可包括至少一種配位體及/或螯合 劑。該配位體可肖私仅y 、 匕括任何通宜之配位體形成基如吡咯紫質 (漆化青)、花青麵、容、膝p 一 . 貞夕~ 類、環晞烴類或乙炔類所見者。 85850 • 33 - 200416131 擴散阻斷材料亦可包括至少—種液晶材料。此外,擴散阻 斷材料可含任何種類之稠合聚芳婦如c〇renes、二萘嵌苯、 苯并一奈肷苯、紅烯、歆二萘、十五碳埽、苯并芴及足夠 大小之類似稠合芳婦。 該配位體亦可偶合至金屬原子上。本文所用之”金屬"意 指儿素週期表之d·區塊及f.區塊中之該等元素,以及具有似 金屬性質之該等元素,如碎及鍺。本文所用之"d_區塊"意指 在元素核周圍具有填入3d、4d、兄及“軌域之電子之該等 元素。本文所用之"f_區塊"意指在元素核周園具有填入4【及 5f軌域之電子之該等元素,包含鑭系及婀系。較佳之金屬 包含銦、銀、銅、鎵及其合金、銀包覆之銅、 及銀包覆之銘。"金屬"一詞亦包含合金、金屬/金屬複合物、 金屬陶资複合物、金屬聚合物複合物及其他金屬複合物。 本又所用〈化合物"意指具有固定組成可藉化學方法斷裂 成元素之物質。 數個顆粒可包括至少一種配位體·金屬偶合。該配位體_ 金屬偶合可包括銅。期望數個顆粒為物理性牵引至該介電 =料又期i數個顆粒形成阻擋層。該阻擋層設計成減少 金屬原子擴散人介電材料至少減少25%、至少㈣及至 75%,視阻擋層所選之材料而定。 、 本=欲之層狀材料亦可包括具有數個孔隙之介 2其中各孔隙具有孔隙直徑,及具有數個顆粒之層或僅 為數個顆粒,其中數伽職+、、 比該孔隙直徑大。徑為該孔隙直徑或可變的 85850 •34- 200416131 本又亦描述一種使金屬或可擴散原子及/或分子擴散入 具有數個孔隙之材料中之擴散達最小之方法,其中該方法 包括·· a)提供前驅物材料,纟包括具有分子尺寸大於數個 孔隙任何個《孔隙直徑之分子’· 提供溶劑載體溶液; c)、且口㈤驅物材料及洛劑載體溶液形成擴散阻斷反應性溶 液,·及d)將擴散阻斷反應性溶液施加至具有數個孔隙之材 料另具^例提供一種前驅物材料其分子尺寸小於孔隙 直徑,但在製成可界定為熱或化學處理或熱與化學處理兩 者組合 < 製程期間,可藉反應性溶液塗佈後及塗佈後活化 可膨脹而阻斷該孔隙。其他具體例提供一種如上述相同特 徵之前驅物材料,但可經CVD沉積。使金屬或可擴散原子 及/或分子擴散入材料中之擴散達最小之又另一具體例包 括見於發證之US專利6,544,650(2003年4月8日發證)及US專 利申請號 10/326233(2002 年 12 月 19 日申請);09/543628(2〇〇〇 年4月5曰申請)及ΐ〇/ιι3461(2002年3月28曰申請)之該等方 法,該等專利均由Nancy Iwamot〇申請並讓渡與H〇neywell 國際公司,且併於本文供參考。 其他材料層可偶合至層狀介面材料以連續建立層狀元件 或印刷電路板。期望額外層將包括類似前述之材料,包含 金屬、金屬合金、複合材料、聚合物、單體、有機化合物、 辨機化合物、有機金屬化合物、樹脂、黏著劑及光學波導 材料。 層合材料或外覆材料層可偶合至層狀介面材料,視元件 所需規格而定。層合物一般視為纖維強化樹脂介電材料。 85850 -35- 200416131 外覆材料為當金屬及其他材料如銅併入層合物時產生之次 一類層合物(Harper,Charles A·,電子封裝及互連手冊,第2 版,McGraw-Hill(紐約),1997)。 旋塗層及材料亦可添加至層狀介面材料或後續層中。旋 莹堆璺膜教示於Michael E· Thomas,,,低/ceff電介質之旋塗 堆疊膜”,HJli(2001年7月),其併入本文供參考。 其他額外材料層實例包括金屬(如可用以形成穿孔填充 或印刷電路板者且亦可為包含於us專利號5,78〇,755 ; 6,113,781,· 6,348,139,·及 6,332,233 者,其均併於本文供參 考)、金屬擴散層、掩模層、抗反射塗佈層、黏著促進層等。 本文所述之層狀材料或元件應用包括將材料及/或元件 併入另一層狀材料、電子元件或完工之電子產品中。本文 所欲之電子元件一般認為包括可用於電子為主產品之任何 層狀元件。所欲電子元件包括電路板、 材、電路板之介電元件、印刷金屬板、及電路:二 如電容、感應器及電阻。電子元件或半導體元件可包括本 文所述之所欲層狀元件。 電子為主之產品可為"完工者"意指其在工業上已可使用 或已可由其他消費者。完工之消費者產品實例為電視、電 腦:行動電話、呼叫器、掌上型組織器(〇rganizer)、攜帶式 收印機,飞車曰響、及遙控器。又所欲者為"中間物”產品 如=在可利料完工產品之電路板、晶片封裝、及鍵盤。 電子產品亦可包括在由理論模型發展至最終量產/仿造 之任何階段之原型元件。原型可或未含所有完工產品所需 85850 -36- 200416131 之所有確實元件,且原型可具有架構該複合材料之有些元 件以使其他元件上之最初測試之最初效果無效。 本文所用之”電子元件’’意指可用於電路以獲得些許所需 電氣作用之任何裝置或零件。本文所欲之電子元件可以許 多方式分類,包含分類為主動元件及被動元件。主動元件 為可有些許動力功能之電子元件如增幅、諧振或訊號控 制,其操作一般需要動力。實例為雙極電晶體、場-效電晶 體及積體電路。被動元件為靜態操作之電子元件,亦即通 常無法增幅或諧振且一般不需要動力供其特徵操作。實例 為習知電晶體、電容、誘電體、二極體、整流器及保險絲。 本文所欲之電子元件亦可分類為導電體、半導體或絕緣 體。此處,導電體為可使電荷載體(如電子)易於以電流在原 子間移動之元件。導電元件實例為電路軌跡及包括金屬之 穿孔。絕緣體為其功能實質上與材料極度阻抗電流導電之 能力有關之元件,如用以電分離其他元件之材料,同時半 導體為其功能實質上與材料在導體及絕緣體之間傳導電流 之能力有關之元件。半導體元件實例為電晶體、二極體、 有些雷射、整流器、閘流晶體管及光感器。 本文所欲之電子元件亦可分類為電源或功率消耗者。電 源元件典型上用以驅動其他元件且包含電池、電容、線圈 及燃料電池。本文所用之”電池”意指經由化學反應可產生 可用量電力之裝置。類似地,可充電或二級電池為經由化 學反應儲存可用電力之裝置。功率消耗元件包含電阻、電 晶體、ICs、感應器等。 — 85850 -37- 200416131 又本文所欲之電子元件亦可分類為不連續或整合者。不 連續7L件為可提供集中在電路一處之一種特定電性質之裝 置。實例為電阻、電容、二極體及電晶體。整合元件為可 在電路一處提供多重電性質之元件組合。實例為ICs,亦即 積體電路其中數個元件及連接軌跡係組合進行多重或複雜 功能如邏輯者。 f例 f例丄:實驗模擬銅擴散經一船材科 圖1^15顯示銅原子10導入並擴散經數個矽原子2〇之實 驗模擬。圖14顯示銅原子10擴散入數個矽原子2〇之前之依 序排列之數個矽原子20。圖15顯示銅原子1 〇開始擴散且誘 發數個矽原子20失序之數個矽原子2〇。所計算之Ea小於 〇.5€\^’與文獻值相符(〇.436\^-012-1〇1化學及物理。1^手冊 77版 1996-1997, David R· Lide編輯,CRC 出版社)。 圖16-17顯示銅原子100導入並擴散經氧化矽基質12〇之 貫驗模擬。圖16顯示銅原子1 〇〇自侧視方向擴散,其中銅原 子100到達氧化矽基質12〇。圖17顯示銅原子1〇〇以上下方向 擴散入氧化矽基質120,其中銅100已擴散通過氧化矽基質 120。所計算之E^l.3eV。 圖18顯示具有數個孔隙21〇之多孔有機材料基質2〇〇之實 驗模擬,其中多孔有機材料基質200偶合至基材22〇。基質 撓性及基質介面反應,亦即材料設計,在常式控制或消除 銅擴散通過該多孔有機材料基質2〇〇時非常重要。最遭狀況 下之計算Ea約3.0eV。 — 85850 -38- 200416131 圖19顯示藉上述實驗模擬測定之銅擴散活化能。如所 不’多孔材料及矽之活化能小於约〇 4eV。就使銅原子擴散 最小或消除而言,對擴散常數(D)在700K小於lxl(T14 cm2/ 秒而吕’最小活化能必須大於約13eV。圖2〇顯示具有孔隙 3 1〇之夕孔材料300之分子模擬。本文期望阻斷分子320可導 入涊多孔材料300中,以阻斷該孔隙310並控制擴散原子及/ 或分子如鋼原子之擴散。所建立之阻斷要件提示為了使阻 斷刀子 < 阻斷能力最大,該分子幻本身不擴散經過該孔隙 (由杈型實驗評估大於約L7eV);及…具有朝銅擴散之高活 化能(由模型實驗評估大於約13eV)。 圖21A、21B及22顯示所研究之阻斷劑實例。銅通過阻斷 劑之模擬實驗提示該等結構對銅擴散之活化能超過3eV。類 似此之化合物具有適當密度及硬度以阻斷銅移動。 斷劑之擴畨眘腠 此叹走貫驗中,利用數種阻斷劑及兩種主要類型之孔隙 進行研究。阻斷劑包括兩種主要人造形體,使用硬質核心 結構外包所需官能基。圖21八所示之阻斷劑分子,,A,,包括有 機矽烷為主之籠型阻斷劑分子(無機),其中該表面官能基及 内4硬貝核〜έ能基由有機矽烷所包括;及圖21B所示之阻 斷劑分子"B”包括附接至硬質有機核心結構之樹枝狀聚物 胺為主之阻斷劑分子(有機)表面結構。圖22所示之阻斷分子 包括混合之有機錢及有機結構。該等阻斷劑分子對銅原 子擴政具有適网活化能。如前述,亦研究兩種主要類型之 孔隙·無機氧化石夕孔隙(一般氧化珍所代表)及有機孔隙(由 85850 -39- 200416131 1所製造)。相關轉化 GX3 所代表,Honeywell電子材料公 因數為2 3 · 1什卡/ 〇 v。 圖23顯示無機及有機阻斷劑對無貞及有貞孔隙兩者之作 用圖形,對阻斷劑本身擴散之活化能隨阻斷劑大小增加。 圖23顯示分子可設計成符合幾何及能量需求。圖μ顯示兩 種圖(A)及(B),其中圖a顯示電介質_阻斷劑相互作用能(什 卡/莫耳)相對活化能(什卡/莫耳),及圖B顯示阻斷劑/孔隙開 放比例相對於電介質-阻斷劑相互作用能(仟卡/莫耳)^通 常,增加活化能需要較低之阻斷劑與孔隙(或基材表面)間之 相互作用。 圖25顯示兩種圖(A)及(B),其中圖A顯示阻斷劑橫截面/ 孔隙開孔相對於活化能,及圖B顯示阻斷劑/孔隙面積比例 相對於相對活化能。需注意小於約以^!4之擴散常數所需 <活化能約40什卡/莫耳。由該等圖形,可看出通常結合愈 佳活化能愈差。最穩定之趨勢為GX3TM/有機樹枝狀聚物及 SiOV氧化矽阻斷劑。該等結果提示通常相互作用不利於活 化能。圖26顯示由上述實驗模擬所概述之活化能。 圖27顯示兩種圖形(A)及(B),其顯示硬質孔隙(固定)及可 撓孔隙(移動或”未固定”)間之差異。由該等圖形可了解0對 擴散之反應包含基質”反應”;及1))對(3\37乂而言,可撓性基 質有助於阻擋阻斷劑擴散同時具有低相互作用(結合能或 BE)。圖28確認圖27所示之資訊,顯示當GX3TM孔隙僅與氧 化碎阻斷劑使用時,最糟狀況臆測為硬質核心。因此對大 部分有機物而言,當基質一般為無高度懸垂分支結構之硬 85850 -40- 200416131 貝物時,擴散可能性增加。依據該模型,與氧化矽為主之 基質相較,與擴散關聯之硬質性係由於有機基質之較低密 度。圖29亦確認對矽為主之孔隙而言,基質效果差異較不 明顯-意指任一種基質類型(硬質/固定及撓性/未固定)需與 樹枝狀聚物阻斷劑及氧化矽蘢型阻斷劑一起作用,只要該 阻斷劑/開放截面比例夠大。亦即,通常注意到基質效果, 其與介電基質結構直接相關且反應於力(熱、機械或其他) Λ削述之有效黏度"間接相關,此提示有效黏度越高, Κ散1、向越低且對擴散之活化能越高。有效黏度反應於基 質硬度以及其密度。電介質密度及介面密度已在前述以銅 移動加以討論,且在本文亦可應用於其他分子。圖川_34顯 不上述阻斷劑/孔隙模擬之其他實驗及具體例,其仍確認本 文所述結果。孩模擬實驗顯示通常阻斷 間之較低相互作用能(結合細)可藉增加擴。二= 能而降低其擴散經開放孔隙之傾向。 回至阻斷劑分子之描述,分子構造或結構變得顯而易 見L如4介電基質藉由牵引基質移動而必須具有低„有 黏度",靠_龍構造具有相同㈣。 、除了阻斷劑需大於孔隙、牵引對阻斷劑結構之内部移動 =外’具體例將包含由高度分支(樹枝狀聚物)表面所包圍之 高度硬質核心所構成。核心之硬度尺寸係選擇大至足以牵 引孩高度分支表面移動。此外’表面足夠分支以牵引表面 移動並增加阻斷劑表面之原子密度。較好之表面基亦將含 有了降低W孔隙相互作用之官能基以進_步限制阻斷劑分 85850 -41 - 200416131 子本身擴散。但其他具體例中,阻斷劑表面可含有其他官 能基以提供與下層之反應性或反應性催化、阻斷劑聚合反 應、或對其他製程如CVD/ALD攜帶前驅物。 复A3 :製裎鹿闱 如前述,圖21A、21B及22顯示阻斷結構,其可如所述作 為被動阻斷劑,或可進一步官能基化用於對電子材料工業 重要之其他製程。 例如’阻斷劑結構表面可由活性化學㈣劑或清潔劑如 氟為主之氧化珍蝕刻劑或胺為主之羥基清潔劑所構成。例 如表面終端官能基可含有氟化録、氫氧化銨之離子對作為 ㈣蚀刻劑或清潔劑。該表面之終端官能基可含有光感超 故’其照射後作為㈣劑或清㈣卜該超酸亦可提供催化 條件供進—步使底層斷裂。分子之尺寸及形狀之阻斷性質 限制阻斷分子滲透人介電材料,但具有反應性官能基以僅 敍刻或清料間物表面提供㈣制之_或㈣之清潔分 子。 、另-:欲具體例中,p且斷分子之表面官能基亦可含有可 進步還原或直接作為金屬沉積之晶種層之複合鋼或金 屬。此複合物可含有配位體如吡咯紫質類(溱花青)、花青 類、多烯烴類、環烯烴類或乙玦類所見者。 又另一具體例中,表面官能基可含有可交聯基(熱、化學 或光化子)其作用以使電介質之中間物表面密實。可交聯 T能基y騎烴或多㈣、環烯烴、丙烯㈣、甲基丙缔 酸@曰氰基丙烯酸酯、氰酸酯、環氧化物、乙炔類、矽烷 85850 -42- 200416131 類、烷氧基有機矽烷、乙晞氧基有機矽烷、氰基丙烯酸酯' 秀氰酸酯、兴氰尿酸酯、α,点不飽和醛或酮、α,々不飽和 酯、α,/3不飽和醯胺、α5/3不飽和亞醯胺。此外,可交聯 之έ能基可由設計成特異地反應成基材表面官能基之反應 物所構成。反應物組合實例包含用於表面環氧基或異氰酸 酯之醇或胺;用於表面醇、胺或環氧基之酯或酸酐;用於 表面醇或胺等之環氧基或異氰酸酯。此方式中,並未損及 巨大介電層之介電常數,但永久更機械阻抗障壁為共價鍵 結結果。此永久障壁可在其他製程如蝕刻(乾/濕)、清潔、 CVD應用或CMP(熱機械拋光)程序之前使用以提供表面對 該製程之增進抗性。例如CMp中,障壁提供對溶劑滲透之 更大抗性,該滲透可降解機械性能及對製程之選擇性。 如έ有可夂聯基之類似角色,表面終端官能基可含光感 觸媒如游離基或超酸產生劑,其作為電介質或阻斷劑分子/ 電介質之中間界面交聯觸媒或作為供次一製程層固化用之 觸媒。此觸媒包含翁鹽(例如二芳基碘翁鹽如二苯基碘翁、 二方基磺醯翁鹽、苯烯基磺醯翁鹽、芴翁鹽、三苯基吡喃 翁鹽、二烷基苯醯基磺醯翁鹽等)、過氧化物及過氧酸。 另所名人具體例中,該表面官能基調整成與製程之隨後 層化學可相容。該阻斷劑分子可用以增進電介質、光阻劑、 BARC層或金屬層之黏著性,視其應用於IC製程中而定。藉 由使具有附接至次一接觸層或與其反應之基之表面官能激 化可獲得增進之黏著劑。該阻斷劑分子亦可用於增進隨後 層 < 濕潤性(表面濕潤劑),而不破壞底下層。表面濕潤增進 85850 -43- 200416131 作用可使用附接表面官能基而進 、 此土 仃界面活性劑中所用之 典型耳能基包含長鏈脂肪酸、聚氧 年^ 〇沛、聚虱丙烯、碳水 化合物及長鏈有機酸或續酸。", Cage structure", "cage molecule" and "cage compound" are intended to be used interchangeably and represent a molecule having at least 10 carbons arranged in at least one two or more atoms bridging a covalently linked ring system. In other words, a cage structure, a cage molecule, or a cage compound includes several rings formed by covalently bound molecules, where the structure, molecule, or compound defines a volume such that the point of the volume does not leave the volume and does not pass through The ring. The bridge and / or ring system may include one or more heteroatoms and may be aromatic, partially saturated, or unsaturated.胄 —The desired cage structure contains c60 carbon fullerenes and a crown-shaped ϋ with at least one bridge bond. For example, Vajrayana or Diamond ㈣ is considered a cage structure, and: Compounds or aromatic spiro compounds are not considered 茏 -type structures in this definition because: 荇 compounds or aromatic spiro compounds do not have one or more bridge bonds. 85850 -23- 200416131 The desired cage compound is not necessarily limited to including only carbon atoms, but may also contain heteroatoms such as N, S, O, P, and the like. Heteroatoms can be advantageously introduced into non-quadrilateral angular configurations. Regarding the derivatization of substituents and desired cage compounds, it is necessary to recognize that many substituents and derivatizations are applicable. For example, when the cage compound is relatively hydrophobic, a hydrophilic substituent may be introduced to increase the solubility in a hydrophilic solvent, or vice versa. Alternatively, when polarity is required, a polar side group may be added to the cage compound. It is further desirable that the appropriate substituent may include a thermophilic group, a nucleophilic group, and an electrophilic group. It is also important to understand that functional groups can be used in cage compounds (such as accelerated crosslinking reactions, derivatization reactions, etc.). When the cage compound is derivatized, it is particularly desirable that the derivatization includes the caged compound and a particularly preferred halogen is fluorine. The cage molecules or compounds detailed herein can also be a base attached to a polymer backbone, and thus can form a nanoporous material, where the cage compound forms a hole (intramolecular) and in which at least a portion of the backbone itself or Cross-linking with another backbone can form another type of hole (intermolecular). Other cage molecules, cage compounds, and variations of these molecules and compounds are detailed in PCT / US 01/325 69, filed October 18, 2001, and incorporated herein by reference. Desirable polymers also include a wide range of functional or structural groups, including aromatic systems and halogenated groups. Furthermore, suitable polymers may have many configurations, including homopolymers and heteropolymers. Furthermore, alternating polymers may have various forms such as linear, branched, hyperbranched, or three-dimensional. The molecular weight of the desired polymer varies over a wide range, typically between 400 and 400,000 ears or more. Some aspects of the organic and inorganic materials described herein are similarly described in 6111 ^ (^ 1 ^ et al. 113 Patent No. 5,874,516 (February 1999), which is incorporated herein by reference for the text of -24-85850 200416131, and can be read as It is used in substantially the same way as described in the patent. For example, it is expected that the organic and inorganic materials described herein can be used in the manufacture of electronic wafers and multi-chip modules, interlayer dielectrics, protective coatings, and in circuit boards or printed circuit boards as Substrate. Furthermore, the thin films or coatings of organic and inorganic materials described herein can be formed by solution technology such as spraying, spin coating or wash casting, and the spin coating method is preferred. The preferred solvent is 2_B Oxyethyl ether, cyclohexanone, cyclopentanone, toluene, xylene, chlorobenzene, N-methylpyrrolidone, N, N-dimethylformamide, N, N-dimethylacetamidine Amine, methyl isobutyl ketone, 2-methoxyethyl ether, 5-methyl-2-hexanone, butyrolactone and mixtures thereof. Typically, the thickness of the coating is about 0.01 to about 5 microns. As for dielectric materials, the film thickness is less than 2 microns. Additives can also be used to enhance or impart specific target properties As known in the polymer field, it contains stabilizers, flame retarders, pigments, plasticizers, surfactants. It can be blended with compatible or non-compatible polymers to obtain the desired shellfish. Adhesiveness can also be used. Accelerator. This accelerator is typically hexamethyldisilazide, which can be used to react with available hydroxyl groups (exposed to moisture or humidity) on surfaces such as silicon dioxide. Microelectronic applications Polymers are good. There are low τ (generally less than about i, preferably less than ppb) ions, especially when used in dielectric interlayers. The "cross-linking" used in the eighth article represents at least one of the long molecules. Two molecules or two halves are connected by a pre-interaction. This interaction can be hairy / contained in many ways to form covalent bonds, hydrogen bonds, hydrophobic, hydrophilic, ion or electrostatic interactions. Furthermore, molecules Interaction can also be characterized by the knife and itself < At least temporary physical 85850 -25- connection between two or more molecules. "Some preferred specific examples include several holes and / or pores in all or all of the dielectric layers or low dielectric layers. These pores can also be expressed by "nano, rice porous layer" or "ultra-nanometer porous layer,". As used herein, the nanoporous layer ' ' represents any suitable low-quality material (i.e., $ 3.00) composed of several pores and non-volatile components. As used herein, "essentially," it means that the required ingredients are present in the layer in a percentage of 5 million, 5 1 weight f. The layered materials described herein include a) a) a dielectric material having several pores, each pore in the ridge having 3 彳 2 and b) a layer including several particles, wherein the particle diameter of the particles is larger than the pore diameter . Incorporation or introduction of a porous source into a dielectric material can form several pores and / or pores / the same at least one porous source can be added to the dielectric material and / or layer. The holes ^ or pores may be formed as a result of structural rearrangement or material loss, so that pores or holes are left or the free volume is increased. As used herein, the term "pores" includes pores and cells in the material and any eight meditation spaces. The term "pores" also includes differences in the density of materials in which 2 volumes are increased (induced by the "porosity f ,,"). Suitable gases include the relatively pure 1 and 8 pores. It is mainly a mixture of N2 and 〇2 Air is generally distributed in the pores, but pure gases such as nitrogen, helium, argon, (: 02 or C0 can also be. The pores are typically spherical but may or may also contain tubular, layered, flat ^ /, Holes of other shapes or combinations of the foregoing shapes may be open or closed. The "porous source" used herein may have various mechanisms to form pores but is usually a material that remains after removal, pores "or "Holes" or rearrangeable materials that produce "pores" or "holes". In a specific example, the original porosity is irradiation, plus 85850 -26- 200416131 thermal, chemical or moisture decomposable, degradable, decomposable polymerization Or breakable decomposable materials and containing solid, liquid or gaseous materials. Decomposable porous materials can be removed from a partially or fully crosslinked matrix or volatile or diffuse to create pores in a subsequently fully cured matrix, and therefore Reduce base free Constant and enhance the sacrificial property. In another specific example, the porous element may be a material that does not decompose but is soluble in the matrix leaving "pores,". In the third specific example, the porous element may be non-decomposed but sufficiently volatile. Material to escape at a specific temperature such as' 250-350 C. Supercritical materials such as CO2 can be used to remove the porous original and decomposed porous original fragments. Better, for thermally decomposed porous original and & The Porogen includes materials that have a decomposition temperature greater than the minimum cross-linking temperature of the material. Better, the novel Porogen has a degradation or decomposition temperature of up to about 300 C and in some cases greater than about 3000c. Better, degradation Or the decomposed porous source is volatile at a temperature greater than the minimum cross-linking temperature of the material combined with the porous source. Preferably, the degraded or decomposed porous source is volatile at a temperature of about 500c to about 45 ° C (rCi). w〇_31183 teaches that porogens can be added to thermosetting curable benzocyclobutadiene, polyarylene, or thermosetting perfluoroacetamidine monomers to increase the porosity and thus reduce the dielectric constant of the resin, but This reference mentions _ unknown Porous prototyping of the first matrix system may not be sufficient · Good functioning in another matrix system. Known pores such as linear polymers, star polymers, cross-linked polymerized nanospheres & Copolymers and highly branched polymers can be inorganic-based, and materials and materials are used in the specific examples. Suitable linear polymers are polyethers such as water (ethylene oxide) and Ic (propylene oxide); polyacrylic acid Esters such as poly (methyl methacrylate 85850-27-416131 esters); aliphatic polycarbonates such as poly (propylene carbonate) and poly (ethylene carbonate); Monomer units selected from hydrogenated acetophenone and hydroxy-substituted styrene); poly (α-methylstyrene); and other polymers based on acetamidine. Available polyester porous precursors include polycaprolactone; polyethylene terephthalate; poly (oxyhexamethyleneoxy), 4-phenylene poly (oxyparaphenylene terephthalate) Oxyphenylene); poly (oxyhexamethyleneoxy-1,6-hexamethylidene); polyglycolide, polylactide (polylactic acid), polylactide_acetate, polypropylene Homoacids, polycarbonates such as poly (hexadecane methyl carbonate) diols have a molecular weight of about 500 to about 2500; and polyethers such as poly (bisphenol A_copolymer_epichlorohydrin) have a molecular weight of about 300 to about 6,500. Suitable crosslinked insoluble nanospheres (prepared as nanoemulsions) are suitably composed of polystyrene or poly (methylpropionate). Suitable block copolymers are polyglycolic anhydride, polylactic acid, poly ( Styrene-copolymer-α-methylstyrene), poly (phenethylfluorene_vinyloxy), poly (ether lactone), poly (ester carbonate) and poly (lactone lactic acid). Suitable for highly branched polymers It is a highly branched polymer such as highly branched poly (caprolactone) and polyethers such as polyethylene glycol and polypropylene oxide. Other available porous sources are ethylene glycol-poly (caprolactone). The polymer back stage contains polyethylpyridine, hydrogenated polyethylidene aromatic, polyacrylonitrile, polyoxetane, polycaprolactam, polycarbamate, polydioxane, etc. And 1 x glutamate, polyvinyl chloride, polyacetic acid and amine_end-capped oxygen. Other available thermoplastic materials include polyisoprene, polytetrahydrofuran, and polyethyl π-xylane. Applicable Other suitable porous precursors include polymers in the desired specific examples, preferably those containing one or more reactive groups such as meridian or amine groups. These general figures are used in the compositions and methods disclosed herein The suitable polymer porous 85850 -28- 200416131 was originally, for example, polyalkylene oxide, polyalkylene oxide monoether, polyalkylene oxide diether, polyalkylene oxide diether, aliphatic polyester, acrylic polymerization Polymers, acetal polymers, poly (caprolactone), poly (valerolactone), poly (methylpropionate), poly (ethylene butyric acid), and / or combinations thereof. When the porous source is poly In the case of elongating oxymonoethers, a particularly specific example is a C! To about 〇 垸 fluorenyl chain between an oxygen atom and Ci to about CO alkyl groups and its The fluorenyl chain is substituted or unsubstituted, such as polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether. A porous proton including at least two fused aromatic rings ( Wherein each fused aromatic ring has at least one alkyl substituent on it and there is a bond between at least two alkyl substituents on adjacent aromatic rings), it can be used for the specific example desired. The preferred porous source includes Unfunctionalized polyanthracene homopolymer, functionalized polyanthracene homopolymer, polyanthracene copolymer described below, poly (2-vinylfluorene), and ethenyl Anthracene pentamidine, and blends of each other. Other available porous precursors include adamantane, diamondane, C60 carbon macromolecules, and polyorborneol. Each of these porous precursors (including the above) can be combined with another or Blend of other porous raw materials, such as polycaprolactone, polystyrene, and polyester. Available blends include unfunctionalized polyanthracene pentaene homopolymer and polycaprolactone. More preferred porogens are non-functionalized polyanthracene fluorene homopolymer, functionalized polyanthracene fluorene homopolymer, polycarbohydrazine 'copolymer, and polyorborneol. Useful polyanthracene homopolymers may have a weight average molecular weight of preferably about 300 to about 20,000; more preferably about 300 to about 10,000, and most preferably about 10% Up to about 7000, and different initiators such as 2,2, -azobisisobutyronitrile (aibn); di-third butyl azodicarboxylic acid; di-phenyl azodicarboxylic acid; U, · Azobis (cyclohexanecarbonitrile); benzamyl peroxide (BPO); tertiary butyl peroxy 85850 -29- 200416131; and boron trifluoride diethyl etherate from anthracene Obtained from the polymerization of pentene. The polyanthracene pentamidine homopolymer may have a terminal functional group such as a para bond or a double bond to the bell end ^ A double or triple bond alcohol such as an allyl alcohol, propynol, butynol, butanol, or methyl Hydroxyethyl valerate terminates the cationic polymerization. European Patent Publication No. 3 丨 5453 teaches that silicon oxide and certain metal oxides can react with carbon to form volatile suboxides and gaseous carbon oxides to form pores and teaches that the carbon source contains any suitable organic polymer including polyimide . However, the reference does not teach or suggest that polyanthrene pentamidine is a porous source that can be used for non-metallic materials or can be used to reduce the dielectric constant of the matrix or increase the etching selectivity of dielectric materials. Useful polyanthracene copolymers can be linear polymers, star polymers or fluorene branched polymers. The co-monomer may have a large pendant group that can lead to a copolymer configuration similar to a polyanthracene homopolymer, which can result in a non-giant pendant group that is different from the copolymer configuration of a polyanthracene homopolymer. Co-monomers with huge pendant groups include dimethyl vinyl acetate; tert-butyl propionate; phenethylfluorene; α-methylstyrene; tertiary butylphenylethylfluorene; ethylfluorene; 5_ Vinyl-2-orbornyl bromide; vinylcyclohexane; vinylcyclopentane; 9-vinylanthracene pentafluorene; 4-vinylbiphenyl; tetraphenylbutane bromide; diphenylacetamidine ; Third butyl stilbene; and indene; and preferably trimethyl vinyl acetate. Hydrogenated carbonite can be used as an additional co-monomer or as a copolymer component with anthracene pentamer and at least one of the aforementioned co-monomers. Examples of useful hydrogen polycarbosilanes have 10% or 75% allyl. Comonomers with non-giant side groups include vinyl acetate, methyl acrylate, methyl methacrylate and vinyl ether and preferably vinyl acetate. 0-85850 • 30- 200416131 When discussing pore generation, The term "degradation" stands for covalent bond breaking. This bond fracture can occur in tens of thousands of ways, including heterogeneous decomposition and in-phase decomposition fracture. Bond breaking does not need to be complete, that is, not all breakable bonds may be broken more quickly in some bonds than others. For example, brewing: generally = amine bonds are more disturbed and therefore break at a faster rate. Bond breakage may also result in the release of different fragments, depending on the chemical composition of the degraded portion. In a preferred specific example of the pore generation process, for thermally degrading a porous source, ‘applying thermal energy to a material containing a porous source to substantially degrade or decompose the porous source into its starting components or monomers. As used herein, " substantially degrading " preferably means that at least 40% by weight of the porogen is degraded or decomposed. In a more preferred embodiment, at least about 50% by weight of the porogen is degraded or decomposed, and in a most preferred embodiment, at least about 80% by weight of the porogen is degraded or decomposed. In another specific example, the porous raw material is dissolved in another process stage and combined with another manufacturing stage, such as during lithographic etching and development or during the wet stripping of the material containing the porous raw material. Any suitable procedure or conditions may be used to remove or at least partially remove at least one porous source, including heating, dissolving in a solvent, preferably etching, exposure, electro-magnetic irradiation such as ultraviolet, x-ray, laser or infrared radiation; Mechanical energy such as acoustic vibration or physical pressure; or particle irradiation such as T-rays, alpha particles, neutron beams, or electron beams, such as commonly assigned patent publications PCT / US96 / 08678 and US patents, Lee 6,042,994; 6, _, 526; 6,177,143; and 6,235,353, all of which are incorporated herein by reference. The materials, precursors, and layers described herein can be and can be designed to be solvated or dissolved in any suitable solvent in any manner, as long as the resulting solution can be applied to a substrate, surface, wafer, or layered material. Typical solvents are also those solvents which are soluble 85850 -31-200416131 monomers, isomeric monomer mixtures and polymers. The desired solvent comprises any suitable pure solvent or mixture that will evaporate or accelerate any of the above-designed or desired organic or inorganic molecules at a desired temperature, such as a critical temperature. The solvent also includes any suitably pure solvent or mixture of polar and non-polar compounds. As used herein, "pure π" means a component having a fixed composition. For example, pure water is composed only of η2ο. "Mixture" as used herein means a non-pure component, including saline. As used herein, "polar" means a molecule Or a compound has the property of generating an unequal charge, a partial charge, or a spontaneous charge distribution at or along a point of a molecule or compound. As used herein, "π non-polar" means the property that a molecule or compound generates an equal charge, a partial charge, or a spontaneous charge distribution at or along a point of the molecule or compound. In some specific examples, a solvent or a solvent A mixture (including at least two solvents) includes those solvents that are considered to be part of a hydrocarbon solvent. Hydrocarbon solvents are those solvents that include carbon and hydrogen. It is important to understand that the main hydrocarbon solvents are non-polar; however, several hydrocarbon solvents can be considered as Polarity. Hydrocarbon solvents are generally divided into three types: aliphatic, cyclic, and aromatic. Aliphatic hydrocarbon solvents can include both linear compounds and branched and possibly crosslinked compounds, but aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that have properties similar to aliphatic hydrocarbon solvents and are oriented in a ring structure and include at least three carbon atoms. Aromatic hydrocarbon solvents are those containing three or more unsaturated bonds that contain And / or monocyclic or polycyclic solvents fused together. The desired hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, trimethylbenzene, solvent naphtha Hydrazone, solvent naphtha A, alkanes such as pentane, hexane, isohexane, alkane, nonane, octane, dodecane, 2-32- 85850 200416131 methylbutane, hexadecane, Tridecane, pentadecane, cyclopentane, 2 2 4 trimethyloxane, petroleum ether, hydrocarbons such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, i 2 monomethyl +, 1'2 ' 4-Dimethylbenzene, mineral spirits, kerosene, isobutyl benzamidine, ethyltoluene, petroleum spirit. Particularly good solvents include (but not limited to) pentyl, hexane, heptane, cyclohexane, Benzene, toluene, xylene, and mixtures or combinations thereof. In another specific example, the solvent or solvent mixture may include solvents that are not considered to be hydrocarbon solvents, such as ketones such as propane, diethylamidine, and Ethyl ketones, alcohols; esters, ethers, and amines. In yet another specific example, the solvent or solvent mixture may include any combination of the solvents described herein. In a preferred embodiment, the solvent includes water , Ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ether, cyclohexanone, butyrolactone, methyl ethyl ketone, and anisole. In the system, no solvent is used and at least one liquid monomer is selected to form a solvent-free formulation. It is also expected that another low dielectric constant material may also include other components. For example, when a material with a low electrical conductivity is exposed to mechanical stress, it may be added Softener or other protective agent. In other examples, when the dielectric material is placed on a smooth surface, an adhesion promoter should be used. In other examples, it may be desirable to add a cleaning agent or a defoamer. The A-blocking material may also include at least A CVD precursor material, such as those made by HoneWeU Electronic Materials, It Corning, and Schumacher. The diffusion blocking material can include at least one ligand and / or chelating agent. The coordination The body can only be y, including any convenient ligand-forming groups such as pyrrocene (lacquered green), cyanine noodles, capacity, knees, etc. Zhenxi ~, cycloalkanes, or acetylenes What you see. 85850 • 33-200416131 The diffusion blocking material may also include at least one liquid crystal material. In addition, the diffusion-blocking material may contain any kind of fused polyaromatics such as corenes, perylenes, benzonaphthylbenzene, redene, perylene, naphthacene, benzofluorene, and sufficient The size is similar to fused fragrant women. The ligand may also be coupled to a metal atom. "Metal" as used herein means the elements in blocks d · and f. Of the periodic table of children, as well as elements with metal-like properties, such as crushed and germanium. "&D; d _Block " means those elements with 3d, 4d, brother and "orbital electrons" filled around the element core. As used herein, " f_block " means those elements that have electrons filled in 4 [and 5f orbital domains in the elemental nucleus garden, including lanthanide and actinide. Preferred metals include indium, silver, copper, gallium and its alloys, silver-coated copper, and silver-coated inscriptions. The term " metal " also includes alloys, metal / metal composites, metal ceramic materials, metal polymer composites, and other metal composites. As used herein, "compound" means a substance having a fixed composition that can be chemically broken into elements. Several particles may include at least one ligand-metal coupling. The ligand_metal coupling may include copper. It is expected that several particles are physically pulled to the dielectric layer and that several particles are expected to form a barrier layer. The barrier layer is designed to reduce the diffusion of metal atoms and the dielectric material is reduced by at least 25%, and at least 75%, depending on the material selected for the barrier layer. , == The layered material that you want can also include a medium with several pores 2 in which each pore has a pore diameter, and a layer with several particles or only a few particles, of which number +,, is larger than the pore diameter . The diameter is the diameter of the pore or variable 85850 • 34- 200416131 This also describes a method to minimize the diffusion of metal or diffusible atoms and / or molecules into a material with several pores, which method includes: A) Provide precursor materials, including any molecule with a pore diameter greater than several pores. "Provide a solvent carrier solution;" c), and the osmotic precursor material and the lozenge carrier solution form a diffusion blocking reaction. And d) applying a diffusion-blocking reactive solution to a material having several pores. Another example provides a precursor material whose molecular size is smaller than the pore diameter, but can be defined as thermal or chemical treatment or Combination of thermal and chemical treatments < During the manufacturing process, the pores can be blocked by activating and expanding the reactive solution after coating and after coating. Other specific examples provide a precursor material with the same characteristics as described above, but can be deposited by CVD. Yet another specific example that minimizes the diffusion of metals or diffusible atoms and / or molecules into a material includes US Patent 6,544,650 (issued on April 8, 2003) and US Patent Application No. 10/326233 (Filed on December 19, 2002); 09/543628 (filed on April 5, 2000) and ΐ〇 / ιι3461 (filed on March 28, 2002), these patents are issued by Nancy Iwamot〇 has applied for and transferred to Honywell International and is incorporated herein by reference. Other material layers can be coupled to the layered interface material to continuously build a layered component or a printed circuit board. It is expected that the additional layers will include materials similar to those previously described, including metals, metal alloys, composite materials, polymers, monomers, organic compounds, discriminator compounds, organometallic compounds, resins, adhesives, and optical waveguide materials. The laminated material or the cover material layer can be coupled to the layered interface material, depending on the required specifications of the component. Laminates are generally considered as fiber reinforced resin dielectric materials. 85850 -35- 200416131 The overlay material is the second type of laminate produced when metals and other materials such as copper are incorporated into the laminate (Harper, Charles A ·, Handbook of Electronic Packaging and Interconnect, 2nd Edition, McGraw-Hill (New York), 1997). Spin coatings and materials can also be added to the layered interface material or subsequent layers. Spinning stacks are taught in Michael E. Thomas, "Spin-coated Stacked Films with Low / Ceff Dielectric", HJli (July 2001), which is incorporated herein by reference. Examples of other additional material layers include metals (if available Those who form perforated fills or printed circuit boards and which are also included in US Patent Nos. 5,78,0,755; 6,113,781, 6,348,139, and 6,332,233, all of which are incorporated herein by reference), metal diffusion Layers, masking layers, anti-reflection coatings, adhesion promotion layers, etc. The application of layered materials or components described herein includes the incorporation of materials and / or components into another layered material, electronic component or finished electronic product The electronic components desired in this article are generally considered to include any layered components that can be used in electronics-based products. The desired electronic components include circuit boards, materials, dielectric components of printed circuit boards, printed metal plates, and circuits: such as capacitors, Inductors and resistors. Electronic or semiconductor components may include the desired layered components described herein. Electronics-based products may be " completers " meaning that they are already industrially available or can be consumed by others Examples of completed consumer products are televisions, computers: mobile phones, pagers, palm organizers (〇rganizers), portable printers, speeding cars, and remote controls. The desired is " "Intermediate" products such as = printed circuit boards, chip packages, and keyboards that can be finished with materials. Electronic products can also include prototype components at any stage from the development of a theoretical model to final mass production / copying. The prototype may or may not contain all the exact components 85850 -36- 200416131 required for the finished product, and the prototype may have some components that structure the composite to invalidate the initial effects of the initial tests on other components. As used herein, "electronic component" means any device or part that can be used in a circuit to obtain some required electrical effect. The electronic component desired herein can be classified in many ways, including active and passive components. Active components are Some electronic components with dynamic functions, such as amplification, resonance, or signal control, generally require power to operate. Examples are bipolar transistors, field-effect transistors, and integrated circuits. Passive components are electronic components that operate statically, that is, usually Unable to increase or resonate and generally does not require power for its characteristic operation. Examples are conventional transistors, capacitors, inductors, diodes, rectifiers and fuses. The electronic components desired in this article can also be classified as conductors, semiconductors or insulators Here, a conductor is an element that allows a charge carrier (such as an electron) to easily move between atoms with an electric current. Examples of conductive elements are circuit traces and perforations including metals. Insulators are functionally conductive to materials with extreme impedance currents. Capability related components, such as materials used to electrically separate other components, and semiconducting It is a component whose function is essentially related to the material's ability to conduct current between a conductor and an insulator. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors, and light sensors. Electronic components can also be classified as power supplies or power consumers. Power supply components are typically used to drive other components and include batteries, capacitors, coils, and fuel cells. As used herein, "battery" means a device that generates a usable amount of electricity through chemical reactions Similarly, rechargeable or secondary batteries are devices that store available power through chemical reactions. Power-consuming components include resistors, transistors, ICs, sensors, etc. — 85850 -37- 200416131 The electronic components that are desired in this article are also available. Classified as discontinuous or integrated. Discontinuous 7L is a device that provides a specific electrical property concentrated in one place in the circuit. Examples are resistors, capacitors, diodes and transistors. Integrated components are available in one place in the circuit Components with multiple electrical properties. Examples are ICs, that is, several components and integrated trajectories of integrated circuits are combined. Multiple or complex functions are like logic. F Example f Example 丄: Experimental simulation of copper diffusion through a ship material family Figure 1 ^ 15 shows the introduction of copper atoms 10 and diffusion through several silicon atoms 20 experimental simulation. Figure 14 shows copper atoms 10 diffused into a number of silicon atoms 20 arranged in sequence before 20. Figure 15 shows that the copper atom 10 began to diffuse and induced several silicon atoms 20 to be out of order. The calculated Ea Less than 0.5 € \ ^ 'accords with literature values (〇.436 \ ^-012-1〇1 Chemistry and Physics. 1 ^ Handbook 77 edition 1996-1997, edited by David R. Lide, CRC Press). Figure 16 -17 shows an in-situ simulation of the introduction and diffusion of copper atoms 100 through the silicon oxide matrix 120. Figure 16 shows that copper atoms 100 diffuse from the side view, where the copper atoms 100 reach the silicon oxide matrix 120. FIG. 17 shows that the copper atoms have diffused into the silicon oxide substrate 120 in the upward and downward directions, and copper 100 has diffused through the silicon oxide substrate 120. Calculated E ^ l.3eV. Fig. 18 shows an experimental simulation of a porous organic material matrix 200 having a plurality of pores 210, in which the porous organic material matrix 200 is coupled to a substrate 22. Substrate flexibility and substrate interface reactions, that is, material design, are important when conventionally controlling or eliminating copper diffusion through the porous organic material substrate 2000. In the worst case, the calculated Ea is about 3.0 eV. — 85850 -38- 200416131 Figure 19 shows the activation energy of copper diffusion determined by the above experimental simulation. For example, the activation energy of the porous material and silicon is less than about 0.4 eV. In terms of minimizing or eliminating the diffusion of copper atoms, the minimum activation energy for the diffusion constant (D) at 700K is less than lxl (T14 cm2 / s) and must be greater than about 13eV. Figure 20 shows the pore material with pores 3 and 10 Molecular simulation of 300. It is expected that blocking molecules 320 can be introduced into the rhenium porous material 300 to block the pores 310 and control the diffusion of diffuse atoms and / or molecules such as steel atoms. The established blocking requirements suggest that in order to block Knife < The blocking ability is the largest, and the molecular phantom itself does not diffuse through the pores (greater than about L7eV as evaluated by a branch type experiment); and ... has a high activation energy (greater than about 13eV as evaluated by model experiments). Figures 21A, 21B and 22 show examples of the blocking agents studied. The simulation experiments of copper through blocking agents suggest that the activation energy of these structures for copper diffusion exceeds 3 eV. Compounds like this have the appropriate density and hardness to block copper movement. Expansion of Breaking Agents In this test, several blocking agents and two main types of pores are used for research. Blockers include two major artificial forms that use a hard core structure to outsource the required functional groups. The blocker molecules, A, shown in Fig. 21, include cage-type blocker molecules (inorganic) based on organic silanes, in which the surface functional group and the inner 4 hard shell cores ~ Includes; and the blocker molecule shown in FIG. 21B " B " includes a dendrimer amine-based blocker molecule (organic) surface structure attached to a hard organic core structure. Blocking shown in FIG. 22 The molecules include mixed organic money and organic structures. These blocker molecules have suitable network activation energy for the expansion of copper atoms. As mentioned above, two main types of pores, inorganic oxide stone pores (represented by general oxides) ) And organic porosity (manufactured by 85850 -39- 200416131 1). The related transformation represented by GX3, the common factor of Honeywell electronic materials is 2 3 · 1 shica / 0v. Figure 23 shows that inorganic and organic blocking agents are The action patterns of both pores and pores have an increase in the activation energy of the blocking agent itself with the size of the blocking agent. Figure 23 shows that the molecule can be designed to meet the geometric and energy requirements. Figure μ shows two types of graphs (A) and ( B), where a shows the dielectric_ Breaker interaction energy (Shika / Mole) relative activation energy (Shika / Mole), and Figure B shows the blocker / pore opening ratio relative to the dielectric-blocker interaction energy (仟 卡 / 莫尔) ) ^ In general, increasing the activation energy requires a lower blocker interaction with the pores (or substrate surface). Figure 25 shows two graphs (A) and (B), where Figure A shows the cross-section of the blocker. / The pore opening is relative to the activation energy, and Figure B shows that the blocker / pore area ratio is relative to the relative activation energy. Note that a diffusion constant less than about ^! 4 is required. < Activation energy is about 40 Shika / mol. From these figures, it can be seen that generally the better the binding, the worse the activation energy. The most stable trends are GX3TM / organic dendrimers and SiOV silica blockers. These results suggest that interactions are often detrimental to activation energy. Figure 26 shows the activation energy outlined by the above experimental simulation. Figure 27 shows the two graphs (A) and (B), showing the difference between hard pores (fixed) and flexible pores (moving or "unfixed"). From these figures, it can be understood that the response of 0 to diffusion includes a matrix "reaction"; and 1)) for (3 \ 37 乂), a flexible matrix helps to block the diffusion of the blocking agent and has a low interaction (binding energy Or BE). Figure 28 confirms the information shown in Figure 27, showing that when GX3TM pores are used only with oxidative fragmentation blockers, the worst-case scenario is speculated to be a hard core. Therefore, for most organics, when the matrix is generally heightless The overhanging branch structure is 85850 -40- 200416131, and the possibility of diffusion increases. According to this model, compared with the silica-based matrix, the rigidity associated with diffusion is due to the lower density of the organic matrix. Figure 29 It is also confirmed that for silicon-based pores, the difference in matrix effect is less obvious-meaning that any matrix type (hard / fixed and flexible / unfixed) requires a dendrimer blocker and a silicon oxide blocker Disintegrants work together as long as the blocking agent / open cross-section ratio is large enough. That is, matrix effects are usually noted, which are directly related to the dielectric matrix structure and respond to forces (thermal, mechanical, or other). Viscosity Related, this suggests that the higher the effective viscosity, the lower the K 1 and the direction and the higher the activation energy for diffusion. The effective viscosity is reflected by the matrix hardness and its density. The dielectric density and interface density have been discussed above with copper movement, and It can also be applied to other molecules in this paper. Tuchuan_34 shows other experiments and specific examples of the above blocker / pore simulation, which still confirms the results described herein. The simulation experiments show that the lower interactions between the blocks are usually blocked Energy (combined fine) can be expanded by increasing. Two = energy can reduce its tendency to diffuse through open pores. Returning to the description of the blocker molecule, the molecular structure or structure becomes apparent. It must have a low viscosity and have the same 靠 by the dragon structure. Except that the blocking agent needs to be larger than the pores, the internal movement of the blocking agent structure must be traction. Object) surface surrounded by a highly rigid core. The hardness of the core is chosen to be large enough to pull the child's high branch surface to move. In addition, the surface is sufficiently branched to pull The surface moves and increases the atom density on the surface of the blocker. A better surface group will also contain functional groups that reduce W pore interactions to further limit the blocking agent's component 85850 -41-200416131 diffusion itself. But other specific For example, the surface of the blocking agent may contain other functional groups to provide reactivity or reactive catalysis with the underlying layer, polymerization of the blocking agent, or carry precursors to other processes such as CVD / ALD. Compound A3: Preparation of deer As mentioned above, Figures 21A, 21B, and 22 show blocking structures that can be used as passive blocking agents as described, or can be further functionalized for other processes important to the electronics materials industry. For example, the surface of the blocking agent structure can be activated Chemical agents or cleaners, such as fluorine-based oxide etchants or amine-based hydroxyl cleaners. For example, the surface terminal functional group may contain fluoride ion, ammonium hydroxide ion pair as a rhenium etchant or a cleaning agent. The terminal functional group on the surface may contain photosensitivity, and the superacid may be used as an elixir or clear after irradiation, and the superacid may also provide catalytic conditions for step-breaking the bottom layer. The blocking properties of the size and shape of the molecules limit the blocking molecules from penetrating human dielectric materials, but they have reactive functional groups to provide the cleaned or cleaned molecules only by engraving or clearing the surface of the material. 、 Other-: In the specific example, the surface functional group of p and the broken molecule may also contain composite steel or metal that can be progressively reduced or directly used as a seed layer for metal deposition. This complex can contain ligands such as pyrrozine (cyanine), cyanine, polyolefin, cyclic olefin, or acetamidine. In yet another specific example, the surface functional group may contain a crosslinkable group (thermal, chemical, or photon) to make the surface of the dielectric intermediate body dense. Cross-linkable T-energy groups, hydrocarbons or polyfluorenes, cyclic olefins, propylene fluorenes, methacrylic acids Alkoxyorganosilanes, ethoxylated organosilanes, cyanoacrylates' cyanocyanates, cyanurates, α, point unsaturated aldehydes or ketones, α, fluorene unsaturated esters, α, / 3 Saturated amidine, α5 / 3 unsaturated amidine. In addition, the crosslinkable energy group can be composed of reactants designed to specifically react to form functional groups on the substrate surface. Examples of the reactant composition include alcohols or amines for the surface epoxy group or isocyanate; esters or anhydrides for the surface alcohol, amine or epoxy group; epoxy groups or isocyanate for the surface alcohol or amine, and the like. In this method, the dielectric constant of the huge dielectric layer is not damaged, but the permanent mechanical resistance barrier is the result of covalent bonding. This permanent barrier can be used before other processes such as etching (dry / wet), cleaning, CVD applications or CMP (thermo-mechanical polishing) procedures to provide the surface with increased resistance to the process. In CMP, for example, the barrier provides greater resistance to solvent penetration, which can degrade mechanical properties and selectivity to the process. If it has a similar role as a crosslinkable group, the surface terminal functional group may contain a light-sensitive catalyst such as a radical or a superacid generator, which serves as a dielectric or blocker molecule / dielectric intermediate interface cross-linking catalyst or as Catalyst for curing a process layer. This catalyst contains onium salts (e.g. diaryl iodonium salts such as diphenyliodonium, diphenylsulfonium salts, phenenylsulfonium salts, sulfonium salts, triphenylpyranium salts, Alkylbenzenesulfonylsulfonium salts, etc.), peroxides and peroxyacids. In another famous example, the surface functional group is adjusted to be chemically compatible with subsequent layers of the process. The blocker molecule can be used to improve the adhesion of the dielectric, photoresist, BARC layer or metal layer, depending on its application in the IC process. Improved adhesion can be obtained by stimulating surface functionality with a base attached to or reactive with the next contact layer. The blocker molecule can also be used to enhance subsequent layers < Wetting (surface wetting agent) without damaging the underlying layer. Surface wetting enhancement 85850 -43- 200416131 can be used to attach surface functional groups. Typical ear energy groups used in this soil surface active agent include long-chain fatty acids, polyoxylans, polyphenols, carbohydrates And long-chain organic acids or continuous acids.

阻斷㈣可用以増進特定阻斷位置之cvd/ald沉積作 用,視cvD/ALD前驅物而定。例如若阻斷劑分子之表面官 ,基具有對金屬CVD/ALD前驅物之較佳結合配位體,在該 等位置需優先沉積而不用擔心CVD/ALD前驅物進—步渗透 入底下層内部。若阻斷劑分子官能基由對銅或其他金屬特 1之配位體分子所構成,其可以障壁層或前驅物層優先沉 積在該等表面上。此配位體包含:t各紫質類(漆花青)、花 青類、多烯烴類、環晞烴類或乙炔類。Blockers can be used to advance cvd / ald deposition into specific blocking sites, depending on the cvD / ALD precursor. For example, if the surface molecule of the blocker molecule has a better binding ligand to the metal CVD / ALD precursor, it needs to be deposited preferentially at these positions without worrying about the CVD / ALD precursor entering-stepping into the bottom layer . If the functional group of the blocker molecule is composed of a ligand molecule specific to copper or other metals, it can be preferentially deposited on such surfaces as a barrier layer or a precursor layer. The ligands include: various rhodopsin (lacquered cyanine), cyanine, polyolefin, cyclofluorene, or acetylene.

又另一所欲具體例中,阻斷分子之官能基可調整至使分 子差異擴散以攜帶特定物種進入結構内部。該差異擴散可 精控制阻斷分子尺寸或附接長鏈側單股鏈(其活性由鏈官 月匕基所界足)或在鏈側端附接而控制。此可使其他物種如金 屬則驅物(其可隨後反應成金屬)、金屬氧化物及混合無機化 合物或中間物部分滲透。若包埋之前驅物與金屬反應,則 新的複合材料可作用為電阻結構,其未經額外光微影蝕刻 而製4 ’且當隨後表面金屬化將可提供電極。使用相同原 理若包埋之前驅物為無機氧化物或無機複合物,則可自 最初介電結構製造電容。若包埋之前驅物為鐵電無基物, 則可製造鐵電開關結構。若包埋前驅物為CVD石墨/鑽石觸 媒,則可製造增進之熱層。 藉由附接長鏈反應物物種至該阻斷分子可在底下介電層 85850 •44- 2〇〇41613l 製造差異介電常數。介電常數改變量將由長鏈物種之長度 及附接之官能基所界定。該包埋反應物如觸媒、超酸、胺In yet another specific example, the functional group of the blocking molecule can be adjusted to allow the molecule to diffuse differentially to carry a specific species into the structure. This differential diffusion can be controlled by controlling the molecular size or attaching the single strand of the long chain side (the activity of which is bounded by the chain base) or by attaching to the side of the chain. This can partially infiltrate other species such as metals (which can subsequently react to metals), metal oxides, and mixed inorganic compounds or intermediates. If the embedded precursor reacts with the metal, the new composite material can act as a resistive structure, which is made 4 'without additional photolithographic etching and will provide an electrode when the surface is subsequently metallized. Using the same principle, if the precursor is embedded as an inorganic oxide or an inorganic composite, the capacitor can be manufactured from the original dielectric structure. If the precursor before embedding is ferroelectric without substrate, a ferroelectric switch structure can be manufactured. If the embedded precursor is a CVD graphite / diamond catalyst, an enhanced thermal layer can be made. By attaching a long-chain reactant species to the blocking molecule, a different dielectric constant can be made in the underlying dielectric layer 85850 • 44-20041613l. The amount of change in dielectric constant will be defined by the length of the long-chain species and the functional groups attached. The embedded reactants such as catalyst, super acid, amine

鹽或溶劑化或辅溶劑化官能基。該超酸或觸媒功能可為進 —步使底下層交聯(增加介電常數及增加蝕刻阻抗)或催化 性降解(降低介電常數)。該胺鹽可作用以使周圍中間物降解 為長鏈官能基。該溶劑化或輔溶劑化官能基如聚乙缔氧、 聚丙晞氧、聚乳酸、聚乳酸乙自旨、聚乙缔基續酸當與最後 清潔步驟加工時可作為使内部材料辅溶劑化,或可作為起 始及增進蝕刻速率(降低蝕刻抗性)。Salt or solvated or co-solvated functional groups. The superacid or catalyst function can further cross-link the underlying layer (increasing the dielectric constant and increasing the etching resistance) or catalytic degradation (reducing the dielectric constant). The amine salt acts to degrade surrounding intermediates into long chain functional groups. The solvated or co-solvated functional groups such as polyethylene oxide, polypropylene oxide, polylactic acid, polylactic acid, polyethylenic acid, etc. can be used to co-solvate internal materials when processed with the final cleaning step. Or it can be used as the initial and increase the etch rate (reduce the etch resistance).

因此,已揭示層狀材料及元件之特定具體例、形成方法 及應用。但熟知本技藝者將了解除了已描述者以外,可在 不脫離本發明理論之下有許多更多改質。因此本發明目的 不文限,而係在申請專利範園所屬之精神内。再者,解析 說明書及申請專利範圍,所有名詞已可能之最大方式解 析。尤其’ ”包括”等名詞需以非排外方式代表元素、元件 或步驟,顯示參考元素、元件或步驟可存在、利用、或與 未表7F之其他元素、元件或步驟組合。 85850 45- 200416131 條_ 鷂及 2雙 二 〆 層内金屬絕緣體-有效介電 常數(K) 障壁/外包厚度(對銅中間線 路而言)(nm)(3) 導體有效電阻(μΩ-cm) Cu 中間線路 局部線路間距(nm) 激㈨ 1 ^ 5 I 泠3 > b〇 5 ϊ w Nt 光學層接地版/電容數 金屬層數 MPU物理閘極長度(nm) MPU印刷閘極長度(nm) 2 > 00 g 1--^ Fo s 旦 DRAM 1/2 間距(nm) 製造年份 錄 4 微 <2.7 3.0-3.6 〇\ κ> k) 350 4086 K) 00 On Lt\ η—^ W 2001 <2.7 3.0-3.6 Κ) Κ) Κ) Λ〇 U\ 4843 to 00 Lh U) Di H—A h—^ 1 2002 <2.7 3.0-3.6 to Κ) κ> 245 5788 00 On U\ o Η«λ o 2003 <2.4 2.6-3.1 00 κ> Κ) Η-a 10022 一 K) 00 叁 2006 孝 10險 <2.1 2.3-2.7 κ> to 1—^ 11169 K> U\ OJ Lh On Lh 〇\ Lh 2007 单 麻 鞠 <1.9 i •K) Κ) Κ) 16063 1—^ oo to Lh 2010 <1.7 c\ Ih Κ) Κ) 22695 00 U) to OJ K> 12013 <1.6 to Lh Κ) Κ) 33508 Ό o OJ K> to K) K) 2016 85850 -46- 200416131 【圖式簡單說明】 表1顯示形體尺寸及製成複雜性將如何衝擊材料選擇。 先前技術圖1顯示模擬非晶型si〇2原子排列在藉叔 CVD生長製程形成後分子長相如何。 s 先珂技術圖2顯示非晶型氧化矽之空隙孔徑分布之對數_ 公稱模型。 先W技術圖3顯示在聚甲基丙烯酸甲酯(pMMA)聚合物中 電腦產生之原子間隔排列。 圖4顯示所期望之層狀材料之孔徑相對於銅移動速率之 模擬圖。 圖5顯示所期望之層狀材料之介電層密度相對銅移動速 率之圖。 圖6顯示所期望之層狀材料之介面c密度相對銅移動速率 之圖。 圖7顯示可對傳遞氣體、液體或固體物種通過變化厚度 之材料獲得材料擴散係數值範圍之”主要曲線,,。 圖7 Α顯示得自圖7之以小氣體分子強調之傳遞過程之,,主 要曲線’’。 圖8顯示擴散劑尺寸及孔徑之關聯性。 圖9顯示使用最少60秒延遲時間之黏度範圍評估實例。 圖10顯示log(顆粒直徑,m)相對於log(顆粒/m3)之作圖。 圖11顯示log(顆粒直徑,cm)相對於log(擴散係數)之作圖。 圖12顯示log(顆粒直徑,cm)相對於i〇g(擴散係數)之兩個 作圖。 — 85850 -47- 200416131 圖13顯π數種標準氣體之擴散係數作圖。 圖14顯示多數個有次序之矽原子。 圖15顯示當鋼原子開始擴散時之多數個矽原子。 圖16顯示鋼原子自側視方向之擴散。 圖17顯π銅原子自由上向下觀看方向之擴散。 圖18顯示多孔有機基質之實驗模擬。 圖19顯示銅擴散活化能。 圖20顯示多孔材料之分子模擬。 圖21Α顯示數種期望之氧化矽為主之阻斷劑,其中硬質核 心及表面分支結構係以有機矽烷為主。 圖21Β顯示數種期望之有機阻斷劑,其中硬質核心及表面 分支結構均以有機物為主。 圖22顯示數種期望之混合有機/無機阻斷劑,其中硬質核 心及表面分支結構為混合之矽烷及有機物。 圖23顯不阻斷劑/孔隙面積比例相對於相對活化能。 圖24Α顯示介電阻斷相互作用能(仟卡/莫耳)相對於活化 能(仟卡/莫耳)。 圖24Β顯示阻斷劑/孔隙開孔比例相對於介電_阻斷劑相 互作用能(仟卡/莫耳)。 圖25 Α顯示阻斷劑截面/孔隙開孔相對於活化能。 圖25B顯示阻斷劑/孔隙面積比例相對於相對活化能。 圖26顯示自所欲之實驗模擬所得之活性能概述。 圖27顯示圖形(A)及(B),其顯示硬質核心(固定)及可變孔 隙(移動或”不固定”)間之差異。 — 85850 -48- 200416131 圖28顯示當使用GX3TM孔隙僅與氧化矽阻斷劑時,假定 硬質核心所預測之最糟狀況。 圖29顯示對矽氧為主之孔隙而言,基質效果差異較不明 顯。 圖30顯示使用樹枝狀聚物T8倍半矽氧烷核心之數個所欲 具體例。 圖3 1顯示使用樹枝狀聚物T8倍半矽氧烷核心之數個所欲 具體例。 圖32顯示使用樹枝狀聚物T8倍半矽氧烷核心之數個所欲 具體例。 圖33顯示使用GX3™孔隙之數個所欲具體例。 圖34顯示使用氧化矽孔隙之數個所欲具體例。 49- 85850Therefore, specific specific examples, formation methods, and applications of layered materials and devices have been disclosed. However, those skilled in the art will appreciate that there are many more modifications that can be made without departing from the theory of the present invention in addition to those already described. Therefore, the purpose of the present invention is not limited, but lies in the spirit of the patent application park. Furthermore, to analyze the scope of the specification and patent application, all terms have been analyzed in the largest possible way. In particular, terms such as "" including "shall represent elements, elements or steps in a non-exclusive manner, indicating that reference elements, elements or steps may exist, be used, or be combined with other elements, elements or steps not shown in Table 7F. 85850 45- 200416131 Article _ 鹞 and 2 double 鹞 layer inner metal insulator-effective dielectric constant (K) barrier / outer thickness (for copper intermediate line) (nm) (3) effective conductor resistance (μΩ-cm) Cu intermediate line local line spacing (nm) Excited 1 ^ 5 I 33 > b〇5 ϊ w Nt Optical layer ground plate / Capacitance number Metal layer number MPU physical gate length (nm) MPU printed gate length (nm ) 2 > 00 g 1-^ Fo s denier DRAM 1/2 pitch (nm) Year of manufacture 4 micro < 2.7 3.0-3.6 〇 \ κ > k) 350 4086 K) 00 On Lt \ η-^ W 2001 < 2.7 3.0-3.6 KK) Κ) Κ) Λ〇U \ 4843 to 00 Lh U) Di H—A h— ^ 1 2002 < 2.7 3.0-3.6 to κ) κ > 245 5788 00 On U \ o Ηλλ 2003 < 2.4 2.6-3.1 00 κ > Κ) Η-a 10022 one K) 00 2006 2006 10 filial piety < 2.1 2.3-2.7 κ > to 1- ^ 11169 K > U \ OJ Lh On Lh 〇 \ Lh 2007 Dan Maju < 1.9 i • K) Κ) Κ) 16063 1— ^ oo to Lh 2010 < 1.7 c \ Ih Κ) Κ) 22695 00 U) to OJ K > 12013 < 1.6 to Lh Κ) Κ) 33508 Ό o OJ K > to K) K) 2016 85850 -46- 200416131 [Schematic diagram DESCRIPTION Table 1 shows the size and made of molded complexity will impact how material selection. FIG. 1 of the prior art shows how the simulated amorphous Si02 atoms are arranged in a molecular phase after formation by a t-CVD growth process. s Secco Technology Figure 2 shows the logarithmic _ nominal model of the pore size distribution of amorphous silicon oxide. Prior art Figure 3 shows a computer-generated atomic spacing arrangement in a polymethyl methacrylate (pMMA) polymer. Figure 4 shows a simulation of the pore size of a desired layered material versus copper movement rate. Figure 5 shows a graph of the desired dielectric layer density versus copper movement rate for a layered material. Figure 6 shows a plot of the interface c-density of the desired layered material versus the rate of copper movement. Figure 7 shows the main curve of the range of material diffusion coefficient values that can be obtained for materials of varying thickness through the transfer of gas, liquid or solid species. Figure 7A shows the transfer process emphasized by small gas molecules from Figure 7, The main curve ". Figure 8 shows the correlation between the size and pore size of the diffusing agent. Figure 9 shows an example of the viscosity range evaluation using a delay time of at least 60 seconds. Figure 10 shows log (particle diameter, m) versus log (particle / m3) Figure 11 shows a plot of log (particle diameter, cm) vs. log (diffusion coefficient). Figure 12 shows two plots of log (particle diameter, cm) vs. i0g (diffusion coefficient). — 85850 -47- 200416131 Figure 13 shows the diffusion coefficients of several π standard gases. Figure 14 shows the majority of ordered silicon atoms. Figure 15 shows the majority of silicon atoms when steel atoms begin to diffuse. Figure 16 shows steel The diffusion of atoms from the side view. Figure 17 shows the diffusion of π copper atoms in the free-viewing direction. Figure 18 shows the experimental simulation of a porous organic matrix. Figure 19 shows the activation energy of copper diffusion. Figure 20 shows the molecular simulation of a porous material.21A shows several desired silicon oxide-based blocking agents, of which the hard core and surface branching structure are mainly organic silanes. Figure 21B shows several desired organic blocking agents, where the hard core and surface branching structure are both based on Organic matter is dominant. Figure 22 shows several desired mixed organic / inorganic blocking agents, in which the hard core and surface branch structures are mixed silanes and organics. Figure 23 shows the ratio of blocking agent / pore area to relative activation energy. Figure 24A shows the dielectric energy breaking interaction energy (仟 卡 / 莫尔) vs. activation energy (仟 卡 / 莫尔). Figure 24B shows the blocker / pore opening ratio relative to the dielectric_blocker interaction Figure 25A shows the cross section of the blocker / pore opening relative to the activation energy. Figure 25B shows the blocker / pore area ratio relative to the relative activation energy. Figure 26 shows the desired experiment. An overview of the simulated active energy. Figure 27 shows the graphs (A) and (B) showing the difference between a hard core (fixed) and a variable pore (moving or "unfixed"). — 85850 -48- 200416131 Figure 28 display Using GX3TM pores only with silica blockers assumes the worst case scenario predicted by a hard core. Figure 29 shows that the difference in matrix effect is less pronounced for silica-dominated pores. Figure 30 shows the use of dendrimers Several desired specific examples of T8 silsesquioxane core. Figure 31 shows several desired specific examples of dendrimer T8 silsesquioxane core. Figure 32 shows the use of dendrimer T8 silsesquioxane. Specific examples of alkane cores. Figure 33 shows several specific examples using GX3 ™ pores. Figure 34 shows several specific examples using silicon oxide pores. 49- 85850

Claims (1)

拾、申請專利範園: 1-—種層狀元件,包括: 基材; 其中該材料偶合至該基 具有數個孔隙之介電材料 材;及 偶合至低/c介電松拉、 斷材料被牽引至低…阻斷材料,其中該擴散阻 2. κ介電材料。 如申請專利範圍第丨項 ^i 層。 、< 層狀儿件,其中颚基材包括數 •如申請專利範園第1 ^< 層狀凡件,其中該數層包括至少 一個矽晶圓。 y 4·:申請專利範圍第1項之層狀元件,其中該介電材料包括 至少一種有機化合物。 5·如申請專利範圍第4項之層狀元件,其中該至少一種有機 化^物包括至少一種籠型化合物。 如申μ專利範圍第5项之層狀元件,其中該至少一種籠型 化合物包括金剛烷。 7 申叫專利範圍第1項之層狀元件,其中該介電材料包括 低/C介電材料。 申叫專利範圍第1項之層狀元件,其中該介電材料包括 至少一種無機化合物。 申叫專利範圍第1項之層狀元件,其中該擴散阻斷材料 包括至少一種CVD或ALD前驅物材料。 •如申請專利範圍第1項之層狀元件,其中該擴散阻斷材料 85850 200416131 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. ,其中該介電材料包 ,其中該數個顆粒包 ,其中該數個顆粒包 ,其中該至少一種配 ,其中該數個顆粒為 ,其中該數個頡粒 包括至少一種配位體。 如申凊專利範圍第10項之層狀元件,其中該至少種配 位體係偶合至金屬原子。 如申請專利範圍第10項之層狀元件,其中該配位體包括 花青基、阿朴啡基、乙炔基、環戊二烯基、環烯烴基或 多埽烴基。 如申請專利範圍第11項之層狀元件,其中該金屬原子為 銅、鐵、鈷、鈦、鋰、銀、鎂、鋁、金、鋅、鎢或鉻。 一種層狀材料,包括: 具有數個孔隙之介電材料,其中各孔隙具有孔隙直 徑;及 包括數個擴散阻斷顆粒之層,其中該顆粒之粒徑大於 该孔隙直後。 如申請專利範圍第14項之層狀元件 括低/C介電材料。 如申請專利範圍第14項之層狀元件 括至少一種CVD或ALD前驅物。 如申請專利範圍第14項之層狀元件 括至少一種配位體·金屬偶合。 如申請專利範圍第Π項之層狀元件 位體-金屬偶合包括銅。 如申請專利範圍第14項之層狀元件 物理性附接至該介電材料。 如申請專利範圍第14項之層狀元件 85850 200416131 成阻擋層。 21·如申請專利範圍第20項之層狀元件,其中該阻擋層使金 屬原子對介電材料之擴散降低至少約50%。 22·如申請專利範圍第21項之層狀元件,其中該阻擋層使金 屬原子對介電材料之擴散降低至少約75%。 23.如申請專利範圍第14項之層狀元件,其中該數個顆粒包 括至少一種可交聯取代基。 24·如申請專利範圍第14項之層狀元件,其中該數個顆粒包 括至少一種可聚合基。 25·如申請專利範圍第14項之層狀元件,其中該數個顆粒包 括對基材之差異擴散性質,包含控制尺寸、結構硬度、 或側鏈或其反應性物種可經隨後製程獲得。 26·如申請專利範圍第14項之層狀元件,其中該數個顆粒包 括至少一種具有催化潛力之官能基。 其中該數個顆粒包 其中該數個顇粒包 其中該數個顇粒包 其中該數個頡粒包 27·如申請專利範圍第14項之層狀元件 括至少一種黏著促進基。 28·如申請專利範圍第14項之層狀元件 括至少一種表面濕潤劑。 29·如申請專利範圍第14項之層狀元件 括至少一種對鄰近材料層之溶解劑 30·如申請專利範圍第14項之層狀元件 括至少一種氟化物為主之氧化矽蝕刻劑 31·如申請專利範圍第14項之層狀元件,其中該數 括至少-種胺為主之經基清潔劑。~ 4包 85850 200416131 32·如申請專利範圍第14項之層狀元件,其中該數個顆粒為 在表面自我裝配者或包括可控制自我裝配之表面官能 基。 33·如申請專利範圍第14項之層狀元件,其中該擴散阻斷顆 知*包括周圍有表面層之三維硬質核心結構。 •如申請專利範圍第33項之層狀元件,其中該硬質核心結 構包括倍半矽氧烷蘢型或立方籠型結構,其中該立方籠 型結構包括倍半矽氧烷籠形之一般構造形狀或硬質無機 陶資:結構如滞石。 •如申請專利範圍第33項之層狀元件,其中該硬質核心結 構包括金剛烷基、巴克球(buckyball)或c6G碳巨分子基 (ullerenyl)、原冰片基、c16fj16(paracyclophane)或硬質金 屬有機物(如芴基、金屬環戊二晞)。 36_如申請專利範圍第33項之層狀元件,其中圍繞該硬質核 心之表面層包括高度分支之結構。 37·如申請專利範圍第36項之層狀元件,其中該高度分支結 構包括樹枝狀聚物結構。 38·如申請專利範圍第37項之層狀元件,其中該樹枝狀聚物 包括至少一種聚伸丙亞胺、聚伸乙亞胺、聚(丙稀氧-丙缔 亞胺)、聚(乙烯氧-丙烯亞胺)、聚(乙烯氧-乙烯亞胺)、聚 (丙歸氧-乙烯亞胺)、聚(三烯丙基胺)、聚(三烯丙基甲 燒)' 聚(四烯丙基甲烷)、聚肆甲基丙烯基)甲烷)。 39·如申請專利範圍第33項之層狀元件,其中該表面層包括 高度分支之有機矽烷。 — 85850 -4- 200416131 40· —種使金屬原子擴散入具有數個孔隙之材料中之擴散達 最小之方法,包括: 提供前驅物材料,其包括具有分子尺寸大於任何數個 孔隙之孔隙直徑之分子; 提供溶劑载體溶液; 組合前驅物材料及溶劑載體溶液形成擴散阻斷反應 性溶液;及 將擴散阻斷反應性溶液施加至多孔材料層。 ^如申凊專利範圍第4〇項之方法,其中包括數個孔隙之該 材料進一步偶合至基材上。 42·如申請專利範圍第41項之方法,其中該基材包括數層。 43 •如申請專利範圍第42項之方法,其中該數層包括至少一 種矽晶圓。 44. 4, 口申清專利範圍第4〇項之方法’其中具有數個孔隙之材 科包括具有介電常數小於约4之介電材料。 45·如申請專利範圍第44項之方法,其中該介電材料包括至 少—種有機化合物。 46 j, • U申請專利範圍第45項之方法’其中該至少一種有機化 合物包括至少一種籠型化合物。 47 * 口申請專利範圍第46項之方法’其中該至少一種籠型化 合物包括金剛烷。 48 j. ϋ申睛專利範圍第44項之方法’其中該介電材料包括至 ^ 一種無機化合物。 49. λ » u申睛專利範圍第4〇項之方法’其中該接散阻斷反應性 材料包括至少一種CVD或ALD前驅物材料。 50·如申請專利範圍第4〇項之方法,其中該擴散阻斷反應性 材料包括至少一種配位體。 51·如申請專利範圍第50項之方法,其中該至少一種配位體 係偶合至金屬原子。 2·如申凊專利範圍第5〇項之方法,其中該配位體包括花青 阿利非基、乙块基、環戊二晞基、環-晞烴基或多稀 烴基。 53·如申請專利範圍第51項之方法,其中該金屬原子為銅、 鐵、鈷、鈦、姮、銀、鎂、鋁、I、鋅、鎢或鉻。 、申叫專利圍第4G項之方法,其中該擴散阻斷反應性 落液係物理性牵引至該介電材料。 、申叫專利圍第54項之方法,其中該擴散阻斷反應性 J春液形成阻擒層。 π如申請專利範圍第55项之方法,其中該阻擒層使金屬原 子對材料之擴散降低至少約50%。 A如申請專利範圍第56项之方法,其中該阻擋層使金屬原 子對材料之擴散降低至少約75%。 %子70件’包括如中請專利範圍第1項之層狀材料。 :· 一 :!子元件,包括如申請專利範圍第14項之層狀材料| -Ζ種半導體元件,包括如申請專利範圍第i項之層狀利 料0 61· 一種半導體元件 料0 包括如申請專利範圍第14項之層狀材 85850 -6-Patent application park: 1-—Layered elements, including: substrate; where the material is coupled to a dielectric material with several pores on the base; and coupled to low / c dielectric loosening and breaking materials Was pulled to a low ... blocking material, where the diffusion resistance 2. κ dielectric material. Such as the application of the scope of patent scope ^ i layer. ≪ Layered child pieces, in which the jaw base material includes a number • Such as the patent application Fanyuan No. 1 ^ < Layered fan pieces, where the number of layers includes at least one silicon wafer. y 4 ·: The layered device according to item 1 of the patent application, wherein the dielectric material includes at least one organic compound. 5. The layered element according to item 4 of the application, wherein the at least one organic compound includes at least one cage compound. For example, the layered element of item 5 of the patent scope, wherein the at least one cage compound includes adamantane. 7 The layered element claimed in item 1 of the patent scope, wherein the dielectric material includes a low / C dielectric material. The layered element is claimed as item 1 of the patent scope, wherein the dielectric material includes at least one inorganic compound. The layered element is claimed as item 1 of the patent scope, wherein the diffusion blocking material includes at least one CVD or ALD precursor material. • As for the layered element in the scope of patent application item 1, wherein the diffusion blocking material 85850 200416131 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. wherein the dielectric material package, wherein The plurality of particle packets, wherein the plurality of particle packets, wherein the at least one complex, wherein the plurality of particles are, wherein the plurality of particles include at least one ligand. For example, the layered element of claim 10 of the patent scope, wherein the at least one coordination system is coupled to a metal atom. For example, the layered element of the scope of application for the patent No. 10, wherein the ligand includes anthocyanin, apomorphyl, ethynyl, cyclopentadienyl, cycloolefin, or polyalkylene. For example, the layered element according to item 11 of the application, wherein the metal atom is copper, iron, cobalt, titanium, lithium, silver, magnesium, aluminum, gold, zinc, tungsten or chromium. A layered material includes: a dielectric material having a plurality of pores, wherein each pore has a pore diameter; and a layer including a plurality of diffusion blocking particles, wherein the particle diameter of the particles is larger than that of the pores. For example, layered components in the scope of patent application No. 14 include low / C dielectric materials. For example, the layered element of the scope of application for item 14 includes at least one CVD or ALD precursor. For example, the layered element of the scope of application for item 14 includes at least one kind of ligand-metal coupling. For example, the layered element host-metal coupling of the scope of the patent application includes copper. A layered element such as the scope of application for item 14 is physically attached to the dielectric material. For example, the layered element in the scope of application for item No. 85850 200416131 becomes a barrier layer. 21. The layered element according to item 20 of the application, wherein the barrier layer reduces the diffusion of metal atoms to the dielectric material by at least about 50%. 22. The layered element according to claim 21, wherein the barrier layer reduces the diffusion of metal atoms into the dielectric material by at least about 75%. 23. The layered element according to item 14 of the application, wherein the plurality of particles include at least one crosslinkable substituent. 24. The layered element according to item 14 of the patent application, wherein the plurality of particles include at least one polymerizable group. 25. The layered element according to item 14 of the patent application, wherein the plurality of particles include differential diffusion properties to the substrate, including control of size, structural hardness, or side chains or reactive species thereof, which can be obtained through subsequent processes. 26. The layered element according to item 14 of the application, wherein the plurality of particles include at least one functional group having a catalytic potential. Wherein the plurality of particles include the plurality of particles, wherein the plurality of particles include the plurality of particles. 27. The layered element according to item 14 of the patent application includes at least one adhesion promoting group. 28. The laminar element according to item 14 of the patent application includes at least one surface wetting agent. 29. If the laminar element of the scope of patent application item 14 includes at least one dissolving agent for adjacent material layers 30. If the lamellar element of the scope of patent application item 14 includes at least one fluoride-based silicon oxide etchant 31 · For example, the layered element of the scope of application for item 14, wherein the number includes at least one amine-based cleaning agent. ~ 4 packs 85850 200416131 32. The layered element according to item 14 of the patent application, wherein the particles are self-assemblers on the surface or include surface functional groups that can control self-assembly. 33. The layered element according to item 14 of the patent application scope, wherein the diffusion blocking particle * includes a three-dimensional hard core structure with a surrounding surface layer. • If the layered element of the scope of application for item 33, wherein the hard core structure includes a silsesquioxane type or a cubic cage structure, wherein the cubic cage structure includes a general structure shape of a silsesquioxane cage Or hard inorganic pottery: structure such as stagnant stone. • If the layered component of item 33 of the patent application scope, wherein the hard core structure includes adamantyl, buckyball or c6G carbon macromolecular (ullerenyl), original borneol, c16fj16 (paracyclophane) or hard metal organic (Such as fluorenyl, metal cyclopentafluorene). 36_ The layered component according to claim 33, wherein the surface layer surrounding the hard core includes a highly branched structure. 37. The layered element according to claim 36, wherein the highly branched structure includes a dendrimer structure. 38. The layered element according to item 37 of the application, wherein the dendrimer comprises at least one polyimide, polyimide, poly (propylene oxide-propylene imine), poly (ethylene (Oxy-acrylimine), poly (ethyleneoxy-ethyleneimine), poly (propylene oxide-ethyleneimine), poly (triallylamine), poly (triallyl methane), poly (quad Allyl methane), polymethyl methacryl) methane). 39. The layered component according to claim 33, wherein the surface layer comprises a highly branched organic silane. — 85850 -4- 200416131 40 · —A method for minimizing the diffusion of metal atoms into a material having several pores, including: providing a precursor material including a pore diameter having a molecular size larger than that of any number of pores Molecules; providing a solvent carrier solution; combining a precursor material and a solvent carrier solution to form a diffusion blocking reactive solution; and applying the diffusion blocking reactive solution to a porous material layer. ^ The method of claim 40, which includes a plurality of pores, which further couples the material to the substrate. 42. The method of claim 41, wherein the substrate comprises several layers. 43 • The method according to item 42 of the patent application, wherein the layers include at least one silicon wafer. 44. 4, The method of item 40 of the patent application, wherein the material having several pores includes a dielectric material having a dielectric constant less than about 4. 45. The method of claim 44 in which the dielectric material includes at least one organic compound. 46 j, • Method 45 of the U.S. Patent Application, wherein the at least one organic compound includes at least one cage compound. 47 * The method of claim 46, wherein the at least one cage compound comprises adamantane. 48 j. The method of claim 44 in the patent scope ', wherein the dielectric material comprises up to ^ an inorganic compound. 49. λ »The method of claim 40 in the patent scope ', wherein the diffusion blocking reactive material includes at least one CVD or ALD precursor material. 50. The method of claim 40, wherein the diffusion-blocking reactive material includes at least one ligand. 51. The method of claim 50, wherein the at least one ligand is coupled to a metal atom. 2. The method of claim 50 in the scope of patent application, wherein the ligand comprises a cyanine allefyl group, ethyl block group, cyclopentafluorenyl group, cyclo-fluorenyl hydrocarbyl group, or polydilute hydrocarbon group. 53. The method of claim 51, wherein the metal atom is copper, iron, cobalt, titanium, rhenium, silver, magnesium, aluminum, I, zinc, tungsten, or chromium. The method of claiming patent No. 4G, wherein the diffusion-blocking reactive liquid falling system is physically pulled to the dielectric material. The method of claim No. 54 in the patent application, wherein the diffusion-blocking reactive J Chunye forms a trap layer. π The method of claim 55, wherein the trap layer reduces the diffusion of metal atoms into the material by at least about 50%. A The method of claim 56 in which the barrier layer reduces the diffusion of metal atoms to the material by at least about 75%. 70% 'includes layered materials as described in item 1 of the patent. :· One :! Sub-components, including layered materials such as item 14 in the scope of patent application | -Z semiconductor components, including layered materials such as item i in scope of patent application 0 61 · A semiconductor component material 0 Item of layered material 85850 -6-
TW092114914A 2002-06-03 2003-06-02 Layered components, materials, methods of production and uses thereof TW200416131A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US38548202P 2002-06-03 2002-06-03
PCT/US2003/017656 WO2003103020A2 (en) 2002-06-03 2003-06-03 Layered components, materials, methods of production and uses thereof

Publications (1)

Publication Number Publication Date
TW200416131A true TW200416131A (en) 2004-09-01

Family

ID=29712173

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092114914A TW200416131A (en) 2002-06-03 2003-06-02 Layered components, materials, methods of production and uses thereof

Country Status (3)

Country Link
AU (1) AU2003273546A1 (en)
TW (1) TW200416131A (en)
WO (1) WO2003103020A2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120116930A (en) * 2009-12-03 2012-10-23 바스프 에스이 Anticorrosion pigments with positive zeta potential

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965202A (en) * 1996-05-02 1999-10-12 Lucent Technologies, Inc. Hybrid inorganic-organic composite for use as an interlayer dielectric
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6472705B1 (en) * 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
US6423811B1 (en) * 2000-07-19 2002-07-23 Honeywell International Inc. Low dielectric constant materials with polymeric networks

Also Published As

Publication number Publication date
AU2003273546A1 (en) 2003-12-19
AU2003273546A8 (en) 2003-12-19
WO2003103020A2 (en) 2003-12-11
WO2003103020A3 (en) 2004-06-03

Similar Documents

Publication Publication Date Title
US20050238889A1 (en) Layered components, materials, methods of production and uses thereof
CN102569179B (en) Form material and the method in controlled space
US8629561B2 (en) Air gap-containing interconnect structure having photo-patternable low k material
Romang et al. Supercritical fluids for the fabrication of semiconductor devices: emerging or missed opportunities?
TW473870B (en) Integrated low K dielectrics and etch stops
TWI496242B (en) Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8642252B2 (en) Methods for fabrication of an air gap-containing interconnect structure
TW426915B (en) Semiconductor device and method for the fabrication thereof
US8637395B2 (en) Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8373271B2 (en) Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8367540B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
TW200804614A (en) Curing dielectric films under a reducing atmosphere
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
TW200306616A (en) Tri-layer masking architecture for patterning dual damascene interconnects
KR20050084638A (en) Gas layer formation materials
TW201243947A (en) Cyclic carbosilane dielectric films
JP2004274020A (en) Manufacture of electronic device
US8617786B2 (en) Poly-oxycarbosilane compositions for use in imprint lithography
TWI262575B (en) Patterning layers comprised of spin-on ceramic films
CN111681952B (en) Substrate processing apparatus
TW200416131A (en) Layered components, materials, methods of production and uses thereof
US20130045337A1 (en) Homogeneous modification of porous films
TW200528273A (en) Layered components, materials, methods of production and uses thereof
KR101020164B1 (en) Planarization films for advanced microelectronic applications and devices and methods of production thereof
JP2006012905A (en) Material for forming insulation film and insulating film using the same