SG86450A1 - Pulsed-mode rf bias for side-wall coverage improvement - Google Patents

Pulsed-mode rf bias for side-wall coverage improvement

Info

Publication number
SG86450A1
SG86450A1 SG200006798A SG200006798A SG86450A1 SG 86450 A1 SG86450 A1 SG 86450A1 SG 200006798 A SG200006798 A SG 200006798A SG 200006798 A SG200006798 A SG 200006798A SG 86450 A1 SG86450 A1 SG 86450A1
Authority
SG
Singapore
Prior art keywords
pulsed
bias
mode
coverage improvement
wall coverage
Prior art date
Application number
SG200006798A
Inventor
C Forster John
Hong Liubo
Gopalraja Praburam
O Stimson Bradley
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG86450A1 publication Critical patent/SG86450A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
SG200006798A 1999-12-03 2000-11-22 Pulsed-mode rf bias for side-wall coverage improvement SG86450A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/454,355 US6344419B1 (en) 1999-12-03 1999-12-03 Pulsed-mode RF bias for sidewall coverage improvement

Publications (1)

Publication Number Publication Date
SG86450A1 true SG86450A1 (en) 2002-02-19

Family

ID=23804277

Family Applications (1)

Application Number Title Priority Date Filing Date
SG200006798A SG86450A1 (en) 1999-12-03 2000-11-22 Pulsed-mode rf bias for side-wall coverage improvement

Country Status (5)

Country Link
US (2) US6344419B1 (en)
EP (1) EP1106709A3 (en)
JP (1) JP2001274115A (en)
KR (1) KR20010062115A (en)
SG (1) SG86450A1 (en)

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6913680B1 (en) * 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2004513221A (en) 2000-05-23 2004-04-30 アプライド マテリアルズ インコーポレイテッド Method and apparatus for overcoming copper seed layer anomalies and adjusting surface feature size and aspect ratio
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6703300B2 (en) * 2001-03-30 2004-03-09 The Penn State Research Foundation Method for making multilayer electronic devices
US7469558B2 (en) * 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US6503824B1 (en) * 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US7404877B2 (en) * 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US8431264B2 (en) * 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8021778B2 (en) * 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8394522B2 (en) * 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8236443B2 (en) * 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) * 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
AU2003261463A1 (en) * 2002-08-27 2004-03-19 Symmorphix, Inc. Optically coupling into highly uniform waveguides
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line
US6896773B2 (en) 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US6923891B2 (en) * 2003-01-10 2005-08-02 Nanofilm Technologies International Pte Ltd. Copper interconnects
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) * 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
SE0302045D0 (en) * 2003-07-10 2003-07-10 Chemfilt R & D Ab Work piece processing by pulsed electric discharges in solid-gas plasmas
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9123508B2 (en) * 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
EP2477207A3 (en) * 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
DE602005017512D1 (en) * 2004-12-08 2009-12-17 Symmorphix Inc DEPOSIT OF LICOO2
US7959769B2 (en) * 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20060278524A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
EP1753011B1 (en) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Method for providing control signals for high frequency power generators
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP4967354B2 (en) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 Seed film formation method, plasma film formation apparatus, and storage medium
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
FR2904726B1 (en) * 2006-08-07 2008-12-26 Sidel Participations METHOD AND DEVICE FOR COLD PLASMA BARRIER LAYER DEPOSITION AND MACHINE USING SUCH A DEVICE
TWI435376B (en) * 2006-09-26 2014-04-21 Applied Materials Inc Fluorine plasma treatment of high-k gate stack for defect passivation
US8062708B2 (en) * 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
DE102006052061B4 (en) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Method for controlling at least two RF power generators
US8197781B2 (en) * 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
JP5259618B2 (en) * 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー Pulsing and arc suppression in high power impulse magnetron sputtering (HIPIMS)
JP4607930B2 (en) * 2007-09-14 2011-01-05 株式会社東芝 Plasma processing apparatus and plasma processing method
CN101827953A (en) * 2007-10-10 2010-09-08 艾细饰株式会社 Voltage variable type thinfilm deposition method and apparatus thereof
CN101896636B (en) * 2007-10-26 2013-01-02 Oc欧瑞康巴尔斯公司 Application of hipims to through silicon via metallization in three-dimensional wafer packaging
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
TWI441937B (en) * 2007-12-21 2014-06-21 Infinite Power Solutions Inc Method for sputter targets for electrolyte films
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
US8518581B2 (en) 2008-01-11 2013-08-27 Inifinite Power Solutions, Inc. Thin film encapsulation for thin film batteries and other devices
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
CN101983469B (en) * 2008-04-02 2014-06-04 无穷动力解决方案股份有限公司 Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
GB2459103A (en) * 2008-04-09 2009-10-14 Univ Sheffield Biased plasma assisted processing
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
WO2010030743A1 (en) * 2008-09-12 2010-03-18 Infinite Power Solutions, Inc. Energy device with integral conductive surface for data communication via electromagnetic energy and method thereof
US8508193B2 (en) * 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
CN102439778B (en) * 2009-05-20 2016-02-10 萨普拉斯特研究有限责任公司 For electrochemical device to be integrated within fixation means or on method
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
US8599572B2 (en) * 2009-09-01 2013-12-03 Infinite Power Solutions, Inc. Printed circuit board with integrated thin film battery
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
CN102947976B (en) 2010-06-07 2018-03-16 萨普拉斯特研究有限责任公司 Chargeable, highdensity electrochemical apparatus
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10927449B2 (en) 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (en) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Annealing chamber under high pressure and high temperature
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
CN117936420A (en) 2017-11-11 2024-04-26 微材料有限责任公司 Gas delivery system for high pressure processing chamber
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
CN111434039A (en) 2017-12-07 2020-07-17 朗姆研究公司 Intra-pulse RF pulses for semiconductor RF plasma processing
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN111524782B (en) 2019-02-05 2023-07-25 东京毅力科创株式会社 Plasma processing apparatus
US11515147B2 (en) 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11952655B2 (en) * 2022-03-29 2024-04-09 Applied Materials, Inc. Electromagnet pulsing effect on PVD step coverage

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3410774A (en) 1965-10-23 1968-11-12 Ibm Method and apparatus for reverse sputtering selected electrically exposed areas of a cathodically biased workpiece
AU570439B2 (en) 1983-03-28 1988-03-17 Compression Labs, Inc. A combined intraframe and interframe transform coding system
FI72557C (en) 1984-01-11 1992-01-08 Kemira Oy Paper making process and blend composition for use therein
US4865712A (en) 1984-05-17 1989-09-12 Varian Associates, Inc. Apparatus for manufacturing planarized aluminum films
JPS62287071A (en) 1986-06-06 1987-12-12 Tadahiro Omi Semiconductor producing apparatus
US4963239A (en) * 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US4874493A (en) 1988-03-28 1989-10-17 Microelectronics And Computer Technology Corporation Method of deposition of metal into cavities on a substrate
US5126028A (en) * 1989-04-17 1992-06-30 Materials Research Corporation Sputter coating process control method and apparatus
US5078847A (en) * 1990-08-29 1992-01-07 Jerry Grosman Ion plating method and apparatus
DE9109503U1 (en) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5302266A (en) 1992-03-20 1994-04-12 International Business Machines Corporation Method and apparatus for filing high aspect patterns with metal
US5612254A (en) 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5346600A (en) 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5486492A (en) 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5376584A (en) 1992-12-31 1994-12-27 International Business Machines Corporation Process of making pad structure for solder ball limiting metallurgy having reduced edge stress
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
EP0915501B1 (en) 1994-08-05 2003-02-26 International Business Machines Corporation Method of forming a damascene structure with WGe polishing stop
US5605615A (en) 1994-12-05 1997-02-25 Motorola, Inc. Method and apparatus for plating metals
EP0735577A3 (en) 1994-12-14 1997-04-02 Applied Materials Inc Deposition process and apparatus therefor
US5585974A (en) 1995-02-17 1996-12-17 Conner Peripherals, Inc. Disk drive with PRML read channel calibration using a noise generator
US5858184A (en) 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5584974A (en) 1995-10-20 1996-12-17 Eni Arc control and switching element protection for pulsed dc cathode sputtering power supply
DE19547948C1 (en) 1995-12-21 1996-11-21 Atotech Deutschland Gmbh Mfg. unipolar or bipolar pulsed current for plating esp. of circuit boards at high current
US5807467A (en) 1996-01-22 1998-09-15 Micron Technology, Inc. In situ preclean in a PVD chamber with a biased substrate configuration
JP3012187B2 (en) 1996-02-05 2000-02-21 松下電子工業株式会社 Method for manufacturing semiconductor device
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JPH09260492A (en) 1996-03-25 1997-10-03 Toshiba Corp Manufacture of semiconductor device
US5744376A (en) 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5725739A (en) 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5759906A (en) 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits
JP3846970B2 (en) 1997-04-14 2006-11-15 キヤノンアネルバ株式会社 Ionization sputtering equipment
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6071398A (en) 1997-10-06 2000-06-06 Learonal, Inc. Programmed pulse electroplating process
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Also Published As

Publication number Publication date
US6673724B2 (en) 2004-01-06
JP2001274115A (en) 2001-10-05
EP1106709A2 (en) 2001-06-13
EP1106709A3 (en) 2004-01-02
US6344419B1 (en) 2002-02-05
KR20010062115A (en) 2001-07-07
US20020068464A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
SG86450A1 (en) Pulsed-mode rf bias for side-wall coverage improvement
AU6223900A (en) Multiple frequency band branch antennas for wireless communicators
GB2349982B (en) Antenna
GB2333400B (en) Base station antenna for dual polarization
IL126209A0 (en) Rf coaxial angle-connector part
GB2356086B (en) Antenna manufacture
GB2363912B (en) An RF transponder
GB0126172D0 (en) Circular-polarized antenna
GB2361825B (en) Improved antenna nulling system for suppressing jammer signals
AU4239800A (en) Low noise in-building distribution network for wireless signals
AU142393S (en) Antenna enclosure
EP1154571A4 (en) Antenna duplexer
GB9902685D0 (en) Antenna
IL131817A0 (en) Microstrip antenna
GB2347792B (en) Antenna
HK1046060A1 (en) Improved rf power transistor
GB9901634D0 (en) Microwave amplifiers
GB9915919D0 (en) Radio frequency amplifier
AU7088600A (en) Active repeater antenna
AU4478400A (en) Under-vehicle loop antenna for the hf band
GB9925268D0 (en) My radio
AU1662701A (en) Rf back channel for dtv
TW443592U (en) Base structure for floor type satellite antenna
GB2347584B (en) Radio transceiving arrangement
TW499161U (en) Improved structure for RF isolation box for interference prevention