SG11202007532TA - Neural network accelerator - Google Patents

Neural network accelerator

Info

Publication number
SG11202007532TA
SG11202007532TA SG11202007532TA SG11202007532TA SG11202007532TA SG 11202007532T A SG11202007532T A SG 11202007532TA SG 11202007532T A SG11202007532T A SG 11202007532TA SG 11202007532T A SG11202007532T A SG 11202007532TA SG 11202007532T A SG11202007532T A SG 11202007532TA
Authority
SG
Singapore
Prior art keywords
neural network
network accelerator
accelerator
neural
network
Prior art date
Application number
SG11202007532TA
Inventor
Andreas Moshovos
Lascorz Alberto Delmas
Zisis Poulos
Stuart Dylan Malone
Patrick Judd
Sayeh Sharify
Mostafa Mahmoud
Milos Nikolic
Kevin Chong Man Siu
Original Assignee
Governing Council Univ Toronto
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Governing Council Univ Toronto filed Critical Governing Council Univ Toronto
Publication of SG11202007532TA publication Critical patent/SG11202007532TA/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/048Activation functions
SG11202007532TA 2018-02-16 2019-02-15 Neural network accelerator SG11202007532TA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862710488P 2018-02-16 2018-02-16
US201862664190P 2018-04-29 2018-04-29
PCT/CA2019/050187 WO2019157599A1 (en) 2018-02-16 2019-02-15 Neural network accelerator

Publications (1)

Publication Number Publication Date
SG11202007532TA true SG11202007532TA (en) 2020-09-29

Family

ID=67619691

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11202007532TA SG11202007532TA (en) 2018-02-16 2019-02-15 Neural network accelerator

Country Status (7)

Country Link
US (1) US20210004668A1 (en)
JP (1) JP7349438B2 (en)
KR (1) KR20200118815A (en)
CN (1) CN111742331A (en)
CA (1) CA3090329C (en)
SG (1) SG11202007532TA (en)
WO (1) WO2019157599A1 (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11966835B2 (en) * 2018-06-05 2024-04-23 Nvidia Corp. Deep neural network accelerator with fine-grained parallelism discovery
US11769040B2 (en) 2018-09-10 2023-09-26 Nvidia Corp. Scalable multi-die deep learning system
CN109543816B (en) * 2018-09-20 2022-12-06 中国科学院计算技术研究所 Convolutional neural network calculation method and system based on weight kneading
US11747205B2 (en) * 2019-02-27 2023-09-05 Deep Smart Light Ltd. Noninvasive, multispectral-fluorescence characterization of biological tissues with machine/deep learning
US11270197B2 (en) 2019-03-12 2022-03-08 Nvidia Corp. Efficient neural network accelerator dataflows
US20210110243A1 (en) * 2019-10-10 2021-04-15 Hewlett Packard Enterprise Development Lp Deep learning accelerator system interface
CN110991609B (en) * 2019-11-27 2023-12-26 天津大学 Line buffer for data transmission
KR102410166B1 (en) * 2019-11-27 2022-06-20 고려대학교 산학협력단 Deep neural network accelerator using heterogeneous multiply-accumulate unit
US11615256B1 (en) * 2019-12-30 2023-03-28 Ali Tasdighi Far Hybrid accumulation method in multiply-accumulate for machine learning
US11610104B1 (en) * 2019-12-30 2023-03-21 Ali Tasdighi Far Asynchronous analog accelerator for fully connected artificial neural networks
US20210303987A1 (en) * 2020-03-26 2021-09-30 Advanced Micro Devices, Inc. Power reduction for machine learning accelerator background
US11175844B1 (en) 2020-05-13 2021-11-16 International Business Machines Corporation Optimal placement of data structures in a hybrid memory based inference computing platform
US20210357748A1 (en) * 2020-05-14 2021-11-18 Samsung Electronics Co., Ltd. Hierarchical weight preprocessing for neural network accelerator
US11500811B2 (en) * 2020-06-12 2022-11-15 Alibaba Group Holding Limited Apparatuses and methods for map reduce
CN115885249A (en) * 2020-07-21 2023-03-31 多伦多大学管理委员会 System and method for accelerating training of deep learning networks
KR102464508B1 (en) * 2020-12-31 2022-11-09 주식회사 메이아이 Method, system and non-transitory computer-readable recording medium for lightening artificial neural network models
CN113269316B (en) * 2021-03-26 2022-10-11 复旦大学 Sparse data selection logic module supporting sparse neural network computing accelerator
KR20220147398A (en) * 2021-04-27 2022-11-03 삼성전자주식회사 Electronic device for processing data based on artificial intelligence model and method for thereof
US11269632B1 (en) 2021-06-17 2022-03-08 International Business Machines Corporation Data conversion to/from selected data type with implied rounding mode
US11797270B2 (en) 2021-06-17 2023-10-24 International Business Machines Corporation Single function to perform multiple operations with distinct operation parameter validation
US11734013B2 (en) 2021-06-17 2023-08-22 International Business Machines Corporation Exception summary for invalid values detected during instruction execution
US11669331B2 (en) 2021-06-17 2023-06-06 International Business Machines Corporation Neural network processing assist instruction
US11675592B2 (en) 2021-06-17 2023-06-13 International Business Machines Corporation Instruction to query for model-dependent information
US11693692B2 (en) 2021-06-17 2023-07-04 International Business Machines Corporation Program event recording storage alteration processing for a neural network accelerator instruction
US20210319317A1 (en) * 2021-06-24 2021-10-14 Intel Corporation Methods and apparatus to perform machine-learning model operations on sparse accelerators
CN113592066B (en) * 2021-07-08 2024-01-05 深圳市易成自动驾驶技术有限公司 Hardware acceleration method, device, equipment and storage medium
CN113692592B (en) * 2021-07-08 2022-06-28 香港应用科技研究院有限公司 Dynamic tile parallel neural network accelerator
CN117677955A (en) * 2021-07-27 2024-03-08 高通股份有限公司 Active buffer architecture for data reuse in neural network accelerators

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5751913A (en) * 1996-07-29 1998-05-12 Industrial Technology Research Institute Reconfigurable neural network and difference-square neuron
KR20000052725A (en) * 1996-10-23 2000-08-25 제이. 알. 드로우일래드 Automotive engine misfire detection system including a bit-serial based recurrent neuroprocessor
US9449257B2 (en) * 2012-12-04 2016-09-20 Institute Of Semiconductors, Chinese Academy Of Sciences Dynamically reconstructable multistage parallel single instruction multiple data array processing system
US10192162B2 (en) * 2015-05-21 2019-01-29 Google Llc Vector computation unit in a neural network processor
CN109416754B (en) * 2016-05-26 2020-06-23 多伦多大学管理委员会 Accelerator for deep neural network
JP6898359B2 (en) * 2016-06-14 2021-07-07 タータン エーアイ リミテッド Accelerator for deep neural networks
US10891538B2 (en) * 2016-08-11 2021-01-12 Nvidia Corporation Sparse convolutional neural network accelerator
US20180046898A1 (en) * 2016-08-11 2018-02-15 Vivante Corporation Zero Coefficient Skipping Convolution Neural Network Engine
US10360163B2 (en) * 2016-10-27 2019-07-23 Google Llc Exploiting input data sparsity in neural network compute units
US10175980B2 (en) * 2016-10-27 2019-01-08 Google Llc Neural network compute tile
KR102224510B1 (en) * 2016-12-09 2021-03-05 베이징 호라이즌 인포메이션 테크놀로지 컴퍼니 리미티드 Systems and methods for data management
US10521488B1 (en) * 2016-12-30 2019-12-31 X Development Llc Dynamic partitioning
US10096134B2 (en) * 2017-02-01 2018-10-09 Nvidia Corporation Data compaction and memory bandwidth reduction for sparse neural networks
US10467795B2 (en) * 2017-04-08 2019-11-05 Intel Corporation Sub-graph in frequency domain and dynamic selection of convolution implementation on a GPU
EP3718023A1 (en) * 2017-12-01 2020-10-07 MemSQL Inc. Accelerated filtering, grouping and aggregation in a database system

Also Published As

Publication number Publication date
JP7349438B2 (en) 2023-09-22
WO2019157599A1 (en) 2019-08-22
CA3090329C (en) 2021-07-27
KR20200118815A (en) 2020-10-16
CN111742331A (en) 2020-10-02
JP2021515300A (en) 2021-06-17
CA3090329A1 (en) 2019-08-22
US20210004668A1 (en) 2021-01-07

Similar Documents

Publication Publication Date Title
SG11202007532TA (en) Neural network accelerator
GB2568776B (en) Neural network accelerator with parameters resident on chip
EP3899811A4 (en) Neural network compression
GB201611857D0 (en) An artificial neural network
EP3777207A4 (en) Content-specific neural network distribution
GB2582519B (en) Convolutional neural network hardware
EP3874411A4 (en) An improved spiking neural network
EP3469522A4 (en) Accelerator for deep neural networks
EP3465550A4 (en) Accelerator for deep neural networks
GB201917993D0 (en) Neural network classification
ZA201905869B (en) Octree-based convolutional neural network
GB2600791B (en) Neural network processing
IL273370A (en) Photonic neural network system
GB201512278D0 (en) Hybrid neural network
EP3317224A4 (en) Neuromorphic network
GB2596477B (en) Hybrid neural network and autoencoder
GB202205243D0 (en) Pose determination using one or more neural networks
GB201810736D0 (en) Neural trees
GB2579120B (en) Inference system
EP3497622A4 (en) Artificial neural network
GB201804451D0 (en) Artificial neural networks
GB201803083D0 (en) Artificial Neural Networks
GB201813762D0 (en) Neural interface
GB201809704D0 (en) Hardware accelerator
EP3563305A4 (en) Artificial neural network