RU34036U1 - FIXED PHASE ROTARY FIXED - Google Patents

FIXED PHASE ROTARY FIXED Download PDF

Info

Publication number
RU34036U1
RU34036U1 RU2003124819/20U RU2003124819U RU34036U1 RU 34036 U1 RU34036 U1 RU 34036U1 RU 2003124819/20 U RU2003124819/20 U RU 2003124819/20U RU 2003124819 U RU2003124819 U RU 2003124819U RU 34036 U1 RU34036 U1 RU 34036U1
Authority
RU
Russia
Prior art keywords
phase
segments
transmission line
lengths
loop
Prior art date
Application number
RU2003124819/20U
Other languages
Russian (ru)
Inventor
Д.С. Губин
А.П. Креницкий
В.П. Мещанов
Л.В. Шикова
Original Assignee
Открытое акционерное общество Центральный научно-исследовательский институт измерительной аппаратуры
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Открытое акционерное общество Центральный научно-исследовательский институт измерительной аппаратуры filed Critical Открытое акционерное общество Центральный научно-исследовательский институт измерительной аппаратуры
Priority to RU2003124819/20U priority Critical patent/RU34036U1/en
Application granted granted Critical
Publication of RU34036U1 publication Critical patent/RU34036U1/en

Links

Landscapes

  • Waveguide Switches, Polarizers, And Phase Shifters (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)

Abstract

Фазовращатель СВЧ фиксированный, содержащий фазосдвигающий канал на одиночной линии передачи со шлейфом, включенным в центре линии, и подводящими линиями с волновым сопротивлением ρ, компенсирующую линию, отличающийся тем, что одиночная линия передачи выполнена в виде каскадного включения нечетного числа чередующихся отрезков однородных линий передачи с волновыми сопротивлениями ρ и ρ, расположенных симметрично относительно центрального отрезка с сопротивлением ρ, при этом длины отрезков и шлейфа выбраны из соотношений:l<l<...<l;l>l>...>l;l>l,где l, l, ..., l- длины отрезков линии передачи с волновым сопротивлением ρ, при этом l- длина центрального отрезка;l, l, ..., l- длины отрезков линии передачи с волновым сопротивлением ρ;l- длина шлейфа. Fixed microwave phase shifter containing a phase-shifting channel on a single transmission line with a loop connected in the center of the line and supply lines with wave impedance ρ, compensating line, characterized in that the single transmission line is made in the form of a cascade connection of an odd number of alternating segments of uniform transmission lines with wave impedances ρ and ρ located symmetrically relative to the central segment with resistance ρ, while the lengths of the segments and the loop are selected from the relations: l <l <... <l; l> l> ...> l; l> l, where l, l, ..., l are the lengths of the segments of the transmission line with wave impedance ρ, while l is the length of the central segment; l, l, ..., l are the lengths of the segments of the transmission line with wave impedance ρ; l is the length a loop.

Description

Ф 13овращатель СВЧ фиксированныйF 13 microwave fixed rotator

Полезная модель относится к области радиотехники и может быть использована в качестве базового элемента нри создании различных устройств СВЧ.The utility model relates to the field of radio engineering and can be used as a basic element for creating various microwave devices.

Дифференциальные фазовращатели состоят из комненсирующего и фазосдвигающего каналов. В большинстве случаев компенсирующий канал представляет собой отрезок однородной одиночной линии передачи. В известных структурах дифференциальных фазовращателей фазосдвигающий канал образуется на основе либо одиночных, либо связанных линий передачи. Наибольщее распространение получили дифференциальные фазовращатели, в которых фазосдвигающий канал представляет собой всепропуекающую цепочку на основе связанных линий передачи. Основное их достоинство заключается в гом, что теоретически они пропускают все сигналы без отражения. Однако такие фазовращатели сложны в изготовлении, в особенности при использовании и реализации полосковых и микрополосковых линий.Differential phase shifters consist of compensating and phase-shifting channels. In most cases, the compensating channel is a segment of a uniform single transmission line. In known structures of differential phase shifters, a phase-shifting channel is formed on the basis of either single or coupled transmission lines. The most widely used are differential phase shifters, in which the phase-shifting channel is an all-pervasive chain based on coupled transmission lines. Their main advantage is that they theoretically pass all signals without reflection. However, such phase shifters are difficult to manufacture, especially when using and selling strip and microstrip lines.

Фазовращатели с фазосдвигающим каналом на одиночных линиях передачи проще в реализации, но они являются отражающими. Уменьщение коэффициента стоячей волны напряжения Kcmu max входа фазосдвигающего канала на одиночных линиях передачи приводит к росту отклонения от номинального значения ро разности фаз сигналов на выходах компенсирующего и фазосдвигающего каналов. Поэтому при поиске их параметров находится компромисс между отклонением zl и Kcmu maxИзвестен дифференциалькый фазовращатель СВЧ на одиночной линии передачи, фазосдвигающий канал которого содержит комбинацию отрезка полуволновой линии передачи (длиной Яср/2 , где Яф - длина волны линии на средней частоте рабочего диапазона) и два шлейфа длиной Л.ср/8 - разомкнутый и короткозамкнутый, расположенные поперек полуволнового отрезка линии передачи. (Microwave & RF. 1979.-№12.-р.167-168).Phase shifters with a phase-shifting channel on single transmission lines are easier to implement, but they are reflective. A decrease in the standing wave coefficient Kcmu max of the input of the phase-shifting channel on single transmission lines leads to an increase in the deviation from the nominal value of the phase difference of the signals at the outputs of the compensating and phase-shifting channels. Therefore, when searching for their parameters, a compromise is found between the deviation of zl and Kcmu max. A differential microwave phase shifter is known on a single transmission line, the phase-shifting channel of which contains a combination of a half-wave transmission line segment (Yasr / 2 length, where Rp is the line wavelength at the average frequency of the operating range) and two loop length L.sr / 8 - open and short-circuited, located across the half-wave segment of the transmission line. (Microwave & RF. 1979.- No. 12.-p.167-168).

Основным достоинством ЭТОГО фазовращателя является простота изготовления. В октавной полосе частот для , они имеют KcmUma - 5 и А(. Недостатком ЭТОГО фа вращателя является величина отклонения разности фаз от номинального значения, не всегда приемлемое для решения практических задач.The main advantage of this phase shifter is ease of manufacture. In the octave frequency band for, they have KcmUma - 5 and A (. The disadvantage of this rotator is the deviation of the phase difference from the nominal value, which is not always acceptable for solving practical problems.

Известны также широкополосные дифференциальные фазовращатели СВЧ на основе Т- и П-образных соединений, реализуемых на одиночных линиях передачи различных типов: симметричных и несимметричных, полосковых, копланарных и щелевых. Их фазосдвигающие каналы образованы из полуволнового отрезка одиночнойMicrowave wideband differential phase shifters based on T- and U-shaped connections, realized on single transmission lines of various types: symmetric and asymmetric, strip, coplanar and slotted, are also known. Their phase-shifting channels are formed from a half-wave segment of a single

МПКН01Р1/18 MPKN01R1 / 18

линии передачи и четвертьволновых шлейфов. (Радиотехника и электроника. - 1988. т.ЗЗ. - В.1. - С.63-69). Фазочастотные характеристики таких фазовращателей улучшены по сравнению с предыдушим решением. Но при этом максимальное значение коэффипиента стоячей волны напряжения Kcmv max входа фазосдвигаюшего канала стало больше. Большая величина Kcmv max является их недостатком.transmission lines and quarter-wave loops. (Radio engineering and electronics. - 1988. T.Z.Z. - B.1. - S.63-69). The phase-frequency characteristics of such phase shifters are improved compared to the previous solution. But at the same time, the maximum value of the coefficient of the standing wave of voltage Kcmv max of the input of the phase-shifting channel became larger. The large value of Kcmv max is their disadvantage.

Наиболее близким к пре, слагаемому является дифференциальный фазовращатель СВЧ, фа-- сдвигаюший канал которого образован из полуволнового отрезка одиночной линии передачи и включенным посередине ее короткозамкнутым шлейфом, образующим Т-образное соединение. К выводам одиночной линии подключены подв(См.: Радиотехника и электроника. - 1988. - т.ЗЗ. - в.1. - С.65.)The closest to the term is the microwave differential phase shifter, the fa-shifting channel of which is formed from a half-wave segment of a single transmission line and its short-circuited loop included in the middle, forming a T-shaped connection. The terminals are connected to the conclusions of a single line (See: Radio engineering and electronics. - 1988. - T.Z. - v.1. - P.65.)

Фазочастотные характеристики этого фазовращателя и максимальное значение коэффициента стоячей волны напряжения Kcmu max входа фазосдвигающего канала совпадают с предыдущим решением. Большая величина max является их недостатком.The phase-frequency characteristics of this phase shifter and the maximum value of the standing wave coefficient of the voltage Kcmu max of the input of the phase-shifting channel coincide with the previous solution. The large value of max is their disadvantage.

предлагаемого решения является более точное обеспечение постоянного сдвига фаз (уменьшение Аф) в широкой полосе частот при уменьшении KcmVmsy. входа фазосдвигающего канала. The proposed solution is to more accurately ensure a constant phase shift (decrease in AF) in a wide frequency band with a decrease in KcmVmsy. input phase-shifting channel.

Поставленная задача решается тем, что в фазовращателе СВЧ фиксированном, содержащем фазосдвигающий канал на одиночной линии передачи со шлейфом, компенсирующую линию и подводящие линии с волновым сопротивлением ро, согласно предлагаемого решения, одиночная линия передачи выполнена в виде каскадного включения нечетного числа чередующихся отрезков однородных линий передачи с волновыми сопротивлениями р и ро, расположенных симметрично относительно центрального отрезка с сопротивлением р, при этом длины отрезков и шлейфа выбраны из соотношений:The problem is solved in that in a fixed microwave phase shifter containing a phase-shifting channel on a single transmission line with a loop, a compensating line and supply lines with wave resistance po, according to the proposed solution, a single transmission line is made in the form of a cascade connection of an odd number of alternating segments of uniform transmission lines with wave impedances p and po located symmetrically relative to the central segment with resistance p, while the lengths of the segments and the loop are selected from relationships:

...l2n-i; ... l2n-i;

...l2n-2. ... l2n-2.

hn-i 1ш ,гдеhn-i 1ш, where

//, 1з,..., hn-i - длины отрезков линии передачи с волновым сопротивлением р, при этом /2л-/ - длина центрального отрезка,//, 1z, ..., hn-i are the lengths of the segments of the transmission line with the wave impedance p, while / 2l- / is the length of the central segment,

/2, //, ..., /2п-2 - - длины отрезков линии передачи с волновым сопротивлением ро,/ 2, //, ..., / 2п-2 - are the lengths of the segments of the transmission line with the wave resistance po,

1ш - длина шлейфа,1ш - loop length,

частот, на фиг.З - частотная зависимость модуля коэффициента отражения от входа предлагаемого фазовращателя в октавной полосе частот, где:1 - фазосдвигающий канал; 2 - шлейф; 3 - компенсирующая линия; 4 - первый вход (вход фазосдвигающего канала); 5 первый выход; 6 - второй вход; 7 - второй выход. Вход 4 и выход 5 соединены с подводящими линиями, имеющими волновое сопротивление ро. (на чертеже не показаны).frequency, in Fig.Z - the frequency dependence of the reflection coefficient module from the input of the proposed phase shifter in the octave frequency band, where: 1 - phase-shifting channel; 2 - a loop; 3 - compensating line; 4 - the first input (input phase-shifting channel); 5 first exit; 6 - second entrance; 7 - second exit. Input 4 and output 5 are connected to supply lines having a wave impedance po. (not shown in the drawing).

Фазовращатель состоит из фазовращающего канала 1 на одиночной линии передачи, со щлейфом 2, и компенсирующей линии 3. Одиночная линия передачи представляет собой симметричное каскадное включение нечетного числа отрезков однородных линий передачи различной длины с чередующимися значениями волновых сопротивлений р, ро. Шлейф 2 с волновым сопротивлением рш включен в середине центрального отрезка. Длины отрезков и шлейфа связаны соотпощением /з /,„ /2 // (для пятиступенчатой структуры) и /j /ш /2 / /5 // (для девятиступенчатой). Начало первого отрезка с волновым сопротивлением р является входом фазосдвигающего канала и первым входом 4 фазовращателя. Конец последнего отрезка с волновым сопротивлением р является первьм выходом 5 фазовращателя. Компенсирующая линия 3 представляет собой отрезок однородной одиночной линии передачи длиной 1комп- Начало его является вторым входом 6, а конец - вторым выходом 7 фазовращателя. Вход 4 и выход 5 соединены с подводящи-ли линиями с волновым сопротивлением ро (на чертеже не показе :ы).The phase shifter consists of a phase-shifting channel 1 on a single transmission line, with a ribbon cable 2, and a compensating line 3. A single transmission line is a symmetric cascade connection of an odd number of segments of homogeneous transmission lines of various lengths with alternating values of wave impedances p, po. A loop 2 with a wave resistance rsh is included in the middle of the central segment. The lengths of the segments and the loop are connected by the correlation / s /, „/ 2 // (for a five-step structure) and / j / w / 2 / / 5 // (for a nine-step). The beginning of the first segment with wave impedance p is the input of the phase-shifting channel and the first input 4 of the phase shifter. The end of the last segment with wave resistance p is the first output 5 of the phase shifter. Compensating line 3 is a segment of a homogeneous single transmission line with a length of 1comp. Its beginning is the second input 6, and the end is the second output 7 of the phase shifter. Input 4 and output 5 are connected to the supply lines with wave impedance po (not shown in the drawing: s).

Нредлагаемый фиксированный фазовращатель работает следующим образом: в рабочей полосе частот при подаче на входы 4, 6 одинаковых по амплитуде и фазе СВЧ сигналов за счет подобранных значений длины компенсирующей линии /тми, длин отрезков и шлейфа, волнового сопротивления р и /Лц на входе 4 и на выходах 5 и 7 будут сигналы, удовлетворяющие заданным требованиям к KcmUmax. входа фазосдвигающего канала 4 и к величине максимального отклонения разности между фазами сигналов на выходах 5 и 7 от номинального значения. Благодаря выявленной закономерности распределения длин отрезков одиночной ЛП фазосдвигающего канала, предлагаемый фиксированный фазовращатель по сравнению с прототипом в одной и той же рабочей полосе частот имеет меньшие значения Kcmu max входа 4 и меньщие максимальные отклонения разности фаз сигналов на выходах 5 и 7 от номинального значения. Например, в октавной рабочей полосе частот при номинальном значении фазового сдвига 90° максимальное отклонение от 90° разности фаз сигналов на выходах 5 и 7 и Kcmu max входа 4 равны соответственно: 1.39° и 1.17 - для прототипа, 0,905° и 1.006 для предлагаемого фазовращателя (фиг. 2, 3).The proposed fixed phase shifter operates as follows: in the working frequency band when applying to the inputs 4, 6 microwave signals of the same amplitude and phase due to the selected values of the compensating line length / tmi, the lengths of the segments and the loop, the wave impedance p and / Lc at input 4 and at outputs 5 and 7 there will be signals that meet the specified requirements for KcmUmax. input phase-shifting channel 4 and the magnitude of the maximum deviation of the difference between the phases of the signals at outputs 5 and 7 from the nominal value. Due to the revealed regularity of the distribution of the lengths of the segments of a single phase shifting channel LP, the proposed fixed phase shifter, in comparison with the prototype in the same working frequency band, has lower values of Kcmu max of input 4 and smaller maximum deviations of the phase difference of the signals at outputs 5 and 7 from the nominal value. For example, in the octave working frequency band at a nominal phase shift of 90 °, the maximum deviation from 90 ° of the phase difference of the signals at outputs 5 and 7 and Kcmu max of input 4 are equal to: 1.39 ° and 1.17 for the prototype, 0.905 ° and 1.006 for the proposed phase shifter (Fig. 2, 3).

Claims (1)

Фазовращатель СВЧ фиксированный, содержащий фазосдвигающий канал на одиночной линии передачи со шлейфом, включенным в центре линии, и подводящими линиями с волновым сопротивлением ρ0, компенсирующую линию, отличающийся тем, что одиночная линия передачи выполнена в виде каскадного включения нечетного числа чередующихся отрезков однородных линий передачи с волновыми сопротивлениями ρ и ρ0, расположенных симметрично относительно центрального отрезка с сопротивлением ρ, при этом длины отрезков и шлейфа выбраны из соотношений:Fixed microwave phase shifter containing a phase-shifting channel on a single transmission line with a loop connected in the center of the line and supply lines with a wave impedance ρ 0 , compensating line, characterized in that the single transmission line is made in the form of a cascade connection of an odd number of alternating segments of uniform transmission lines with wave impedances ρ and ρ 0 located symmetrically with respect to the central segment with resistance ρ, while the lengths of the segments and the loop are selected from the relations: l1<l3<...<l2n-1;l 1 <l 3 <... <l 2n-1 ; l2>l4>...>l2n-2;l 2 > l 4 >...> l 2n-2 ; l2n-1>lш,l 2n-1 > l w , где l1, l3, ..., l2n-1 - длины отрезков линии передачи с волновым сопротивлением ρ, при этом l2n-1 - длина центрального отрезка;where l 1 , l 3 , ..., l 2n-1 are the lengths of the segments of the transmission line with the impedance ρ, while l 2n-1 is the length of the central segment; l2, l4, ..., l2n-2 - длины отрезков линии передачи с волновым сопротивлением ρ0;l 2 , l 4 , ..., l 2n-2 - the lengths of the segments of the transmission line with wave impedance ρ 0 ; lш - длина шлейфа.l W - the length of the loop.
Figure 00000001
Figure 00000001
RU2003124819/20U 2003-08-11 2003-08-11 FIXED PHASE ROTARY FIXED RU34036U1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2003124819/20U RU34036U1 (en) 2003-08-11 2003-08-11 FIXED PHASE ROTARY FIXED

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2003124819/20U RU34036U1 (en) 2003-08-11 2003-08-11 FIXED PHASE ROTARY FIXED

Publications (1)

Publication Number Publication Date
RU34036U1 true RU34036U1 (en) 2003-11-20

Family

ID=48282079

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2003124819/20U RU34036U1 (en) 2003-08-11 2003-08-11 FIXED PHASE ROTARY FIXED

Country Status (1)

Country Link
RU (1) RU34036U1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2799991C1 (en) * 2022-12-23 2023-07-14 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" Microstrip fixed uhf phase shifter

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2799991C1 (en) * 2022-12-23 2023-07-14 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский национальный исследовательский государственный университет имени Н.Г. Чернышевского" Microstrip fixed uhf phase shifter

Similar Documents

Publication Publication Date Title
Liu et al. Broadband via-free microstrip balun using metamaterial transmission lines
Markley et al. Quad-band negative-refractive-index transmission-line unit cell with reduced group delay
EP1973227A2 (en) Power divider/combiner and power dividing/combining method using the same
RU34036U1 (en) FIXED PHASE ROTARY FIXED
EP1195841A1 (en) 180o PHASE SHIFT STRUCTURE IN WIDEBAND MICROWAVES
JP4501711B2 (en) Even harmonic mixer
JP2006014068A (en) Filter circuit and radio communication equipment using the same
RU2251765C2 (en) Differential microwave phase shifter
RU2246780C1 (en) Differential microwave phase shifter
RU31690U1 (en) FIXED Microwave Phaser
US3748600A (en) Power combining network
RU177305U1 (en) COMPACT DIRECTED TAP
RU2274931C1 (en) Fixed microwave phase shifter
RU41921U1 (en) FIXED Microwave Phaser
Gatti et al. A novel compact dual band reconfigurable power divider for smart antenna systems
US4207547A (en) Reflection mode notch filter
RU2799991C1 (en) Microstrip fixed uhf phase shifter
RU2601533C1 (en) Power divider
RU182127U1 (en) COMPACT MICROWAVE BRIDGE
US2584600A (en) Radio-frequency phase shift network
RU2631905C1 (en) Discrete phase shift
Sheel et al. Compact feeding structure for standard waveguide and substrate integrated waveguide arrays
RU166050U1 (en) MULTI-DISCHARGE WIDE BAND DISCRETE SUPER HIGH FREQUENCY PHASE ROTARY
KR102695106B1 (en) Wideband Power Dvider with reduced return loss
RU182106U1 (en) COMPACT RING BRIDGE

Legal Events

Date Code Title Description
MM1K Utility model has become invalid (non-payment of fees)

Effective date: 20070812