NL2022031A - Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method - Google Patents

Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method Download PDF

Info

Publication number
NL2022031A
NL2022031A NL2022031A NL2022031A NL2022031A NL 2022031 A NL2022031 A NL 2022031A NL 2022031 A NL2022031 A NL 2022031A NL 2022031 A NL2022031 A NL 2022031A NL 2022031 A NL2022031 A NL 2022031A
Authority
NL
Netherlands
Prior art keywords
feature
focus
pattern
features
metrology
Prior art date
Application number
NL2022031A
Other languages
Dutch (nl)
Inventor
Staals Frank
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=65229114&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=NL2022031(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Priority to NL2022031A priority Critical patent/NL2022031A/en
Publication of NL2022031A publication Critical patent/NL2022031A/en

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Disclosed is a method of measuring focus performance of a lithographic apparatus. The method comprises using the lithographic apparatus to print at least one focus metrology pattern on a substrate, the printed focus metrology pattern comprising at least a first periodic array of features, and using inspection radiation to measure asymmetry between opposite portions of a diffraction spectrum for the first periodic array in the printed focus metrology pattern. A measurement of focus performance is derived based at least in part on the asymmetry measured. The first periodic array comprises a repeating arrangement of a space region having no features and a pattern region having at least one first feature comprising sub-features projecting from a main body and at least one second feature; and wherein the first feature and second feature are in sufficient proximity to be effectively detected as a single feature during measurement. A patterning device comprising said first periodic array is also disclosed.

Description

METHODS AND PATTERNING DEVICES AND APPARATUSES FOR MEASURING FOCUS PERFORMANCE OF A LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHODMETHODS AND PATTERNING DEVICES AND DEVICES FOR MEASURING FOCUS PERFORMANCE OF A LITHOGRAPHIC DEVICE, DEVICE MANUFACTURING METHOD

FIELD OF THE INVENTION 0001 The present invention relates to inspection apparatus and methods usable, for example, to perform metrology in the manufacture of devices by lithographic techniques. The invention further relates to such methods for monitoring a focus parameter in a lithographic process.FIELD OF THE INVENTION 0001 The present invention relates to inspection apparatus and methods usable, for example, to perform metrology in the manufacture of devices by lithographic techniques. The invention further relates to such methods for monitoring a focus parameter in a lithographic process.

BACKGROUND ART 0002 A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. 0003 in lithographic processes, it is desirable frequently to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements tire known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment of two layers in a device. Recently, various forms of scatterometers have been developed for use in the lithographic field.BACKGROUND ART 0002 A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. 0003 in lithographic processes, it is desirable to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements known as scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment or two layers in a device. Recently, various forms of scatterometers have been developed for use in the lithographic field.

These devices direct a beam of radiation onto a target and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest of the target can be determined. 0004 Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1. The targets used by such scatterometers are relatively large, e.g., 40pm by 40pm, gratings and the measurement beam generates a spot that is smaller than the grating (i.e., the grating is underfilled). Diffraction-based overlay metrology using dark-field imaging of the diffraction orders enables measurement of overlay and other parameters on smaller targets. These targets can be smaller than the illumination spot and may be surrounded by product structures on a substrate. The intensities from the environment product structures can efficiently be separated from the intensities from the overlay target with the dark-field detection in the image-plane. 0005 Examples of dark field imaging metrology can be found in international patent applications US20100328655A1 and US2011069292A1 which documents are hereby incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A. US20110043791 A, US2011102753A1, US20120044470A, US20120123581 A, US20130258310A, US20130271740A and WO2013178422A1. These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also incorporated herein by reference. 0006 One important parameter of a lithographic process which requires monitoring is focus. There is a desire to integrate an ever-increasing number of electronic components in an 1C. To realize this, it is necessary to decrease the size of the components and therefore to increase the resolution of the projection system, so that increasingly smaller details, or line widths, can be projected on a target portion of the substrate. As the critical dimension (CD) in lithography shrinks, consistency of focus, both across a substrate and between substrates, becomes increasingly important. CD is the dimension of a feature or features (such as the gate width of a transistor) for which variations will cause undesirable variation in physical properties of the feature. 0007 Traditionally, optimal settings were determined by “send-ahead wafers” i.e. substrates that are exposed, developed and measured in advance of a production run. In the send-ahead wafers, test structures were exposed in a so-called focus-energy matrix (FEM) and the best focus and energy (exposure dose) settings were determined from examination of those test structures. More recently, focus metrology targets are included in the production designs, to allow continuous monitoring of focus performance. These metrology targets should permit rapid measurements of focus, to allow fast performance measurement in high-volume manufacturing. Ideally, the metrology targets should be small enough that they can be placed among the product features without undue loss of space, 0008 Current test structure designs and focus measuring methods have a number of drawbacks. Known focus metrology targets require sub-resolution features and/or grating structures with large pitches. Such structures may contravene design rules of the users of lithographic apparatuses. Asymmetry in a grating structure can be measured effectively using high-speed inspection apparatus such as a scatterometer, working at visible radiation wavelengths. Known foeus measuring techniques exploit the fact that focus-sensitive asymmetry can be introduced into structures printed in a resist layer by special design of the patterns on a patterning device that defines the target structure. For EUV lithography, where printing is performed using radiation of a wavelength less than 20 nm, for example 13.5 nm, the creation of sub-resolution features becomes even more difficult. For EUV lithography, resist thickness, and therefore the thickness of target structures, is smaller. This weakens the diffraction efficiency, and hence the signal strength, available for focus metrology. 0009 For these reasons, there is a need to develop new techniques for the measurement of focus performance in lithographic processes, particularly in EUV lithography, but also for projection-based lithography in general.These devices direct a beam of radiation onto a target and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest or the target can be determined. 0004 Examples of known scatterometers include angle-resolved scatterometers or the type described in US2006033921A1 and US2010201963A1. The targets used by such scatterometers are relatively large, e.g., 40pm by 40pm, gratings and the measurement beam generates a spot that is narrower than the grating (i.e., the grating is underfilled). Diffraction-based overlay metrology using dark-field imaging or the diffraction orders enables measurement or overlay and other parameters on smaller targets. These targets can be narrower than the illumination spot and may be surrounded by product structures on a substrate. The intensities from the environment product structures can be efficiently separated from the intensities from the overlay target with the dark-field detection in the image-plane. 0005 Examples of dark field imaging metrology can be found in international patent applications US20100328655A1 and US2011069292A1 which documents are incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A. US20110043791 A, US2011102753A1, US20120044470A, US20120123581 A, US20130258310A, US20130271740A and WO2013178422A1. These targets can be narrower than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also included by reference. 0006 One important parameter of a lithographic process which requires monitoring is focus. There is a desire to integrate an ever-increasing number of electronic components in an 1C. To realize this, it is necessary to decrease the size of the components and therefore to increase the resolution of the projection system, so that increasingly smaller details, or line widths, can be projected on a target portion of the substrate. As the critical dimension (CD) in lithography shrinks, consistency of focus, both across a substrate and between substrates, becomes increasingly important. CD is the dimension of a feature or features (such as the gate width of a transistor) for which variations will cause undesirable variation in physical properties of the feature. 0007 Traditionally, optimal settings were determined by "send-ahead wafers" i.e. substrates that are exposed, developed and measured in advance or a production run. In the send-ahead wafers, test structures were exposed in a so-called focus-energy matrix (FEM) and the best focus and energy (exposure dose) settings were determined from examination of those test structures. More recently, focus metrology targets are included in the production designs, to allow continuous monitoring or focus performance. These metrology targets should permit rapid measurements of focus, to allow fast performance measurement in high-volume manufacturing. Ideally, the metrology targets should be small enough that they can be placed among the product features without undue loss of space, 0008 Current test structure designs and focus measuring methods have a number of drawbacks. Known focus metrology targets require sub-resolution features and / or grating structures with large pitches. Such structures may be contravening design rules of the users or lithographic apparatuses. Asymmetry in a grating structure can be measured effectively using high-speed inspection apparatus such as a scatterometer, working at visible radiation wavelengths. Known fuzzy measuring techniques exploit the fact that focus-sensitive asymmetry can be introduced into structures printed in a resist layer by special design of the patterns on a patterning device that defines the target structure. For EUV lithography, where printing is performed using radiation or a wavelength less than 20 nm, for example 13.5 nm, the creation of sub-resolution features becomes even more difficult. For EUV lithography, resist thickness, and therefore the thickness of target structures, is narrower. This weakens the diffraction efficiency, and hence the signal strength, available for focus metrology. 0009 For these reasons, there is a need to develop new techniques for the measurement of focus performance in lithographic processes, particularly in EUV lithography, but also for projection-based lithography in general.

SUMMARY OF THE INVENTION 0010 The present invention aims to provide alternative methods of measuring focus performance.SUMMARY OF THE INVENTION 0010 The present invention aims to provide alternative methods or measuring focus performance.

In some aspects the invention aims to provide methods that are adaptable to new environments, such as EUV lithography. In some aspects, the invention aims to avoid the requirement for sub-resolution features to be defined in a patterning device. 0011 In a first aspect of the invention, the inventors have recognized that alternative target designs can be devised, which provide focus-dependent asymmetry signals without the use of sub-resolution features. 0012 The invention in a first aspect provides a method of measuring focus performance of a lithographic apparatus, the method comprising: (a) obtaining measurement data relating to measured asymmetry between opposite portions of a diffraction spectrum for a first periodic array in a printed focus metrology pattern on a substrate; and (b) deriving a measurement of focus performance based at least in part on the asymmetry comprised within the measurement data, wherein said first periodic array comprises a repeating arrangement of a space region having no features and a pattern region having at least one first feature comprising sub-features projecting from a main body and at least one second feature; and wherein the first feature and second feature are in sufficient proximity to be effectively detected as a single feature when measured in a measurement step. 0013 The invention in a second aspect provides a patterning device for use in a lithographic apparatus, the patterning device comprising reflective and non-reflective portions to define features of one or more device patterns and one or more metrology patterns, the metrology patterns including at least one focus metrology pattern, the focus metrology pattern comprising at least a first periodic array of features comprising a repeating arrangement of features arranged to define a space region having no features and a pattern region having at least one first feature comprising sub-features projecting from a main body and at least one second feature; and wherein the first feature and second feature are in sufficient proximity to be effectively detected as a single feature during a seatterometery based metrology action to measure asymmetry between opposite portions of a diffraction spectrum for the first periodic array as formed on a substrate. 0014 The invention yet further provides a lithographic system comprising a lithographic apparatus comprising: an illumination optical system arranged to illuminate a reflective patterning device; a projection optical system arranged to project an image of the patterning device onto a substrate; and a metrology apparatus according to the first aspect of the invention as set forth above, w'herein the lithographic apparatus is arranged to use the measurement of focus performance derived by the metrology apparatus when applying the pattern to further substrates. 0015 The invention yet further provides computer program products for use in implementing methods and apparatuses according to various aspects of the invention as set forth above. 0016 The invention yet further provides a method of manufacturing devices using the method according to the first aspect or the second aspect of the invention as set forth above. 0017 Further features and advantages of the invention, as w'ell as the structure and operation of various embodiments of the invention, are described in detail below' with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.In some aspects the invention aims to provide methods that are adaptable to new environments, such as EUV lithography. In some aspects, the invention aims to avoid the requirement for sub-resolution features to be defined in a patterning device. 0011 In a first aspect of the invention, the inventors have recognized that alternative target designs can be devised, which provide focus-dependent asymmetry signals without the use of sub-resolution features. 0012 The invention in a first aspect provides a method of measuring focus performance of a lithographic apparatus, the method including: (a) receiving measurement data relative to measured asymmetry between opposite portions of a diffraction spectrum for a first periodic array in a printed focus metrology pattern on a substrate; and (b) deriving a measurement of focus performance based at least in part on the asymmetry included within the measurement data, said said first periodic array comprises a repeating arrangement of a space region having no features and a pattern region having at least one first feature including sub-features projecting from a main body and at least one second feature; the first feature and the second feature are sufficient proximity to be effectively detected as a single feature when measured in a measurement step. 0013 The invention in a second aspect provides a patterning device for use in a lithographic apparatus, the patterning device including reflective and non-reflective portions to define features of one or more device patterns and one or more metrology patterns, the metrology patterns including at least one focus metrology pattern, the focus metrology pattern including at least a first periodic array of features including a repeating arrangement or features arranged to define a space region having no features and a pattern region having at least one first feature including sub-features projecting from a main body and at least one second feature; the first feature and the second feature are sufficient proximity to be effectively detected as a single feature during a seatterometer-based metrology action to measure asymmetry between opposite portions of a diffraction spectrum for the first periodic array as formed on a substrate. 0014 The invention yet further provides a lithographic system including a lithographic apparatus including: an illumination optical system arranged to illuminate a reflective patterning device; a projection optical system arranged to project an image of the patterning device onto a substrate; and a metrology apparatus according to the first aspect of the invention as set forth above, where the lithographic apparatus is arranged to use the measurement of focus performance derived from the metrology apparatus when applying the pattern to further substrates. 0015 The invention yet further provides computer program products for use in implementing methods and apparatuses according to various aspects of the invention as set forth above. 0016 The invention yet further provides a method of manufacturing devices using the method according to the first aspect or the second aspect of the invention as set forth above. 0017 Further features and advantages of the invention, as w'ell as the structure and operation of various various of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specifically described described. Such others are presented for illustrative purposes only. Additional others will be apparent to persons skilled in the relevant art (s) based on the teachings contained.

BRIEF DESCRIPTION OF THE DRAWINGS 0018 Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:LETTER DESCRIPTION OF THE DRAWINGS 0018 Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:

Figure 1 depicts a lithographic apparatus having a reflective patterning device:Figure 1 depicts a lithographic apparatus having a reflective patterning device:

Figure 2 depicts a lithographic cell or cluster in which a lithographic apparatus and metrology apparatus can be used to perform methods according to the present invention;Figure 2 depicts a lithographic cell or cluster in which a lithographic apparatus and metrology apparatus can be used to perform methods according to the present invention;

Figures 3a and 3b illustrate schematically an inspection apparatus adapted to perform angle-resolved scatterometry and dark-field imaging inspection methods;Figures 3a and 3b illustrate schematically an inspection apparatus adapted to perform angle-resolved scatterometry and dark-field imaging inspection methods;

Figure 4 show's a prior described example focus metrology pattern;Figure 4 shows a previously described example focus metrology pattern;

Figure 5 illustrates the formation of a focus metrology target on a substrate using a reflective patterning device in one embodiment of the present invention;Figure 5 illustrates the formation of a focus metrology target on a substrate using a reflective patterning device in one embodiment of the present invention;

Figures 6a, 6b, 6c show' schematically, examples of focus metrology patterns for use in embodiments of the invention;Figures 6a, 6b, 6c show schematically, examples of focus metrology patterns for use in the invention;

Figures 7a, 7b, 7c, 7d, 7e, 7f show schematically, further examples of focus metrology patterns for use in embodiments of the invention;Figures 7a, 7b, 7c, 7d, 7e, 7f show schematically, further examples of focus metrology patterns for use in the invention;

Figures 8a and 8b illustrate two complementary variants of the focus metrology target pattern shown in Figure 5(a);Figures 8a and 8b illustrate two complementary variants of the focus metrology target pattern shown in Figure 5 (a);

Figure 9 shows the formation of a composite focus metrology target comprising complementary variants of focus metrology patterns of the type shown in Figure 8(a) and (b);Figure 9 shows the formation of a composite focus metrology target including complementary variants or focus metrology patterns of the type shown in Figure 8 (a) and (b);

Figure 10 show’s a dark-field image of the metrology focus patterns of the target of Figure 9, obtained using the apparatus of Figure 3; andFigure 10 shows a dark-field image of the metrology focus patterns of the target or Figure 9, obtained using the apparatus of Figure 3; and

Figure 11 is a flowchart of a method of monitoring focus according to an embodiment of the invention.Figure 11 is a flow chart or a method or monitoring focus according to an embodiment of the invention.

DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS 0019 Before describing embodiments of the invention in detail, it is instructive to present an example environment in which embodiments of the present invention may be implemented. 0020 Figure 1 schematically depicts a lithographic apparatus 100 including a source module SO according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation). a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. 0021 The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. 0022 The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, whieh may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. 0023 The term “patterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. Tlie pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. 0024 In general patterning devices used in lithography may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. 0025 The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. 0026 As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). The focus metrology techniques of the present disclosure have been developed particularly for use with reflective patterning devices (reticles), where illumination is not in a direction normal to a plane of the patterning device surface, but at a slightly oblique angle. In principle, the same techniques could apply in relation to a transmissive patterning device, if for some reason illumination introduced asymmetry. Conventionally, illumination of the reticle is designed to be symmetrical, but with reflective reticles, that is not generally possible. 0027 Certain embodiments of the present disclosure exploit asymmetry in the projection system using a reflective patterning device. Other embodiments are applicable with any kind of projection system. 0028 The lithographic apparatus tnay be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be canned out on one or more tables while one or more other tables are being used for exposure. 0029 Referring to Figure 1, the illuminator IL receives an extreme ultra violet radiation beam from the source module SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The source module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, w'hich is collected using a radiation collector, disposed in the source module. The laser and the source module may be separate entities, for example w'hen a CO2 laser is used to provide the laser beam for fuel excitation. 0030 In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source. 0031 The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil minor devices. Tire illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. 0032 The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PSI can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2. 0033 The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. 2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). Tire velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnilication and image reversal characteristics of the projection system PS. 3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. Tn this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. 0034 Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. 0035 It will be understood that the lithographic apparatus is represented in Figure 1 in a highly schematic form, but that is all that is necessary for the present disclosure. 0036 As shown in Figure 2, the lithographic apparatus LA forms part of a lithographic cell LC, also sometimes referred to a hthocell or cluster, which also includes apparatus to perform pre- and postexposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK. A substrate handler, or robot, RO picks up substrates from input/output ports l/Ol. I/O2, moves them between the different process apparatus and delivers then to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, whieh also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatus can be operated to maximize throughput and processing efficiency. 0037 in order that the substrates that are exposed by the lithographic apparatus are exposed correctly and consistently, it is desirable to inspect exposed substrates to measure properties such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc.DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS 0019 Before describing the invention in detail, it is instructive to present an example environment in which can be implemented. 0020 Figure 1 schematically depicts a lithographic apparatus 100 including a source module SO according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation). a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C or the substrate W. 0021 The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. 0022 The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is a hero in a vacuum environment . The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, whieh may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. 0023 The term "patterning device" should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. Tlie pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. 0024 In general patterning devices used in lithography may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase shift, and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. 0025 The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided for the whole beam path with the aid of a vacuum wall and vacuum pumps. 0026 As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). The focus metrology techniques of the present disclosure have been developed particularly for use with reflective patterning devices (reticles), where illumination is not in a direction normal to a plane or the patterning device surface, but at a slightly oblique angle. In principle, the same techniques could apply in relation to a transmissive patterning device, if for some reason illumination introduced asymmetry. Conventionally, illumination or the reticle is designed to be symmetrical, but with reflective reticles, that is not generally possible. 0027 Certain of the present disclosure exploit asymmetry in the projection system using a reflective patterning device. Other vary are applicable with any kind of projection system. 0028 The lithographic apparatus tnay be of a type having two (dual stage) or more substrate tables (and / or two or more mask tables). In such multiple stage machines the additional tables may be used in parallel, or preparatory steps may be canned out on one or more tables while one or more other tables are being used for exposure. 0029 Referring to Figure 1, the illuminator IL receives an extreme ultra violet radiation beam from the source module SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often produced laser plasma ("LPP") the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster or material with the required line-emitting element, with a laser beam. The source module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel. The resulting plasma emission output radiation, e.g., EUV radiation, is collected using a radiation collector, delivered in the source module. The laser and the source module may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation. 0030 In such cases, the laser is not considered to be a part of the lithographic apparatus and the radiation beam is passed from the laser to the source module with the aid of a beam delivery system including, for example, suitable directing mirrors and / or a beam expander. In other cases the source may be an integral part of the source module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source. 0031 The illuminator IL may include an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and / or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) or the intensity distribution in a pupil plane or the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as faceted field and pupil minor devices. Tire illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. 0032 The radiation beam B is incident on the patterning device (e.g., mask) MA, which is hero on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After the reflected from the patterning device (eg mask) MA, the radiation beam B passes through the projection system PS, which is the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (eg an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, eg so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PSI can be used to accurately position the patterning device (eg mask) MA with respect to the path of the radiation beam B. Patterning device (eg mask) MA and substrate May be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. 0033 The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (eg mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern is imparted to the radiation beam is projected onto a target portion C at one time (ie a single static exposure). The substrate table WT is then shifted in the X and / or Y direction so that a different target portion can be exposed. 2. In scan mode, the support structure (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern beamed to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). Tire velocity and direction of the substrate table WT relative to the support structure (e.g., mask table) MT may be determined by the (de-) magnilication and image reversal characteristics of the projection system PS. 3. In another mode, the support structure (eg mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern is imparted to the radiation beam is projected onto a target portion C. Tn this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array or a type as referred to above. 0034 Combinations and / or variations on the above described modes of use or entirely different modes of use may also be employed. 0035 It will be understood that the lithographic apparatus is represented in Figure 1 in a highly schematic form, but that is all that is necessary for the present disclosure. 0036 As shown in Figure 2, the lithographic apparatus LA forms part of a lithographic cell LC, also sometimes referred to a hthocell or cluster, which also includes apparatus for perform pre- and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK. A substrate handler, or robot, RO picks up substrates from input / output ports l / Ol. I / O2, moves them between the different process apparatus and delivers then to the loading bay LB or the lithographic apparatus. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, whieh also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatus can be operated to maximize throughput and processing efficiency. 0037 in order that the substrates that are exposed by the lithographic apparatus are exposed correctly and consistently, it is desirable to inspect exposed substrates to measure properties such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc.

Accordingly, a manufacturing facility in which lithocell LC is located also includes metrology system MET which receives some or all of the substrates W that have been processed in the lithocell. Metrology results tire provided directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments may be made to exposures of subsequent substrates, especially if the inspection can be done soon and fast enough that other substrates of the same batch are still to be exposed. Also, already exposed substrates may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on substrates that are known to be faulty.A manufacturing facility in which lithocell LC is located also includes metrology system WITH which receives some or all of the substrates W that have been processed in the lithocell. Metrology results tire provided directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments may be made to exposures or subsequent substrates, especially if the inspection can be done soon and fast enough that other substrates or the same batch are still exposed. Also, already exposed substrates may be stripped and reworked to improve yield, or discarded, avoiding performing further processing on substrates that are known to be faulty.

In a case where only some target portions of a substrate are faulty, further exposures can be performed only on those target portions which are good. 0038 Within metrology system MET, an inspection apparatus is used to determine the properties of the substrates, and in particular, how the properties of different substrates or different layers of the same substrate vary from layer to layer. The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a stand-alone device. To enable most rapid measurements, it is desirable that the inspection apparatus measure properties in the exposed resist layer immediately after the exposure. However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the parts of the resist which have been exposed to radiation and those which have not - and not all inspection apparatuses have sufficient sensitivity to make useful measurements of the latent image. Therefore, measurements may be taken after the post-exposure bake step (PEB) which is customarily the first step carried out on exposed substrates and increases the contrast between exposed and unexposed parts of the resist. At this stage, the image in the resist may be refeired to as semi-latent. It is also possible to make measurements of the developed resist image - at which point either the exposed or unexposed parts of the resist have been removed - or after a pattern transfer step such as etching. The latter possibility limits the possibilities for rework of faulty substrates but may still provide useful information. 0039 Figure 3(a) shows schematically the key elements of an inspection apparatus implementing so-called dark field imaging metrology. The apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC. An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. A target grating structure T and diffracted rays are illustrated in more detail in Figure 3(b). 0040 As described in the prior applications cited in the introduction, the dark-field imaging apparatus of Figure 3(a) may be part of a multi-purpose angle-resolved scatterometer that may be used instead of or in addition to a spectroscopic scatterometer. In this type of inspection apparatus, radiation emitted by a radiation source 11 is conditioned by an illumination system 12. For example, illumination system 12 may include a collimating lens system, a color filter, a polarizer and an aperture device 13. The conditioned radiation follows an illumination path JP, in which it is reflected by partially reflecting surface 15 and focused into a spot S on substrate W via a microscope objective lens 16. A metrology target T may be formed on substrate W. Lens 16, has a high numerical aperture (NA), preferably at least 0.9 and more preferably at least 0.95. Immersion fluid can be used to obtain with numerical apertures over 1 if desired. 0041 The objective lens 16 in this example serves also to collect radiation that has been scattered by the target. Schematically, a collection path CP is shown for this returning radiation. The multipurpose scatterometer may have two or more measurement branches in the collection path. The illustrated example as a pupil imaging branch comprising pupil imaging optical system 18 and pupil image sensor 19. An imaging branch is also shown, which will be described in more detail below. Additionally, further optical systems and branches will be included in a practical apparatus, for example to collect reference radiation for intensity normalization, for coarse imaging of capture targets, for focusing and so forth. Details of these can be found in the prior publications mentioned above. 0042 Where a metrology target T is provided on substrate W, this may be a 1 -D grating, which is printed such that after development, the bars are formed of solid resist lines. The target may be a 2-D grating, w'hich is printed such that after development, the grating is formed of solid resist pillars or vias in the resist. The bars, pillars or vias may alternatively be etched into the substrate. Each of these gratings is an example of a target structure whose properties may be investigated using the inspection apparatus. 0043 The various components of illumination system 12 can be adjustable to implement different metrology ‘recipes’ within the same apparatus. In addition to selecting wavelength (color) and polarization as characteristics of the illuminating radiation, illumination system 12 can be adjusted to implement different illumination profiles. The plane of aperture device 13 is conjugate with a pupil plane of objective lens 16 and the plane of the pupil image detector 19. Therefore, an illumination profile defined by aperture device 13 defines the angular distribution of light incident on substrate W in spot S. To implement different illumination profiles, an aperture device 13 can be provided in the illumination path. The aperture device may comprise different apertures mounted on a movable slide or wheel. It may alternatively comprise a programmable spatial light modulator. As a further alternative, optical fibers may be disposed at different location in the illumination pupil plane and used selectively to deliver light or not deliver light at their respective locations. These variants are all discussed and exemplified in the documents cited above. 0044 in a first example illumination mode, aperture 13N is used and rays 30a are provided so that the angle of incidence is as shown at T in Figure 3(b). The path of the zero order ray reflected by target T is labeled Ό’ (not to be confused with optical axis O’). In a second illumination mode, aperture 13S is used, so that rays 30b can be provided, in which case the angles of incidence and reflection will be sw'apped compared with the first mode. In Figure 3(a), the zero order rays of the first and second example illumination modes are labeled 0( 13N) and 0( 13S) respectively. Both of these illumination modes will be recognized as off-axis illumination modes. Many different illumination modes, including on-axis illumination modes can be implemented for different purposes. 0045 As shown in more detail in Figure 3(b), target grating T as an example of a target structure is placed with substrate W normal to the optical axis O of objective lens 16. In the case of an off-axis illumination profile, a ray of illumination I impinging on grating T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target grating, these rays are just one of many parallel rays covering the area of the substrate including metrology target grating T and other features. Since the beam of illuminating rays 30a has a finite width (necessary to admit a useful quantity of light), the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and + 1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown. 0046 In the branch of the collection path for dark-field imaging, imaging optical system 20 forms an image T’ of the target on the substrate W on sensor 23 (e.g. a CCD or CMOS sensor). An aperture stop 21 is provided in a plane in the imaging branch of the collection path CP which is conjugate to a pupil plane of objective lens 16. Aperture stop 20 may also be called a pupil stop. Aperture stop 21 can take different forms, just as the illumination aperture can take different forms. The aperture stop 21, in combination with the effective aperture of lens 16, determines what portion of the scattered radiation is used to produce the image on sensor 23. Typically, aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the first order beam(s). In an example w'here both first order beams are combined to form an image, this would be the so-called dark field image, equivalent to dark-field microscopy. As an example of an aperture stop 21, aperture 21a can be used which allows passage of on-axis radiation only. Using off-axis illumination in combination with aperture 21a, only one of the first orders is imaged at a time. 0047 The images captured by sensor 23 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. For the present purpose, measurements of asymmetry of the target structure are performed. Asymmetry measurements can be combined with knowledge of the target structures to obtain measurements of performance parameters of lithographic process used to form them. Performance parameters that can be measured in this way include for example overlay, focus and dose. Special designs of targets are provided to allow these measurements of different performance parameters to be made through the same basic asymmetry' measurement method. 0048 Referring again to Figure 3(b) and the first example illumination mode with rays 30a, +1 order diffracted rays from the target grating will enter the objective lens 16 and contribute to the image recorded at sensor 23. When the second illumination mode is used, rays 30b are incident at an angle opposite to rays 30b, and so the -1 order diffracted rays enter the objective and contribute to the image. Aperture stop 21 a blocks the zeroth order radiation when using off-axis illumination. As described in the prior publications, illumination modes can be defined with off-axis illumination in X and Y directions. 0049 By comparing images of the target grating under these different illumination modes, asymmetry measurements can be obtained. Alternatively, asymmetry measurements could be obtained by keeping the same illumination mode, but rotating the target. While off-axis illumination is shown, on-axis illumination of the targets may instead be used and a modified, off-axis aperture 21 could be used to pass substantially only one first order of diffracted light to the sensor. In a further example, a pair of off-axis prisms 21b are used in combination with an on-axis illumination mode. These prisms have the effect of diverting the +1 and -1 orders to different locations on sensor 23 so that they can be detected and compared without the need for two sequential image capture steps. This technique, is disclosed in the above-mentioned published patent application US2011102753A1, the contents of which are hereby incorporated by reference. 2nd, 3rd and higher order beams (not shown in Figure 3) can be used in measurements, instead of or in addition to the first order beams. As a further variation, the off-axis illumination mode can be kept constant, while the target itself is rotated 180 degrees beneath objective lens 16 to capture images using the opposite diffraction orders. 0050 In the following disclosure, techniques will be illustrated for measuring focus performance of a lithographic process that uses oblique illumination on a reflective type of patterning device I assume we are not excluding DUV scanners here? (transmissive reticles) At least we shouldn’t. These techniques may be applied in particular in EUV lithography, where reflective optics in a near-vacuum environment are required. Metrology targets including certain focus metrology patterns will be printed on the substrate, at the same time as product features are printed. Asymmetry in these printed patterns will be measured using for example diffraction based techniques in the apparatus of Figure 3. To allow the use of small targets, it will be assumed that these asymmetry measurements will be performed using the dark-field imaging branch of the apparatus. Diffraction-based measurements of asymmetry can also be made using the pupil imaging branch, however. Of course, the apparatus shown in Figure 3 is only one example of an inspection apparatus and method that may be used to measure asymmetry. 0051 In the context ol'lithographic apparatuses working in the DUV wavelength range, targets for diffraction-based focus (DBF) measurements have been designed and used successfully. A known type of DBF target is produced by including sub-segmented features in a grating pattern on the reticle. These features have dimensions below the imaging resolution of the lithographic apparatus, alongside more solid features. Consequently, they do not print as individual features in the resist layer on the substrate, but they influence the printing of the solid features, in a manner that is sensitive to focus error. Specifically, the presence of these features creates an asymmetric resist profile for each line in the grating within the DBF metrology target, with the degree of asymmetry being dependent upon focus. Consequently a metrology tool such as the inspection apparatus of Figure 3 can measure the degree of asymmetry from a target formed on the substrate, and translate this into the scanner focus. 0052 Unfortunately, the known DBF metrology target designs are not suitable for use in all situations. In EUV lithography, resist film thicknesses are significantly lower than those used in DUV immersion lithography, leading to low diffraction efficiency and difficulty extracting accurate asymmetry information from diffracted radiation in the scatterometer. In addition, since the resolution of the imaging system is inherently higher in EUV lithography, features hating dimensions below the printing resolution of DUV immersion lithography become “solid” features printable by EUV lithography. To protide analogous sub-resolution features on an EUV reticle is rather impractical, and/or may violate semiconductor manufacturer’s “design rules”. Such rules are generally established as a means to restrict the feature designs to ensure the printed features conform to their process requirements. In any case, working outside the design rules makes it difficult to simulate the performance of the process on the DBF targets, so that the optimum target design and the calibration of focus measurements becomes a matter of trial-and-error. The desire to conform to design rules applies to DBF targets in DUV lithography, not only EUV lithography. 0053 The focus (DBF) metrology target should have a unique, and preferably monotonic, asymmetry signal as a function of target defocus. In this context, an asymmetry signal may describe a difference (e.g., an intensity and/or phase difference) in opposing higher diffraction orders (e.g., +1 and -1 diffraction orders). It is also important that precision and sensitivity is high. Other considerations include minimizing dose and other cross talk effects (e.g., resultant from processing effects), and the tool-to-tool matching betw’een inspection tools should be good. 0054 It has been observed a good principle for a target is one based on a differential of two Bossung like signals with a focus shift between them. Most present techniques will yield a single Bossung, with no sign information and zero sensitivity around best focus. 0055 Figure 4 illustrates a previous example for addressing the issues raised, as described in European Patent Application No. 17177774.1. Tlie Figure shows in isolation a small portion of a focus metrology pattern. The repeating unit of this pattern comprises one first feature 422 and one second feature 424, spaced from each other in the direction of periodicity. The direction of periodicity in this example may be the X direction of the patterning device and substrate. Each first feature 422 comprises a bar or other feature each having a minimum dimension wl that is close to but not less than a resolution limit of the printing step. This value w 1 may be for example less than 50 nanometers in the direction of periodicity. A second space, betw'een each first feature 422 and its next nearest neighboring second feature 424, has a dimension w2’ and is similar to the dimension w2 of the second features 424 themselves. Consequently, it will be seen that the pattern T comprising thin first features and thicker second features is effectively present in both positive and negative form. Putting these dimensions wl, wl’ together with the much huger period P, it will be appreciated that the dimensions w2 and w2’ are much greater than the minimum dimension w'l of the first features 422, and consequently much greater than the resolution limit of the printing step. Dimensions w2 and w2’ may each be, for example, over four times, over five, six, eight or ten times the dimension wl. Each second feature in the periodic array further includes sub-features 426 having minimum dimensions close to but not less than a resolution limit of the printing step in a direction transverse to said direction of periodicity. The sub-features in this example are lines projecting asymmetrically from a main body 428 of the first feature. Tire length of these projecting lines or fingers is labelled w3. The main body 428 of each second features 424 defines a minimum dimension w4 of the second features in the direction of periodicity. Accordingly, in this notation, maximum dimension w2 of the second features 424 is equal to w'3 + w'4. The minimum dimension of the sub-features in the transverse direction is labeled w5. 0056 It is understood that it is only the gap betw'een the sub-features 426 and the first features 422 changes through focus. And moreover, this variation is linear or at least monotonous, resulting in a monotonous asymmetry signal when the target is measured. It is therefore assumed that this gap depends on different Bossung behavior betw'een that of a first edge defined by the ends of the sub features and a second edge defined by the first features 422. The first edge effectively pulls back (the length of subfeatures 426 become smaller) with defocus while the position of the second edge remains relatively stable. An effective Bossung shift may also come from a physical height difference: the sub-features 426 being effectively sampled at a lower resist height than the first features 422. 0057 However, the inventor believes that sensitivity to focus, with respect to the target design of Figure 4, could be improved upon. Better focus sensitivity means a stronger asymmetry signal and improved focus measurements. 0058 Figure 5 illustrates the principles of a method of measuring focus performance of a lithographic apparatus according to the present disclosure. In the disclosed method, the lithographic apparatus is used to print at least one focus metrology pattern T on a substrate W. The printed focus metrology pattern T comprises an array of features that is periodic in at least one direction. For the purpose of this example, the focus metrology pattern T is periodic in the Y direction, which corresponds to the scanning direction of the lithographic apparatus. In a lithographic apparatus of the type described, the direction of illumination is at an oblique angle, within the Y-Z plane. The focus metrology pattern T is made periodic in the Y direction. By measuring asymmetry in the printed focus metrology pattern, for example using an inspection apparatus of the type described above, a measurement of focus performance can be derived. 0059 Patterning device MA comprises reflective and non-reflective portions to define features of one or more device patterns and one or more metrology patterns. As one type of metrology pattern of interest for the present disclosure, a focus metrology pattern T to be formed on the substrate W is defined by a corresponding pattern T” formed on reflective patterning device MA. An enlarged detail of part of the reticle is shown at 502. The printing operation which transfers this pattern onto a resist layer on substrate W is performed in the lithographic apparatus of Figure 1 by illuminating the reticle with EUV radiation 504 radiation incident at an oblique angle Θ, which may be for example in the range of 5° to 10°. Reflected radiation 506 carrying information of the metrology target pattern (and all the product features desired to be printed on the substrate) enters the projection system PS. Tlie basis of the reticle is a reflective structure 508, which is typically a multilayer structure, adapted to reflect a wavelength of radiation used in the lithographic apparatus. The EUV radiation is typically shorter than 20 nanometers. For example, a wavelength of approximately 13.5 nm is used in current implementations, which are based on a tin plasma radiation source. 0060 On top of the reflective structure 508, radiation-absorbent structure 510 is provided, which may comprise a layer of EUV-absorbing material, and optionally a protective capping layer. Structure 510 is selectively removed so as to leave reflecting portions 512, with non-reflecting portions being defined by radiation-absorbent structure 514, in accordance with the pattern that is desired to be printed in the resist material on the substrate. Depending on the type of resist material used, the developed pattern may have resist features corresponding to the reflective portions (negative tone resist) or to the non-reflective portions (positive tone resist). For the present illustration, a positive resist process will be assumed, unless otherwise stated. The teaching of the present disclosure can readily be adapted by the skilled person to either type of process. 0061 Focus metrology pattern T comprises a grating pattern with a length L in a direction of periodicity. Tlie direction of periodicity in this example is the Y direction, as mentioned. The period P of the structure is marked, and an enlarged portion of the pattern including one of the repeating units 600 is shown. Each repeating unit in this example comprises a periodic repetition of a pattern region 605 with a space region 610. The skilled person will understand that the projection system PS of a typical lithographic apparatus will apply a predetermined de-magnification factor when printing the pattern from the patterning device MA onto the substrate W. Accordingly, the dimensions of features given in the following examples will be understood to refer to the sizes of features as printed on the substrate, and the sizes of the corresponding features on the patterning device such as reticle 502 may be physically several times larger. This scaling factor should be taken for granted in the following description, and will not be mentioned again. Similarly, unless the context otherwise requires, the dimensions of features of the metrology pattern T are stated as would be if the pattern is perfectly transferred from the patterning device to the resist. As will be appreciated, the basis of the focus metrology method is that the features will not be perfectly printed, when a non-zero focus error is present. 0062 The wavelength of radiation used in the printing step, for example EUV radiation, is much shorter than the wavelengths of radiation typically used to measure asymmetry in the inspection apparatus of Figure 3. EUV radiation may be defined as radiation in the range 0.1 nm to 100 nm whi le the wavelength of radiation used in the printing step may be for example less than 20 nanometers. Tiie inspection apparatus in some embodiments may use visible or infrared radiation at one or more wavelengths in the range 200 to 2000 nm. The wavelength of radiation used in the printing step may be in such cases ten or more times shorter than the wavelength of radiation used in the measuring of asymmetry. In other examples, the wavelength of the measuring radiation may be shorter than 200 nm, for example in the range 150-400 nm or even 100 nm to 200 nm. 0063 Whichever radiation wavelengths are used for the printing of the pattern and the measuring of it, the focus metrology pattern contains features with a range of properties adapted to suit these conditions. Dimensions of features comprised within the pattern region 605 are designed to have a dimension similar to the smallest features printed as part of the product patterns. If this were not so, focus sensitivity would be significantly lower, resulting in lower precision. Furthermore, this focus performance measured using the focus metrology pattern T might not accurately represent focus performance in the actual product features of interest. 0064 On the other hand, in view of the longer wavelengths used in the inspection apparatus (even allowing for the fact that inspection apparatus using shorter wavelengths might be applied), these individual first features are too small to be resolved directly by the inspection apparatus. By arranging groups of first features in a grating pattern having an overall period P that is comparable to the inspection apparatus wavelength, a diffraction spectrum of the pattern as a whole becomes resolvable in the inspection apparatus, and properties of the smaller features can be inferred. The period P of the grating pattern may for example be 350 nm or 450 nm or 600 nm. Tire overall length L of the grating pattern may be, for example, 5 pin. Such a size allows the pattern to be included within the scribe lanes or even in the device areas, but still resolved using the dark-field imaging branch of the inspection apparatus of Figure 3. (If measurements are to be made using the pupil imaging branch, then a larger target is typically required, for example with L of 30 pm or 40 pm so that the illumination spot S can be placed entirely within the grating.) The relative sizes of features and gratings, and numbers of features in each grating are not intended to be shown to scale in any of the figures here. 0065 Figure 6 shows various focus metrology patterns according to embodiments. It will be appreciated that these illustrations are purely exemplary, and of course other examples can be envisaged, based on the principles disclosed herein. In all of the examples, only a small section of the pattern is shown, including a repeating unit with period P. 0066 Figure 6(a) shows in isolation a small portion of the same focus metrology pattern that is used as the example in Figure 5. This type of pattern may be used to measure focus performance for an EUV lithographic process using a reflective patterning device MA, or a conventional transmissive process. 0067 The target design comprises a periodic pattern 600 comprising repeated instances of a pattern region 605 and space region 610. The pattern region comprises at least one iteration of a first feature 615, hereafter referred to as a comb feature 615 and at least one second feature 620, hereafter referred to as a line feature 620. Comb feature 615 comprises sub-features 625, which in this example are lines projecting asymmetrically from amain body 630 of the first feature. The length of these projecting lines or fingers is labelled w7. In Figure 6(a), each pattern region 605 of periodic pattern 600 has one repetition of comb feature 615 and line feature 620 (e.g., two of each), in Figure 6(b) each pattern region 605 of periodic pattern 600’ has only one comb feature 615 and one line feature 620, and in Figure 6(c), each pattern region 605” of periodic pattern 600 has two repetitions of comb feature 615 and line feature 620. For each repetition (pair of first feature and second feature), the fingers 625 of the comb feature 615 are adjacent (i.e., facing) the at least one line feature 620. Note that this is in contrast to the Figure 4 arrangement. 0068 As previously discussed, a focus measurement response to the target, when formed on a substrate and measured, should comprise two shifted Bossung curves. In the proposed target design, a first Bossung response may result from the focus response of fingers 625 and a second Bossung response may result from the line feature 620. A relative shift in the peaks of these first and second Bossung curves may result from the tact that the finger 625 is effectively sampled at a lower height (z-direction) in resist. An additional asymmetry mechanism may be based on the sidewall angle of the fingers 625. 0069 It is believed that an about 1:1 line-space ratio defined by (i.e., the ratio ol) the pattern region 605 and space region 610 may yield a maximum signal (asymmetry) intensity. It is this line-space periodic pitch that is detected by the metrology device (scatterometer) during a measurement; the subfeatures 625 and main body 630 of comb feature 615 are effectively seen as a thick line by a scatterometer, it is also believed that repeating the near resolution or at-resolution features will multiply the area which provides the focus-dependent signal, and thus the signal. The example of Figure 6(a) has an effective line-space ratio of about 1:1 (i.e., wl is about equal to w2), and one repetition of near resolution features. Therefore, this may be the better arrangement in terms of signal strength. However, it is also expected that the examples of Figures 6(b) and (c) will provide acceptable performance. For example, the width of the pattern region 605 and space region 610 may differ by no more than 100%, by no more than 50%, by no more than 20% or by no more than 10%. Alternatively, or in addition, in terms of line-space ratio (ratio between the pattern region 605 and space region 610), the ratio of the largest of these to the smallest of these (i.e., the largest: smallest ratio) should be less than 3:1, less than 2:1, or less than 3:2. for example. 0070 Several parameters of the focus metrology pattern can be adjusted as part of a design process for an optimal focus metrology pattern. The optimal focus metrology may be different for each layer and each process of a product, particularly where operating parameters of the lithographic apparatus may be customized for each layer. Dimensions wl, w2, w3, w4, w5, w6, w7, w8, w9, pitch P and the finger pitch Pt of comb feature 615 may all vary, (between targets and/or even within a target). For example, pitch P may be between 300nm and 800nm or between 300nm and 600nm; for example period or pitch P may be 350 nm, 450 nm or 600 nm. Design parameters may be expressed in any suitable format. Ratios such as the ones just given may be convenient for expressing relative dimensions of features, w'hile absolute dimensions may be expressed directly, or by ratios relative to a specified resolution limit, and/or relative to the period P. In the illustrated example, with a period P of 450 or 600 nm, the linewidths w3, w4 and w8 (for, respectively, the main body of comb features, the line features and the fingers) and the spaces w5, w'6, w9 (spaces between the comb features and the line features, and between each finger) may all have the same dimension; e.g., to be on the order of between 20nm and 40nm, e.g., 22 or 30nm. Tlie dimension w7 (length of the fingers 625) may be approximately twice the linewidth e.g., to be on the order of between 40nm and 80nm, e.g., 44 or 60nm. 0071 Generally speaking, the person skilled in imaging technology will consider that features are effectively isolated from one another, if the space between them is five or six times dimensions of the features themselves. Here, the maximum spacing between each of comb feature and each line feature w'ithin each pattern region is not greater than twice the resolution limit of the lithography apparatus used to print the target. For example, this spacing may be no greater than lOOnm, no greater than 80nm, no greater than 60nm, no greater than 40nm, no greater than 30nm or no greater than 20nm. Thus, in this example, the features 615, 620 of each pattern region 605 are not isolated from each other, but each pattern region 605 is isolated from its neighboring pattern region(s). 0072 Figure 7 shows a number of variations on the targets described herein. In the Figure 6 embodiments, the (thin) line feature 620 is effectively floating which is not ideal. In terms of imaging, the last line in a simple grating always prints differently to the other lines because it does not have a neighboring structure. For a good signal, the last thin line should print the same as all other thin lines. Therefore, it is proposed that the last thin line is thickened or comprises an additional dummy neighbor, to maximize this similarity. In Figure 7, the effective thickness of the right edge of each pattern region 705 has been increased to improve stability of this edge. Figures 7(a), (c) and (e) are examples with no repetition, respectively, of equivalent single repetition examples of Figures 7(b), (d) and (f). More specifically, the pattern illustrated in Figures 7(a), (c) and (e) comprises a pattern region 705 having a single comb feature 715 and line feature 735, 735’, 735” alternating with a space region 710, and the pattern illustrated in Figures 7(b), (d) and (f) comprises a pattern region 705 having one repetition of comb feature 715 and line feature 720, 735, 735’, 735” alternating with a space region 710. The basic principles can be extended to other repetition examples, e.g., the two repetition example of Figure 6(c), where space allows. In the illustrated embodiment, it can be seen that for the multiple repetition examples, the pattern does not repeat exactly; i.e., right hand line feature 735, 735’, 735” is different to other line features 720. 0073 In Figures 7(a) and (b) the right hand line feature 735 has been made thicker. For example it may be about 1.5 times or twice as thick as the line feature 720 (e.g., about 1.5 times or twice as thick as the line feature 620 of Figure 6). Note that, for the single repetition example of Figure 7(b ), it is only the second line feature 735 which is made thicker. In Figures 7(c) and (d), the right hand line feature 635’ comprises a dual thin-thin line, e.g., two lines, each being similar to that of line feature 720. In Figures 7(e) and (f), the right hand line feature 635” comprises a dual thin-thick line, e.g., two lines, the outermost line being thicker than the innermost line. For example the thinner line may be similar to that of line feature 720 and the thicker line may be 1.5 times or twice as thick. 0074 Wliile targets including the above focus metrology target patterns may yield focus measurements (when appropriately designed for the process), there is also an expectation that the focus measurement of a target will be subject to uncertainty because of the wide variety of aberrations and/or image distortions that can be introduced, besides focus. Accordingly, embodiments of the measurement method are also disclosed in which multiple differential measurements are made on two or more focus metrology patterns. These may be provided in complementary pairs, with mirrored asymmetry in their designs, and/or in pairs with design differences other than mirror symmetry. 0075 Figure 8 illustrates two complementary focus metrology patterns that can be used together to obtain an improved measurement of focus. Purely by way of example, the pattern of Figure 6(a) has been selected as the basis for this complementary pair, as seen in Figure 8(a). The other pattern of the pair seen at Figure 8 (b) is a mirror image. 0076 Figure 9 shows the printing of two or more complementary patterns side by side on a substrate W, forming a composite focus metrology target T. in this particular example, there are four focus metrology patterns, arranged in two complementary pairs TNa/TMa and TNb/TMb. In each complementary pair, the first pattern (printed on the right) is labeled TN (using N for ‘normal’) while the second pattern is printed on the left and labeled TM (M for ‘mirror’). It will be understood that the labels are arbitrary, but the effect is that the printed focus metrology pattern comprises at least first and second periodic arrays of features, each periodic array of features forming an individual focus metrology pattern. There is then a programmed asymmetry within each periodic array, the asymmetry of the second periodic array being opposite to that of the first periodic array, to form a complementary pair. To obtain an improved focus measurement then includes measuring asymmetry of each of the first and second periodic arrays and determining a measure of focus performance by combining the asymmetries measured for the periodic arrays (TN, TM). 0077 By combining results from measurements using targets that have opposite asymmetries in their designs, the focus measurement can be made less sensitive to asymmetries that arise in the projection system or the metrology system, that otherwise might be mistaken for focus error.In a case where only some target portions or a substrate are faulty, further exposures can be performed only on those target portions which are good.  0038 Within metrology system MET, an inspection apparatus is used to determine the properties of the substrates, and in particular, how the properties of different substrates or different layers or the same substrate vary from layer to layer.  The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a stand-alone device.  To enable most rapid measurements, it is desirable that the inspection apparatus measure properties in the exposed resist layer immediately after the exposure.  However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the parts of the resist which have been exposed to radiation and those which have not - and not all inspection apparatuses have sufficient sensitivity to make useful measurements of the latent image.  Therefore, measurements may be tasks after the post-exposure bake step (PEB) which is customarily the first step carried out on exposed substrates and increases the contrast between exposed and unexposed parts of the resist.  At this stage, the image in the resist may be referred to as semi-latent.  It is also possible to make measurements of the developed resist image - at which point either the exposed or unexposed parts of the resist have been removed - or after a pattern transfer step such as etching.  The latter possibility limits the possibilities for rework or faulty substrates but may still provide useful information.  0039 Figure 3 (a) shows schematically the key elements of an inspection apparatus implementing so-called dark field imaging metrology.  The apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e. g. , at the measurement station, or the lithographic cell LC.  An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O.  A target grating structure T and diffracted rays are illustrated in more detail in Figure 3 (b).  0040 As described in the prior applications cited in the introduction, the dark-field imaging apparatus of Figure 3 (a) may be part of a multi-purpose angle-resolved scatterometer that may be used instead of or in addition to a spectroscopic scatterometer.  In this type of inspection apparatus, radiation emitted by a radiation source 11 is conditioned by an illumination system 12.  For example, illumination system 12 may include a collimating lens system, a color filter, a polarizer and an aperture device 13.  The conditioned radiation follows an illumination path JP, in which it is reflected by partially reflecting surface 15 and focused into a spot S on substrate W via a microscope objective lens 16.  A metrology target May be formed on substrate W.  Lens 16, has a high numerical aperture (NA), preferably at least 0. 9 and more preferably at least 0. 95.  Immersion fluid can be used to obtain with numerical apertures about 1 if desired.  0041 The objective lens 16 in this example also serves to collect radiation that has been scattered by the target.  Schematically, a collection path CP is shown for this returning radiation.  The multipurpose scatterometer may have two or more measurement branches in the collection path.  The illustrated example as a pupil imaging branch including pupil imaging optical system 18 and pupil image sensor 19.  An imaging branch is also shown, which will be described in more detail below.  Additionally, further optical systems and branches will be included in a practical apparatus, for example to collect reference radiation for intensity normalization, for coarse imaging or capture targets, for focusing and so forth.  Details of these can be found in the prior publications mentioned above.  0042 Where a metrology target T is provided on substrate W, this may be a 1 -D grating, which is printed such that after development, the bars are formed or solid resist lines.  The target may be a 2-D grating, w'hich is printed such that after development, the grating is formed of solid resist pillars or vias in the resist.  The bars, pillars or vias may alternatively be etched into the substrate.  Each of these gratings is an example of a target structure whose properties may be investigated using the inspection apparatus.  0043 The various components of illumination system 12 can be adjustable to implement different metrology "recipes" within the same apparatus.  In addition to selecting wavelength (color) and polarization as characteristics of the illuminating radiation, illumination system 12 can be adjusted to implement different illumination profiles.  The plane of aperture device 13 is conjugate with a pupil plane of objective lens 16 and the plane of the pupil image detector 19.  Therefore, an illumination profile defined by aperture device 13 defines the angular distribution of light incident on substrate W in spot S.  To implement different illumination profiles, an aperture device 13 can be provided in the illumination path.  The aperture device may contain different apertures mounted on a movable slide or wheel.  It may alternatively include a programmable spatial light modulator.  As a further alternative, optical fibers may be disposed at different location in the illumination pupil plane and used selectively to deliver light or not deliver light at their respective locations.  These variants are all discussed and exemplified in the documents cited above.  0044 in a first example illumination mode, aperture 13N is used and rays 30a are provided so the angle of incidence is as shown at T in Figure 3 (b).  The path of the zero order ray reflected by target T is labeled "(not to be confused with optical axis O").  In a second illumination mode, aperture 13S is used, so that rays 30b can be provided, in which case the angles of incidence and reflection will be sw'apped compared to the first mode.  In Figure 3 (a), the zero order rays or the first and second example illumination modes are labeled 0 (13N) and 0 (13S) respectively.  Both of these illumination modes will be recognized as off-axis illumination modes.  Many different illumination modes, including on-axis illumination modes can be implemented for different purposes.  0045 As shown in more detail in Figure 3 (b), target grating T as an example of a target structure is placed with substrate W normal to the optical axis O or objective lens 16.  In the case of an off-axis illumination profile, a ray of illumination I impinging on grating T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line + 1 and double dot-chain line -1).  It should be remembered that with an overfilled small target grating, these rays are just one of many parallel rays covering the area of the substrate including metrology target grating T and other features.  Since the beam of illuminating rays 30a has a finite width, the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and + 1 / -1 will be spread out somewhat.  According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown.  0046 In the branch of the collection path for dark-field imaging, imaging optical system 20 forms an image T 'or the target on the substrate W on sensor 23 (e. g.  a CCD or CMOS sensor).  An aperture stop 21 is provided in a plane in the imaging branch of the collection path CP which is conjugate to a pupil plane or objective lens 16.  Aperture stop 20 may also be called a pupil stop.  Aperture stop 21 can take different forms, just as the illumination aperture can take different forms.  The aperture stop 21, in combination with the effective aperture of lens 16, determined what portion of the scattered radiation is used to produce the image on sensor 23.  Typically, aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the first order beam (s).  In an example where both first order beams are combined to form an image, this would be the so-called dark field image, equivalent to dark-field microscopy.  As an example or an aperture stop 21, aperture 21a can be used which allows passage or on-axis radiation only.  Using off-axis illumination in combination with aperture 21a, only one of the first orders is imaged at a time.  0047 The images captured by sensor 23 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed.  For the present purpose, measurements of asymmetry or the target structure are performed.  Asymmetry measurements can be combined with knowledge of the target structures to obtain measurements of performance parameters or lithographic process used to form them.  Performance parameters that can be measured in this way include for example overlay, focus and dose.  Special designs or targets are provided to allow these measurements or different performance parameters to be made through the same basic asymmetry measurement method.  0048 Referring again to Figure 3 (b) and the first example illumination mode with rays 30a, +1 order diffracted rays from the target grating will enter the objective lens 16 and contribute to the image recorded at sensor 23.  When the second illumination mode is used, rays 30b are incident at an angle opposite to rays 30b, and so the -1 order diffracted rays enter the objective and contribute to the image.  Aperture stop 21 a blocks the zero order radiation when using off-axis illumination.  As described in the prior publications, illumination modes can be defined with off-axis illumination in X and Y directions.  0049 By comparing images of the target grating under these different illumination modes, asymmetry measurements can be obtained.  Alternatively, asymmetry measurements could be obtained by keeping the same illumination mode, but rotating the target.  While off-axis illumination is shown, on-axis illumination of the targets may be used and modified, off-axis aperture 21 could be used to pass substantially only one first order or diffracted light to the sensor.  In a further example, a pair or off-axis prisms 21b are used in combination with an on-axis illumination mode.  These prisms have the effect of diverting the +1 and -1 orders to different locations on sensor 23 so that they can be detected and compared without the need for two sequential image capture steps.  This technique is disclosed in the above-mentioned published patent application US2011102753A1, the contents of which are incorporated by reference.  2nd, 3rd and higher order beams (not shown in Figure 3) can be used in measurements, instead of or in addition to the first order beams.  As a further variation, the off-axis illumination mode can be kept constant, while the target itself is rotated 180 degrees beneath objective lens 16 to capture images using the opposite diffraction orders.  0050 In the following disclosure, techniques will be illustrated for measuring focus performance of a lithographic process that uses oblique illumination on a reflective type or patterning device I assume we are not excluding DUV scanners here? (transmissive reticles) At least we shouldn't.  These techniques may be applied in particular in EUV lithography, where reflective optics in a near-vacuum environment are required.  Metrology targets including certain focus metrology patterns will be printed on the substrate, at the same time as product features are printed.  Asymmetry in these printed patterns will be measured using example diffraction-based techniques in the apparatus of Figure 3.  To allow the use of small targets, it will be assumed that these asymmetry measurements will be performed using the dark-field imaging branch of the apparatus.  Diffraction-based measurements or asymmetry can also be made using the pupil imaging branch, however.  Of course, the apparatus shown in Figure 3 is only one example of an inspection apparatus and method that may be used to measure asymmetry.  0051 In the context ol'lithographic apparatuses working in the DUV wavelength range, targets for diffraction-based focus (DBF) measurements have been designed and used successfully.  A known type or DBF target is produced by including sub-segmented features in a grating pattern on the reticle.  These features have dimensions below the imaging resolution of the lithographic apparatus, alongside more solid features.  That's why they don't print as individual features in the resist layer on the substrate, but they influence the printing or the solid features, in a manner that is sensitive to focus error.  Specifically, the presence of these features creates an asymmetric resist profile for each line in the grating within the DBF metrology target, with the degree of asymmetry being dependent upon focus.  Determine a metrology tool such as the inspection apparatus or Figure 3 can measure the degree of asymmetry from a target formed on the substrate, and translate this into the scanner focus.  0052 Unfortunately, the known DBF metrology target designs are not suitable for use in all situations.  In EUV lithography, resist film thicknesses are significantly lower than those used in DUV immersion lithography, leading to low diffraction efficiency and difficulty extracting accurate asymmetry information from diffracted radiation in the scatterometer.  In addition, since the resolution of the imaging system is inherently higher in EUV lithography, features hating dimensions below the printing resolution or DUV immersion lithography become "solid" features printable by EUV lithography.  To protid analogous sub-resolution features on an EUV reticle is rather impractical, and / or may violate semiconductor manufacturer's "design rules".  Such rules are generally established as a means to restrict the feature designs to ensure the printed features conform to their process requirements.  In any case, working outside the design rules makes it difficult to simulate the performance of the process on the DBF targets, so that the optimum target design and the calibration of focus measurements becomes a matter of trial and error.  The desire to conform to design rules applies to DBF targets in DUV lithography, not only EUV lithography.  0053 The focus (DBF) metrology target should have a unique, and preferably monotonic, asymmetry signal as a function or target defocus.  In this context, an asymmetry signal may describe a difference (e. g. , an intensity and / or phase difference) in opposing higher diffraction orders (e. g. , +1 and -1 diffraction orders).  It is also important that precision and sensitivity is high.  Other considerations include minimizing dose and other cross talk effects (e. g. , resultant from processing effects), and the tool-to-tool matching betwe inspection tools should be good.  0054 It has been observed a good principle for a target is one based on a differential or two Bossung like signals with a focus shift between them.  Most present techniques will yield a single Bossung, with no sign information and zero sensitivity around best focus.  0055 Figure 4 illustrates a previous example for addressing issues raised, as described in European Patent Application no.  17177774. 1.  Tlie Figure shows in isolation a small portion or a focus metrology pattern.  The repeating unit of this pattern comprises one first feature 422 and one second feature 424, spaced from each other in the direction of periodicity.  The direction of periodicity in this example may be the X direction of the patterning device and substrate.  Each first feature 422 comprises a bar or other feature each having a minimum dimension that is close to but not less than a resolution limit of the printing step.  This value w 1 may be for example less than 50 nanometers in the direction of periodicity.  A second space, betw'en each first feature 422 and its next nearest neighbor second feature 424, has a dimension w2 'and is similar to the dimension w2 or the second features 424 themselves.  Wow, it will be seen that the pattern Including thin first features and thicker second features is effectively present in both positive and negative form.  Putting these dimensions wl, wl 'together with the much huger period or the printing step.  Dimensions w2 and w2 "may be any, for example, about four times, about five, six, eight or ten times the dimension wl.  Each second feature in the periodic array further includes sub-features 426 having minimum dimensions close to but not less than a resolution limit of the printing step in a direction transverse to said direction of periodicity.  The sub-features in this example are lines asymmetrically projecting from a main body 428 or the first feature.  Tire length of these projecting lines or fingers is labeled w3.  The main body 428 or each second features 424 defines a minimum dimension w4 or the second features in the direction of periodicity.  In this notation, maximum dimension w2 or the second features 424 is equal to w'3 + w'4.  The minimum dimension of the sub-features in the transverse direction is labeled w5.  0056 It is understood that it is only the gap between the sub-features 426 and the first features 422 changes through focus.  And moreover, this variation is linear or at least monotonous, resulting in a monotonous asymmetry signal when the target is measured.  It is therefore assumed that this gap depends on different Bossung behavior betw'een that of a first edge defined by the ends of the sub features and a second edge defined by the first features 422.  The first edge effectively pulls back (the length of subfeatures 426 become narrower) with defocus while the position of the second edge remains relatively stable.  An effective Bossung shift may also come from a physical height difference: the sub-features 426 being effectively sampled at a lower resist height than the first features 422.  0057 However, the inventor believes that sensitivity to focus, with respect to the target design or Figure 4, could be improved upon.  Better focus sensitivity means a stronger asymmetry signal and improved focus measurements.  0058 Figure 5 illustrates the principles of a method or measuring focus performance or a lithographic apparatus according to the present disclosure.  In the disclosed method, the lithographic apparatus is used to print at least one focus metrology pattern T on a substrate W.  The printed focus metrology pattern T comprises an array of features that is periodic in at least one direction.  For the purpose of this example, the focus metrology pattern T is periodic in the Y direction, which corresponds to the scanning direction of the lithographic apparatus.  Described in a lithographic apparatus or type, the direction of illumination is at an oblique angle, within the Y-Z plane.  The focus metrology pattern T is made periodic in the Y direction.  By measuring asymmetry in the printed focus metrology pattern, for example using an inspection apparatus or the type described above, a measurement of focus performance can be derived.  0059 Patterning device MA comprises reflective and non-reflective portions to define features or one or more device patterns and one or more metrology patterns.  As one type of metrology pattern of interest for the present disclosure, a focus metrology pattern T to be formed on the substrate W is defined by a corresponding pattern T ”formed on reflective patterning device MA.  An enlarged detail or part of the reticle is shown at 502.  The printing operation which transfers this pattern onto a resist layer on substrate W is performed in the lithographic apparatus of Figure 1 by illuminating the reticle with EUV radiation 504 radiation incident at an oblique angle Θ, which may be an example in the range of 5 ° to 10 °.  Reflected radiation 506 carrying information of the metrology target pattern (and all the product features desired to be printed on the substrate) enters the projection system PS.  Tlie basis of the reticle is a reflective structure 508, which is typically a multilayer structure, adapted to reflect a wavelength or radiation used in the lithographic apparatus.  The EUV radiation is typically shorter than 20 nanometers.  For example, a wavelength or approximately 13. 5 nm is used in current implementations, which are based on a tin plasma radiation source.  0060 On top of the reflective structure 508, radiation-absorbent structure 510 is provided, which may include a layer or EUV-absorbing material, and optionally a protective capping layer.  Structure 510 is selectively removed so-to-leave reflecting portions 512, with non-reflecting portions being defined by radiation-absorbent structure 514, in accordance with the pattern that is desired to be printed in the resist material on the substrate.  Depending on the type of resist material used, the developed pattern may have resist features corresponding to the reflective portions (negative tone resist) or to the non-reflective portions (positive tone resist).  For the present illustration, a positive resist process will be assumed, unless otherwise stated.  The teaching of the present disclosure can be easily adapted by the skilled person to either type of process.  0061 Focus metrology pattern T comprises a grating pattern with a length L in a direction of periodicity.  Tlie direction of periodicity in this example is the Y direction, as mentioned.  The period P of the structure is marked, and an enlarged portion of the pattern including one of the repeating units 600 is shown.  Each repeating unit in this example comprises a periodic repetition of a pattern region 605 with a space region 610.  The skilled person will understand the projection system PS or a typical lithographic apparatus will apply a predetermined de-magnification factor when printing the pattern from the patterning device MA onto the substrate W.  Margin, the dimensions of features given in the following examples will be understood to refer to the sizes of features as printed on the substrate, and the sizes of the corresponding features on the patterning device such as reticle 502 may be physically several times larger.  This scaling factor should be tasks for granted in the following description, and will not be mentioned again.  Similarly, unless the context otherwise requires, the dimensions of features of the metrology pattern. Are stated as would be if the pattern is perfectly transferred from the patterning device to the resist.  As will be appreciated, the basis of the focus metrology method is that the features will not be perfectly printed, when a non-zero focus error is present.  0062 The wavelength of radiation used in the printing step, for example EUV radiation, is much shorter than the wavelengths of radiation typically used to measure asymmetry in the inspection apparatus of Figure 3.  EUV radiation may be defined as radiation in the range 0. 1 nm to 100 nm whi le the wavelength of radiation used in the printing step may be for example less than 20 nanometers.  This inspection apparatus can be used in some embodiments of visible or infrared radiation at one or more wavelengths in the range 200 to 2000 nm.  The wavelength of radiation used in the printing step may be in such cases or more times shorter than the wavelength of radiation used in the measuring of asymmetry.  In other examples, the wavelength of the measuring radiation may be shorter than 200 nm, for example in the range 150-400 nm or even 100 nm to 200 nm.  0063 Whichever radiation wavelengths are used for the printing of the pattern and the measuring of it, the focus metrology pattern contains features with a range of properties adapted to suit these conditions.  Dimensions of features comprised within the pattern region 605 are designed to have a dimension similar to the smallest features printed as part of the product patterns.  If this were not so, focus sensitivity would be significantly lower, resulting in lower precision.  Furthermore, this focus performance measured using the focus metrology pattern T might not accurately represent focus performance in the current product features or interest.  0064 On the other hand, in view of the longer wavelengths used in the inspection apparatus (even allowing for the fact that inspection apparatus using shorter wavelengths might be applied), these individual first features are too small to be resolved directly by the inspection apparatus.  By arranging groups of first features in a grating pattern having an overall period P that is comparable to the inspection apparatus wavelength, a diffraction spectrum or the pattern as a whole becomes resolvable in the inspection apparatus, and properties of the smaller features can be inferred.  The period P of the grating pattern may be 350 nm or 450 nm or 600 nm for example.  Tire overall length L of the grating pattern may be, for example, 5 pin.  Such a size allows the pattern to be included within the scribe lanes or even in the device areas, but still resolved using the dark-field imaging branch of the inspection apparatus or Figure 3.  (If measurements are made using the pupil imaging branch, then a larger target is typically required, for example with L or 30 pm or 40 pm so that the illumination spot can be placed entirely within the grating. ) The relative sizes of features and gratings, and numbers or features in each grating are not intended to be shown to scale in any of the figures here.  0065 Figure 6 shows various focus metrology patterns according to exponent.  It will be appreciated that these illustrations are purely exemplary, and of course other examples can be envisaged, based on the principles disclosed.  In all of the examples, only a small section of the pattern is shown, including a repeating unit with period P.  0066 Figure 6 (a) shows in isolation a small portion of the same focus metrology pattern that is used as the example in Figure 5.  This type of pattern may be used to measure focus performance for an EUV lithographic process using a reflective patterning device MA, or a conventional transmissive process.  0067 The target design comprises a periodic pattern 600 including repeated instances of a pattern region 605 and space region 610.  The pattern region comprises at least one iteration of a first feature 615, hereafter referred to as a comb feature 615 and at least one second feature 620, hereafter referred to as a line feature 620.  Comb feature 615 comprises sub-features 625, which in this example are lines projecting asymmetrically from amain body 630 or the first feature.  The length of these projecting lines or fingers is labeled w7.  In Figure 6 (a), each pattern region 605 or periodic pattern 600 has one repetition or comb feature 615 and line feature 620 (e. g. , two of each), in Figure 6 (b) each pattern region 605 or periodic pattern 600 'has only one comb feature 615 and one line feature 620, and in Figure 6 (c), each pattern region 605 ”or periodic pattern 600 has two rehearsals or comb feature 615 and line feature 620.  For each repetition (pair or first feature and second feature), the fingers 625 or the comb feature 615 are adjacent (i. e. , facing) the least one line feature 620.  Note that this is in contrast to the Figure 4 arrangement.  0068 As previously discussed, a focus measurement response to the target, when formed on a substrate and measured, should include two shifted Bossung curves.  In the proposed target design, a first Bossung response may result from the focus response of fingers 625 and a second Bossung response may result from the line feature 620.  A relative shift in the peaks of these first and second Bossung curves may result from the tact that the finger 625 is effectively sampled at a lower height (z-direction) in resist.  An additional asymmetry mechanism may be based on the sidewall angle of the fingers 625.  0069 It is believed that about 1: 1 line-space ratio defined by (i. e. , the ratio ol) the pattern region 605 and space region 610 may yield a maximum signal (asymmetry) intensity.  It is this line-space periodic pitch that has been detected by the metrology device (scatterometer) during a measurement; the subfeatures 625 and main body 630 or comb feature 615 are effectively seen as a thick line by a scatterometer, it is also believed that repeating the near resolution or at-resolution features will multiply the area which provides the focus-dependent signal, and thus the signal.  The example of Figure 6 (a) has an effective line-space ratio or about 1: 1 (i. e. , wl is about equal to w2), and one repetition of near resolution features.  Therefore, this may be the better arrangement in terms of signal strength.  However, it is also expected that the examples of Figures 6 (b) and (c) will provide acceptable performance.  For example, the width of the pattern region 605 and space region 610 may differ by no more than 100%, by no more than 50%, by no more than 20% or by no more than 10%.  Alternatively, or in addition, in terms of line-space ratio (ratio between the pattern region 605 and space region 610), the ratio of the largest of these to the smallest of these (i. e. , the largest: smallest ratio) should be less than 3: 1, less than 2: 1, or less than 3: 2.  for example.  0070 Several parameters of the focus metrology pattern can be adjusted as part of a design process for an optimal focus metrology pattern.  The optimal focus metrology may be different for each layer and each process of a product, particularly where operating parameters or the lithographic apparatus may be customized for each layer.  Dimensions w1, w2, w3, w4, w5, w6, w7, w8, w9, pitch P and the finger pitch Pt or comb feature 615 may all vary (between targets and / or even within a target).  For example, pitch P may be between 300nm and 800nm or between 300nm and 600nm; for example period or pitch P may be 350 nm, 450 nm or 600 nm.  Design parameters may be expressed in any suitable format.  Ratios such as the ones just given may be convenient for expressing relative dimensions or features, where absolute dimensions may be expressed directly, or by ratios relative to a specified resolution limit, and / or relative to the period P.  In the illustrated example, with a period P or 450 or 600 nm, the linewidths w3, w4 and w8 (for, respectively, the main body of comb features, the line features and the fingers) and the spaces w5, w'6, w9 (spaces between the comb features and the line features, and between each finger) may all have the same dimension; e. g. , to be on the order of between 20nm and 40nm, e. g. , 22 or 30 nm.  Tlie dimension w7 (length of the fingers 625) may be approximately twice the line width e. g. , to be on the order of between 40nm and 80nm, e. g. , 44 or 60 nm.  0071 Generally speaking, the person skilled in imaging technology will consider that features are effectively isolated from one, if the space between them is five or six times dimensions of the features themselves.  Here, the maximum spacing between each or comb feature and each line feature w'ithin each pattern region is not greater than twice the resolution limit of the lithography apparatus used to print the target.  For example, this spacing may be greater than 100nm, no greater than 80nm, no greater than 60nm, no greater than 40nm, no greater than 30nm or no greater than 20nm.  Thus, in this example, the features 615, 620 or each pattern region 605 are not isolated from each other, but each pattern region 605 is isolated from its neighboring pattern region (s).  0072 Figure 7 shows a number of variations on the targets described above.  In the Figure 6, the (thin) line feature 620 is effectively floating which is not ideal.  In terms of imaging, the last line in a simple grating always prints differently to the other lines because it does not have a neighboring structure.  For a good signal, the last thin line should print the same as all other thin lines.  Therefore, it is proposed that the last thin line is thickened or comprises an additional dummy neighbor, to maximize this similarity.  In Figure 7, the effective thickness of the right edge of each pattern region 705 has been increased to improve stability of this edge.  Figures 7 (a), (c) and (e) are examples with no repetition, respectively, or equivalent single repetition examples or Figures 7 (b), (d) and (f).  More specifically, the pattern illustrated in Figures 7 (a), (c) and (e) comprises a pattern region 705 having a single comb feature 715 and line feature 735, 735 ', 735 ”alternating with a space region 710, and the pattern illustrated in Figures 7 (b), (d) and (f) comprises a pattern region 705 having one repetition or comb feature 715 and line feature 720, 735, 735 ', 735 ”alternating with a space region 710.  The basic principles can be extended to other repetition examples, e. g. , the two repetition example or Figure 6 (c), where space allows.  In the illustrated embodiment, it can be seen for the multiple repetition examples, the pattern does not repeat exactly; i. e. , right hand line feature 735, 735 ", 735" is different to other line features 720.  0073 In Figures 7 (a) and (b) the right hand line feature 735 has been made thicker.  For example it may be about 1. 5 times or twice as thick as the line feature 720 (e. g. , about 1. 5 times or twice as thick as the line feature 620 or Figure 6).  Note that, for the single repetition example or Figure 7 (b), it is only the second line feature 735 which is made thicker.  In Figures 7 (c) and (d), the right hand line feature 635 comprises a dual thin-thin line, e. g. , two lines, each being similar to that or line feature 720.  In Figures 7 (e) and (f), the right hand line feature 635 comprises a dual thin-thick line, e. g. , two lines, the outermost line being thicker than the innermost line.  For example the thinner line may be similar to that of line feature 720 and the thicker line may be 1. 5 times or twice as thick.  0074 Wliile targets including the above focus metrology target patterns may yield focus measurements (when appropriately designed for the process), there is also an expectation that the focus measurement of a target will be subject to uncertainty because of the wide variety of aberrations and / or image distortions that can be introduced, besides focus.  Other, different or different measurement measurements are made in which multiple differential measurements are made on two or more focus metrology patterns.  These may be provided in complementary pairs, with mirrored asymmetry in their designs, and / or in pairs with design differences other than mirror symmetry.  0075 Figure 8 illustrates two complementary focus metrology patterns that can be used together to obtain an improved measurement of focus.  Purely by way of example, the pattern of Figure 6 (a) has been selected as the basis for this complementary pair, as seen in Figure 8 (a).  The other pattern of the pair seen at Figure 8 (b) is a mirror image.  0076 Figure 9 shows the printing of two or more complementary patterns side by side on a substrate W, forming a composite focus metrology target T.  in this particular example, there are four focus metrology patterns, arranged in two complementary pairs TNa / TMa and TNb / TMb.  In each complementary pair, the first pattern (printed on the right) is labeled TN (using N for "normal") while the second pattern is printed on the left and labeled TM (M for "mirror").  It will be understood that the labels are arbitrary, but the effect is that the printed focus metrology pattern comprises at least first and second periodic arrays or features, each periodic array or features forming an individual focus metrology pattern.  There is then a programmed asymmetry within each periodic array, the asymmetry of the second periodic array being opposite to that of the first periodic array, to form a complementary pair.  To obtain an improved focus measurement then includes measuring asymmetry or each of the first and second periodic arrays and determining a measure of focus performance by combining the asymmetries measured for the periodic arrays (TN, TM).  0077 By combining results from measurements using targets that have opposite asymmetries in their designs, the focus measurement can be made less sensitive to asymmetries that arise in the projection system or the metrology system, that otherwise might be missing for focus error.

Particular types of imperfection that can be discriminated using a complementary pair of patterns in this way are coma and projection asymmetry. For example, coma may be expected to introduce asymmetry in a particular direction, when the image is defocused. By contrast, the asymmetry induced by focus error will be opposite in the “mirrored” pattern compared with the “normal” pattern. Combining the asymmetry measurements from both allows the actual focus error to be more accurately measured. 0078 Additionally, in this example, two complementary pahs of targets are provided, identified by the suffixes ‘a’ and ‘b’. Between these pairs, the design parameters of the focus metrology patterns tire varied. As a first difference, the period Pel of the pair TNu/TMel is longer than the period Pb of the pair TNb/TMb, and lengths of the “fingers” have been shortened. In other embodiments, different parameters could be varied (e.g., any one or more of wl, w2, w3, w4, w5, w6, w7, w8, w9 or Pt), and the periods P could be the same or different. Alternatively or in addition to providing different pattern designs, different capture conditions can also be used to obtain more diverse signals. For example, different wavelengths and/or polarizations of radiation can be used to obtain diffraction signals. 0079 As illustrated in Figure 9, therefore, a composite focus metrology target T can be formed by one or more complementary pairs focus metrology patterns TN and TM being printed in the same step. As illustrated, these individual patterns may be imaged simultaneously using radiation spot S in the dark field imaging mode of the inspection apparatus of Figure 3. In other words, measurements of asymmetry in both of these focus metrology patterns can be taken by taking first and second images using the +1 and -1 order diffracted radiation collected by the apparatus. One such image is show'n in Figure 10. The dark rectangle represents the dark-field image as recorded on sensor 23 in the apparatus of Figure 3, for example. A circle S’ indicates the Eire el of radiation spot S, imaged onto the detector. Brighter rectangles TNa’, TNb’, TMa’ and TMb’ represent the images of the corresponding focus metrology patterns TNa, TNb, TMa and TMb, respectively. The intensity of one diffraction order from each target can be measured by, for example, defining a region of interest ROI within each of the brighter rectangles, and averaging the pixel values. Repeating this for the opposite diffraction order allows asymmetry to be calculated. In an alternative measurement method using the prisms 21b shown in Figure 3, then effectively both images of both patterns can be captured simultaneously. 0080 The principles illustrated in Figures 8 to 10 can be applied to any of the patterns illustrated in Figures 6 and 7. 0081 In yet other embodiments, asymmetry of each focus metrology pattern may be measured separately, for example using the pupil imaging branch of the inspection apparatus of Figure 3, or a more general angle-resolved scatterometer. The opposite diffraction orders from one pattern are located in complementary regions of the pupil image, but only one pattern can be measured at a time. 0082 Figure 11 is a flowchart of the steps of a method for measuring focus performance of a lithographic process according to an exemplary embodiment. The method can be performed using any of the example focus metrology patterns described above and illustrated in the drawings. The steps are as follows, and are then described in greater detail thereafter: 1000- Start by defining a product design or metrology wafer design with metrology targets, and preparing a suitable set of patterning devices (reticles). In advance of production, make exposures with known focus-exposure variations and measure these to obtain one or more calibration curves. (This may involve an iterative loop of design, exposure and measurement steps.) 1010- Print one or more focus metrology patterns alongside product patterns on a substrate; 1020- Measure intensity of a portion of the diffraction spectrum of each focus metrology pattern using a suitable inspection apparatus (for example the +1 order is a suitable portion of the diffraction spectrum); 1030- Measure intensity of an opposite portion of the diffraction spectrum (for example, -1 order) of each focus metrology pattern using the inspection apparatus; 1040- Calculate measurements of asymmetry of one or more focus metrology patterns by comparing the intensities of the opposite diffraction orders; 1050- Using the asymmetry measurements, with the calibration curves stored in step 1000 and/or other measurements such as SEM, calculate focus error at the time of printing the focus metrology pattern. 1060- Use the derived focus measurement in focus setting for exposures on subsequent substrates. 1070- End or repeat. 0083 As already explained, step 1020 and step 1030 may be performed as a single step such that the opposite diffraction orders of a focus metrology pattern can be obtained in a single acquisition. In addition, where there are two or more patterns being measured, for example one or more complementary pairs of patterns shown in Figure 9, opposite diffraction orders for these two or more patterns may be measured using a single image acquisition, to obtain a corresponding number of asymmetry measurement values. 0084 Although the measurement steps are shown being made by a scatterometer, as a dedicated inspection apparatus, this may be a stand-alone apparatus or it may be integrated in the lithocell. Moreover, asymmetry measurements can be made without dedicated metrology apparatus, for example using suitable targets with the alignment sensors provided in the lithographic apparatus.Particular types of imperfection that can be discriminated using a complementary pair of patterns in this way are coma and projection asymmetry. For example, coma may be expected to introduce asymmetry in a particular direction when the image is defocused. By contrast, the asymmetry induced by focus error will be opposite in the "mirrored" pattern compared to the "normal" pattern. Combining the asymmetry measurements from both allows the current focus error to be more accurately measured. 0078 Additionally, in this example, two complementary pahs or targets are provided, identified by the suffixes "a" and "b". Between these pairs, the design parameters or the focus metrology patterns tire varied. As a first difference, the period Pel or the pair TNu / TMel is longer than the period Pb or the pair TNb / TMb, and lengths of the “fingers” have been shortened. In other variables, different parameters could be varied (e.g., any one or more or w1, w2, w3, w4, w5, w6, w7, w8, w9 or Pt), and the periods P could be the same or different. Alternative or in addition to providing different pattern designs, different capture conditions can also be used to obtain more various signals. For example, different wavelengths and / or polarizations of radiation can be used to obtain diffraction signals. 0079 As illustrated in Figure 9, therefore, a composite focus metrology target T can be formed by one or more complementary pairs focus metrology patterns TN and TM being printed in the same step. As illustrated, these individual patterns may be imaged simultaneously using radiation spot S in the dark field imaging mode of the inspection apparatus of Figure 3. In other words, measurements of asymmetry in both of these focus metrology patterns can be tasks by taking first and second images using the +1 and -1 order diffracted radiation collected by the apparatus. One such image is shown in Figure 10. The dark rectangle represents the dark-field image as recorded on sensor 23 in the apparatus of Figure 3, for example. A circle S indicates the Eire of radiation spot S, imaged onto the detector. Brighter rectangles TNa, TNb, TMa and TMb represent the images of the corresponding focus metrology patterns TNa, TNb, TMa and TMb, respectively. The intensity of one diffraction order from each target can be measured by, for example, defining a region of interest ROI within each of the brighter rectangles, and averaging the pixel values. Repeating this for the opposite diffraction order allows asymmetry to be calculated. In an alternative measurement method using the prisms 21b shown in Figure 3, then effectively both images or both patterns can be captured simultaneously. 0080 The principles illustrated in Figures 8 to 10 can be applied to any of the patterns illustrated in Figures 6 and 7. 0081 In yet other numbers, asymmetry or each focus metrology pattern may be measured separately, for example using the pupil imaging branch of the inspection apparatus of Figure 3, or a more general angle-resolved scatterometer. The opposite diffraction orders from one pattern are located in complementary regions of the pupil image, but only one pattern can be measured at a time. 0082 Figure 11 is a flowchart of the steps of a method for measuring focus performance or a lithographic process according to an exemplary embodiment. The method can be performed using any of the example focus metrology patterns described above and illustrated in the drawings. The steps are as follows, and are then described in greater detail thereafter: 1000- Start by defining a product design or metrology wafer design with metrology targets, and preparing a suitable set of patterning devices (reticles). In advance of production, make exposures with known focus-exposure variations and measure these to obtain one or more calibration curves. (This may involve an iterative course or design, exposure and measurement steps.) 1010 - Print one or more focus metrology patterns alongside product patterns on a substrate; 1020- Measure intensity of a portion of the diffraction spectrum or each focus metrology pattern using a suitable inspection apparatus (for example the +1 order is a suitable portion of the diffraction spectrum); 1030 - Measure intensity or an opposite portion of the diffraction spectrum (for example, -1 order) or each focus metrology pattern using the inspection apparatus; 1040- Calculate measurements of asymmetry or one or more focus metrology patterns by comparing the intensities of the opposite diffraction orders; 1050- Using the asymmetry measurements, with the calibration curves stored in step 1000 and / or other measurements such as SEM, calculate focus error at the time of printing the focus metrology pattern. 1060- Use the derived focus measurement in focus setting for exposures on subsequent substrates. 1070 - End or repeat. 0083 As already explained, step 1020 and step 1030 may be performed as a single step such that the opposite diffraction orders or a focus metrology pattern can be obtained in a single acquisition. In addition, where there are two or more patterns being measured, for example one or more complementary pairs of patterns shown in Figure 9, opposite diffraction orders for these two or more patterns may be measured using a single image acquisition, to obtain a corresponding number or asymmetry measurement values. 0084 Although the measurement steps are shown being made by a scatterometer, as a dedicated inspection apparatus, this may be a stand-alone apparatus or it may be integrated into the lithocell. Moreover, asymmetry measurements can be made without dedicated metrology apparatus, for example using suitable targets with the alignment sensors provided in the lithographic apparatus.

Calculation steps 1040 and 1050 can all be performed in a processor of the inspection apparatus, or may be performed in different processors associated with monitoring and control of the lithographic apparatus. Each step may be performed by a programmed processor, and it is an advantage of the techniques disclosed, that the inspection apparatus can be modified to perform the focus measurement methods without hardware modification. 0085 Further embodiments are disclosed in the subsequent numbered clauses: 1. A method of measuring focus performance of a lithographic apparatus, the method comprising: (a) obtaining measurement data relating to measured asymmetry between opposite portions of a diffraction spectrum for a first periodic array in a printed focus metrology pattern on a substrate; and (b) deriving a measurement of focus performance based at least in part on the asymmetry comprised within the measurement data, wherein said first periodic array comprises a repeating arrangement of a space region having no features and a pattern region having at least one first feature comprising sub-features projecting from a main body and at least one second feature; and wherein the first feature and second feature are in sufficient proximity to be effectively detected as a single feature when measured in a measurement step. 2. A method as defined in clause 1, wherein a maximum spacing between each of said at least one first feature and at least one second feature within each pattern region is not greater than twice the resolution limit of the printing step. 3. A method as defined in clause 1 or 2, wherein a maximum spacing betw'een each of said at least one first feature and at least one second feature within each pattern region is not greater than 80nm. 4. A method as defined in any preceding clause, wherein said each said sub-features project asymmetrically from the main body. 5. A method as defined in any preceding clause, wherein each second feature is located on the same side of the main body of a respective one of said first features as from which said subfeatures extend. 6. A method as defined in any preceding clause, wherein an outermost second feature of each pattern region has an effective thickness at least twice that of the spacing between first feature and second feature and/or the main body of said first feature. 7. A method as defined in clause 6, wherein said outermost second feature comprises either: a single line feature having a thickness of at least 1,5x that of the spacing betw'een first feature and second feature and/or the main body of said first feature, a dual line feature comprising two adjacent lines, or a dual line feature comprising two adjacent lines wherein the outermost line has a width at least 1.5 times that of the spacing between first feature and second feature and/or the main body of said first feature. 8. A method as defined in any preceding clause, wherein each second feature comprises a line. 9. A method as defined in any preceding clause, wherein each pattern region comprises at least one repetition of said first feature and second feature. 10. A method as defined in any preceding clause, wherein a minimum dimension of each first feature and second feature is close to but not less than a resolution limit of the printing step. 11. A method as defined in any preceding clause, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3:1. 12. A method as defined in any preceding clause, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 2:1. 13. A method as defined in any preceding clause, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3:2. 14. A method as defined in any preceding clause wherein the printed focus metrology pattern comprises at least first and second periodic arrays of features, each periodic array of features having a form as specified in said preceding clause, w’herein there is a programmed asymmetry within each periodic array, the asymmetry of the second periodic array being opposite to that of the first periodic array, and wherein said asymmetry within the measurement data comprises asymmetry of each of the first and second periodic arrays and step (b) determines said measure of focus performance by combining the asymmetries correspond to the periodic arrays. 15. A method as defined in clause 14 wherein said sub-features are arranged such that each second feature is asymmetric within regard to the direction of periodicity, and w'herein the asymmetry of each second feature in the second periodic array of features is opposite to that in the first periodic array of features. 16. A method as defined in any preceding clause w'herein the measurement in step (a) is performed using radiation having a wavelength at least twice as long as said minimum dimension of the first features and/or second features. 17. A method as defined in any preceding clause, wherein the measurement data corresponds to a measurement performed using radiation having a wavelength longer than 150 nm while a W'avelength of radiation used in printing the said focus metrology pattern is less than 20 nm. 18. A method as defined in any preceding clause, wherein the period of each of said periodic arrays of features in said focus metrology pattern is greater than 350 nm. 19. A method as defined in any preceding clause, comprising using inspection radiation to measure said asymmetry' betw'een opposite portions of a diffraction spectrum for the first periodic array in the printed focus metrology pattern. 20. A method as defined in any preceding clause, comprising using the lithographic apparatus to print at the least one focus metrology pattern on the substrate, the printed focus metrology pattern comprising at least said first periodic array of features. 21. A patterning device for use in a lithographic apparatus, the patterning device comprising reflective and non-reflecti ve portions to define features of one or more device patterns and one or more metrology patterns, the metrology patterns including at least one focus metrology pattern, the focus metrology pattern comprising at least a first periodic array of features comprising a repeating arrangement of features arranged to define a space region having no features and a pattern region having at least one first feature comprising sub-features projecting from a main body and at least one second feature; and wherein the first feature and second feature are in sufficient proximity to be effectively detected as a single feature during a scatterometery based metrology action to measure asymmetry between opposite portions of a diffraction spectrum for the first periodic array as formed on a substrate. 22. A patterning device as defined in clause 21, wherein a maximum spacing between each of said at least one first feature and at least one second feature within each pattern region are such that imaged features formed on a substrate eoiTesponding to each of said at least one first feature and at least one second feature when using said patterning device in an imaging step is not greater than 80nm, taking into account any magnification factor applicable to the imaging step. 23. A patterning device as defined in clause 21 or 22, said sub-features project asymmetrically from the main body. 24. A patterning device as defined in clause 23, wherein each second feature is located on the same side of the main body of a respective one of said first features as from which said sub-features extend. 25. A patterning device as defined in clause 23 or 24, wherein an outermost second feature of each pattern region has an effective thickness at least twice that of the spacing between first feature and second feature and/or the main body of said first feature. 26. A patterning device as defined in clause 25, wherein said outermost second feature comprises either; a single line feature having a thickness of at least 1.5 times that of the spacing between first feature and second feature and/or the main body of said first feature, a dual line feature comprising two adjacent lines, or a dual line feature comprising two adjacent lines wherein the outermost line has a width at least 1.5 times that of the spacing between first feature and second feature and/or the main body of said first feature. 27. A patterning device as defined in any of clauses 21 to 26, wherein each second feature comprises a line. 28. A patterning device as defined in any of clauses 21 to 27, wherein each pattern region comprises at least one repetition of said first feature and second feature. 29. A patterning device as defined in any of clauses 21 to 28, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3:1. 30. A patterning device as defined in any of clauses 21 to 28, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 2:1. 31. A patterning device as defined in any of clauses 21 to 28, wherein the largest:smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3:2. 32. A patterning device as defined in in any of clauses 21 to 31, w'herein the focus metrology pattern comprises at least first and second periodic arrays of features, said repeating arrangement of features, wherein there is a programmed asymmetry within each periodic array, the asy mmetry of the second periodic array being opposite to that of the first periodic array. 33. A metrology apparatus for measuring a parameter of a lithographic process, the metrology apparatus being operable to perform the method of any of clauses 1 to 20. 34. A lithographic system comprising: a lithographic apparatus comprising: an illumination optical system arranged to illuminate a reflective patterning device; a projection optical system arranged to project an image of the patterning device onto a substrate; and a metrology apparatus according to clause 33; wherein the lithographic apparatus is arranged to use the measurement of focus performance derived by the metrology apparatus w'hen applying the pattern to further substrates. 35. A lithographic system as defined in clause 34, w'herein said reflective patterning device comprises the patterning device of any of clauses 21 to 32, 36. A lithographic cell comprising the metrology apparatus according to clause 33 or the lithographic system according to clause 34 or 35. 37. A computer program comprising processor readable instructions which, when run on suitable processor controlled apparatus, cause the processor controlled apparatus to perform the method of any of clauses 1 to 20, 38. A method of manufacturing devices wherein a device pattern is applied to a series of substrates using a lithographic process, the method including: using the method of any of clauses 1 to 20 to measure focus performance of the lithographic process, and controlling the lithographic process for later substrates in accordance with the measured focus performance.Calculation steps 1040 and 1050 can all be performed in a processor or the inspection apparatus, or may be performed in different processors associated with monitoring and control of the lithographic apparatus. Each step may be performed by a programmed processor, and it is an advantage of the techniques disclosed, that the inspection apparatus can be modified to perform the focus measurement methods without hardware modification. 0085 Further more are disclosed in the subsequent numbered clauses: 1. A method of measuring focus performance of a lithographic apparatus, the method including: (a) obtaining measurement data relating to measured asymmetry between opposite portions of a diffraction spectrum for a first periodic array in a printed focus metrology pattern on a substrate; and (b) deriving a measurement of focus performance based at least in part on the asymmetry included within the measurement data, said said first periodic array comprises a repeating arrangement of a space region having no features and a pattern region having at least one first feature including sub-features projecting from a main body and at least one second feature; the first feature and the second feature are sufficient proximity to be effectively detected as a single feature when measured in a measurement step. 2. A method as defined in clause 1, providing a maximum spacing between each or said at least one first feature and at least one second feature within each pattern region is not greater than twice the resolution limit of the printing step. 3. A method as defined in clause 1 or 2, with a maximum spacing betw'een each or said at least one first feature and at least one second feature within each pattern region is not greater than 80nm. 4. A method as defined in any preceding clause, said said each said sub-features project asymmetrically from the main body. 5. A method as defined in any preceding clause, each second feature is located on the same side of the main body or a respective one or said first features as from which said subfeatures extend. 6. A method as defined in any preceding clause, with an outermost second feature or each pattern region has an effective thickness at least twice that of the spacing between first feature and second feature and / or the main body or said first feature. 7. A method as defined in clause 6, said outermost second feature includes either: a single line feature having a thickness of at least 1.5 times that of the spacing betw a first feature and second feature and / or the main body of said first feature, a dual line feature including two adjacent lines, or a dual line feature including two adjacent lines the outermost line has a width at least 1.5 times that of the spacing between first feature and second feature and / or the main body of said first feature. 8. A method as defined in any preceding clause, each second feature comprises a line. 9. A method as defined in any preceding clause, each pattern region includes at least one repetition or said first feature and second feature. 10. A method as defined in any preceding clause, a minimum dimension or each first feature and second feature is close to but not less than a resolution limit of the printing step. 11. A method as defined in any preceding clause, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3: 1. 12. A method as defined in any preceding clause, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 2: 1. 13. A method as defined in any preceding clause, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3: 2. 14. A method as defined in any preceding clause in which the printed focus metrology pattern comprises at least first and second periodic arrays or features, each periodic array or features having a form as specified in said preceding clause, where there is a programmed asymmetry within each periodic array, the asymmetry of the second periodic array being opposite to that of the first periodic array, and said said asymmetry within the measurement data comprises asymmetry of each of the first and second periodic arrays and step (b) specified said measure of focus performance by combining the asymmetries correspond to the periodic arrays. 15. A method as defined in clause 14 said sub-features are arranged such that each second feature is asymmetric within regard to the direction of periodicity, and where there is asymmetry or each second feature in the second periodic array or features is opposite to that in the first periodic array of features. 16. A method as defined in any preceding clause where the measurement in step (a) is performed using radiation having a wavelength at least twice as long as said minimum dimension of the first features and / or second features. 17. A method as defined in any preceding clause, where the measurement data conforms to a measurement performed using radiation having a wavelength longer than 150 nm while a wavelength or radiation used in printing the said focus metrology pattern is less than 20 nm. 18. A method as defined in any preceding clause, in the period of each of said periodic arrays or features in said focus metrology pattern is greater than 350 nm. 19. A method as defined in any preceding clause, including using inspection radiation to measure said asymmetry "betw" an opposite portion of a diffraction spectrum for the first periodic array in the printed focus metrology pattern. 20. A method as defined in any preceding clause, including using the lithographic apparatus to print at the least one focus metrology pattern on the substrate, the printed focus metrology pattern including at least said first periodic array of features. 21. A patterning device for use in a lithographic apparatus, the patterning device including reflective and non-reflective portions to define features of one or more device patterns and one or more metrology patterns, the metrology patterns including at least one focus metrology pattern, the focus metrology pattern including at least a first periodic array of features including a repeating arrangement or features arranged to define a space region having no features and a pattern region having at least one first feature including sub-features projecting from a main body and at least one second feature; the first feature and the second feature are sufficient proximity to be effectively detected as a single feature during a scatterometer-based metrology action to measure asymmetry between opposite portions of a diffraction spectrum for the first periodic array as formed on a substrate. 22. A patterning device as defined in clause 21, providing a maximum spacing between each or said at least one first feature and at least one second feature within each pattern region are such that imaged features formed on a substrate eTesponding to each or said at least one first feature and at least one second feature when using said patterning device in an imaging step is not greater than 80nm, taking into account any magnification factor applicable to the imaging step. 23. A patterning device as defined in clause 21 or 22, said sub-features project asymmetrically from the main body. 24. A patterning device as defined in clause 23, each second feature is located on the same side of the main body or a respective one or said first features as from which said sub-features extend. 25. A patterning device as defined in clause 23 or 24, with an outermost second feature of each pattern region having an effective thickness at least twice that of the spacing between first feature and second feature and / or the main body or said first feature. 26. A patterning device as defined in clause 25, said outermost second feature comprises either; a single line feature having a thickness or at least 1.5 times that of the spacing between first feature and second feature and / or the main body or said first feature, a dual line feature including two adjacent lines, or a dual line feature including two adjacent lines following the outermost line has a width at least 1.5 times that of the spacing between first feature and second feature and / or the main body or said first feature. 27. A patterning device as defined in any of clauses 21 to 26, each second feature comprising a line. 28. A patterning device as defined in any of clauses 21 to 27, each pattern region comprises at least one repetition or said first feature and second feature. 29. A patterning device as defined in any of clauses 21 to 28, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3: 1. 30. A patterning device as defined in any of clauses 21 to 28, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 2: 1. 31. A patterning device as defined in any of clauses 21 to 28, the largest: smallest ratio of the width of the space region and the width of the pattern region in the direction of periodicity is less than 3: 2. 32. A patterning device as defined in any of clauses 21 to 31, where the focus metrology pattern includes at least first and second periodic arrays or features, said repeating arrangement or features, there is a programmed asymmetry within each periodic array , the asy mmetry of the second periodic array being opposite to that of the first periodic array. 33. A metrology apparatus for measuring a parameter of a lithographic process, the metrology apparatus being operable to perform the method of any of clauses 1 to 20. 34. A lithographic system including: a lithographic apparatus including: an illumination optical system arranged to illuminate a reflective patterning device; a projection optical system arranged to project an image of the patterning device onto a substrate; and a metrology apparatus according to clause 33; where the lithographic apparatus is arranged to use the measurement of focus performance derived from the metrology apparatus when applying the pattern to further substrates. 35. A lithographic system as defined in clause 34, wherein said reflective patterning device comprises the patterning device of any of clauses 21 to 32, 36. A lithographic cell comprising the metrology apparatus according to clause 33 or the lithographic system according to clause 34 or 35. 37. A computer program comprising processor readable instructions which, when run on suitable processor controlled apparatus, cause the processor controlled apparatus to perform the method or any of clauses 1 to 20, 38. A method of manufacturing devices from a device pattern is applied to a series of substrates using a lithographic process, the method including: using the method of any of clauses 1 to 20 to measure focus performance of the lithographic process, and controlling the lithographic process for later substrates in accordance with the measured focus performance.

CONCLUSION 0086 In conclusion, a method of manufacturing devices using tiie lithographic process can be improved by performing focus measurement methods as disclosed herein, using it to measure processed substrates to measure parameters of performance of the lithographic process, and adjusting parameters of the process (particularly focus) to improve or maintain performance of the lithographic process for the processing of subsequent substrates. 0087 While the target structures including and focus metrology patterns described above are metrology targets specifically designed and formed for the purposes of measurement, in other embodiments, properties may be measured on targets which are functional parts of devices formed on the substrate. Many devices have regular, grating-like structures. The terms “metrology pattern" and “metrology target” and the like as used herein do not require that the structure has been provided specifically for the measurement being performed. 0088 The substrates on which these metrology patterns are formed may be production wafers or experimental wafers in product development. They may also be dedicated metrology wafers, for example monitor wafers which are processed intermittently as part of an advance process control (APC) mechanism. 0089 In association with the physical grating structures defining the focus metrology patterns as realized on substrates and patterning devices, an embodiment may include a computer program containing one or more sequences of machine-readable instructions describing a method of designing focus metrology patterns, metrology recipes and/or controlling the inspection apparatus to implement the illumination modes and other aspects of those metrology recipes. This computer program may be executed for example in a separate computer system employed for the design/control process. As mentioned, calculations and control steps may be wholly or partly performed within unit PU in the apparatus of Figure 3, and/or the control unit LACU of Figure 2. There may also be provided a data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein. 0090 The terms “radiation" and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g., having a wavelength of or about 365, 355, 248. 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g., having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. 0091 The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. 0092 The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.CONCLUSION 0086 In conclusion, a method of manufacturing devices using the lithographic process can be improved by performing focus measurement methods as disclosed, using it to measure processed substrates to measure parameters or performance of the lithographic process, and adjusting parameters of the process (particularly focus) to improve or maintain performance of the lithographic process for the processing of subsequent substrates. 0087 While target structures including and focus metrology patterns described above are metrology targets specifically designed and formed for the purposes of measurement, in other expires, properties may be measured on targets which are functional parts of devices formed on the substrate. Many devices have regular, grating-like structures. The terms “metrology pattern” and “metrology target” and the like as used used do not require that the structure has been provided specifically for the measurement being performed. 0088 The substrates on which these metrology patterns are formed may be production wafers or experimental wafers in product development They may also be dedicated metrology wafers, for example monitor wafers which are processed intermittently as part of an advance process control (APC) mechanism 0089 In association with the physical grating structures defining the focus metrology patterns as realized on substrates and patterning devices, an embodiment may include a computer program containing one or more sequences of machine-readable instructions describing a method of designing focus metrology patterns, metrology recipes and / or controlling the inspection apparatus to implement the illumination modes and other aspects of those metrology recipes This computer program may be executed for example a separate computer system employed for the design / control process. As mentioned, calculations and control steps may be wholly or partly performed within unit PU in the apparatus or Figure 3, and / or the control unit LACU or Figure 2. There may also be a data storage medium (eg, semiconductor memory, magnetic or optical disk) having such a computer program stored therein. 0090 The terms "radiation" and "beam" used include and compass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra -violet (EUV) radiation (eg, having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams 0091 The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. 0092 The breadth and scope of the present invention should not be limited by any of the above-described exemplary expend, but should be defined only in accordance with the following claims and their equivalents.

Claims (3)

CONCLUSIECONCLUSION 1. Een lithografieinrichting omvattende:A lithography apparatus comprising: een belichtinginrichting ingericht voor het leveren van een stralingsbundel;an illumination device adapted to provide a radiation beam; 5 een drager geconstrueerd voor het dragen van een patroneerinrichting, welke patroneerinrichting in staat is een patroon aan te brengen in een doorsnede van de stralingsbundel ter vorming van een gepatroneerde stralingsbundel;5 a carrier constructed for supporting a patterning device, which patterning device is capable of applying a pattern in a section of the radiation beam to form a patterned radiation beam; een substraattafel geconstrueerd om een substraat te dragen; en een projectieinrichting ingericht voor het projecteren van de gepatroneerde stralingsbundel op eena substrate table constructed to support a substrate; and a projection device adapted to project the patterned radiation beam onto a 10 doelgebied van het substraat, met het kenmerk, dat de substraattafel is ingericht voor het positioneren van het doelgebied van het substraat in een brandpuntsvlak van de projectieinrichting.The target area of the substrate, characterized in that the substrate table is adapted to position the target area of the substrate in a focal plane of the projection device. 1/71/7 DE χ 4DE χ 4
NL2022031A 2018-11-20 2018-11-20 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method NL2022031A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
NL2022031A NL2022031A (en) 2018-11-20 2018-11-20 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
NL2022031A NL2022031A (en) 2018-11-20 2018-11-20 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Publications (1)

Publication Number Publication Date
NL2022031A true NL2022031A (en) 2018-12-04

Family

ID=65229114

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2022031A NL2022031A (en) 2018-11-20 2018-11-20 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Country Status (1)

Country Link
NL (1) NL2022031A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020141040A1 (en) 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020141040A1 (en) 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Similar Documents

Publication Publication Date Title
EP2409195B1 (en) Inspection method and apparatus
EP3394677B1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11054754B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2005044A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004897A (en) Producing a marker pattern and measurement of an exposure-related property of an exposure apparatus.
US11204557B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US20200264522A1 (en) Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method
US20230305407A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3454127A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2022031A (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN112840270B (en) Method for measuring focusing performance of lithographic apparatus
US10895811B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2021799A (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method