KR970063445A - 전용 세척 기체 주입장치를 포함하는 화학 증착 시스템 - Google Patents

전용 세척 기체 주입장치를 포함하는 화학 증착 시스템 Download PDF

Info

Publication number
KR970063445A
KR970063445A KR1019970004836A KR19970004836A KR970063445A KR 970063445 A KR970063445 A KR 970063445A KR 1019970004836 A KR1019970004836 A KR 1019970004836A KR 19970004836 A KR19970004836 A KR 19970004836A KR 970063445 A KR970063445 A KR 970063445A
Authority
KR
South Korea
Prior art keywords
cleaning gas
reaction chamber
coil
signal
cleaning
Prior art date
Application number
KR1019970004836A
Other languages
English (en)
Other versions
KR100269559B1 (ko
Inventor
마이클 디. 킬고르
덴 호에크 빌베르트 지.엠. 반
크리스토퍼 제이. 로우
쉬라벤디즈크 바르트 제이. 반
제프레이 에이. 토빈
토마스 더블유 마운트시어
제임스 씨. 오스왈트
Original Assignee
스미스 로버트 에이치.
노벨러스 시스템즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 스미스 로버트 에이치., 노벨러스 시스템즈 인코포레이티드 filed Critical 스미스 로버트 에이치.
Publication of KR970063445A publication Critical patent/KR970063445A/ko
Application granted granted Critical
Publication of KR100269559B1 publication Critical patent/KR100269559B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 전용 세척 기체 주입장치를 포함하는 화학 증착 시스템에 관한 것으로서, 플라즈마-강화된 화학 증착 시스템은 다수의 처리 기체 주입 튜브와 적어도 하나의 전용 세척 기체 주입 튜브로 구성되어 있으며, 상기 플라즈마는 침착 챔버의 내부 표면을 주기적으로 세척할때 사용되고, 상기 세척은 전용 세척 기체 주입 튜브를 통해 세척 기체를 도입함으로써 매우 빠르고 효과적으로 수행되며, 이러한 방식으로 하면, 처리 기체 주입 튜브의 내부 표면의 세척에 영향을 주지 않고도 비교적 높은 유속으로 상기 세척 기체가 도입될 수 있고, 본 발명의 독립적인 양상으로서, 고주파수 신호가 세척 처리 중에 코일의 양쪽 말단에 가해지며 이는 주로 전기용량적인 커플링에 의하여 플라즈마를 생성하는데, 침착 챔버의 표면을 세척하기 매우 적당한 모양과 균일성을 갖는 것을 특징으로 한다.

Description

전용 세척 기체 주입장치를 포함하는 화학 증착 시스템
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 고밀도-플라즈마 화학 증착(HDP-CVD)시스템의 단면도이다.

Claims (27)

  1. 반응 챔버로 구성된 기판 처리 시스템에 있어서, 상기 반응 챔버는 기판을 지지하는 처크; 처리 기체 주입 시스템; 및 상기 처리 기체 주입 시스템으로부터 분리되어 있는 세척 기체 주입기로 구성되어 있으며, 상기 세척 기체 주입기는 상기 처크 위에서 상기 챔버내의 영역으로 세척 기체를 측면으로 및 위쪽으로 흐르게하는 것을 특징으로 하는 기판 처리 시스템.
  2. 제1항에 있어서, 상기 세척 기체 주입기는, 상기 처크 위에 지지되어 있는 기판 가장자리 바깥에서 측면으로 상기 주입기의 배출구가 배치되도록 위치되어 있는 것을 특징으로 하는 시스템.
  3. 제2항에 있어서, 상기 세척 기체 주입기의 배출구가 상기 기판의 표면에 의하여 한정되어 있는 평면 근처에 위치되어 있는 것을 특징으로 하는 시스템.
  4. 제2항에 있어서, 상기 반응 챔버는 용기에 의하여 적어도 부분적으로 둘러싸여 있고, 상기 세척 기체 주입기의 배출구는 상기 용기 또는 처크의 특정한 표면으로부터 1㎝ 이상의 거리에 위치하고 있는 것을 특징으로 하는 시스템.
  5. 제1항에 있어서, 상기 세척 기체 주입기는 적어도 하나의 튜브로 구성되어 있는 것을 특징으로 하는 시스템.
  6. 제1항에 있어서, 상기 세척 기체 주입기는 금속 튜브 및 상기 챔버내에서 플라즈마로부터 상기 금속 튜브를 보호하기 위한 세라믹 슬리브로 구성되어 있으며, 상기 슬리브는 상기 금속 튜브의 말단 영역을 덮는 것을 특징으로 하는 시스템.
  7. 제1항에 있어서, 상기 처리 기체 주입 시스템은 다수의 처리 기체 주입 튜브로 구성되어 있는 것을 특징으로 하는 시스템.
  8. 제7항에 있어서, 상기 처리 기체 주입 튜브는 상기 챔버의 중앙축 주위에서 동일한 간격으로 배열되어 있으며, 처리기체가 중앙 축을 향하도록 배향되어 있는 것을 특징으로 하는 시스템.
  9. 제8항에 있어서, 상기 처리 기체 주입 튜브는 상기 처크 위에서 상기 챔버내 영역을 향해 위쪽으로 기울어져 있는 것을 특징으로 하는 시스템.
  10. 제1항에 있어서, 상기 반응 챔버는 보통 반구형인 용기에 의하여 적어도 부분적으로 둘러싸여 있는 것을 특징으로 하는 시스템.
  11. 제10항에 있어서, 추가로 코일을 포함하고, 상기 코일은 부분적인 또는 완전한 반구형으로 되어 있으며, 상기 용기의 외부 표면 근처에 위치하고 있는 것을 특징으로 하는 시스템.
  12. 제11항에 있어서, AC 신호의 전원, 및 상기 AC 신호를 상기 코일상 적어도 두 지점으로 가하는 라인을 또한 포함하고 있는 것을 특징으로 하는 시스템.
  13. 제12항에 있어서, 상기 라인이 상기 코일의 상부 말단 및 하부 말단으로 연결되어 있는 것을 특징으로 하는 시스템.
  14. 용기에 의하여 적어도 부분적으로 둘러싸여있는 반응 챔버; 상기 반응 챔버내에서 기판을 지지하기 위한 처크; 처리 기체 주입 시스템; 상기 반응 챔버내에서 플라즈마를 생성하는 역할을 하며, 상기 용기의 외부 표면 근처에 위치되어 있는 코일; AC 신호의 전원; 및 상기 AC 신호를 상기 코일상의 적어도 두 지점으로 가하는 라인으로 구성되는 것을 특징으로 하는 기판 처리 시스템.
  15. 제14항에 있어서, 상기 라인이 상기 코일의 상부 말단 및 하부 말단에 연결되어 있는 것을 특징으로 하는 시스템.
  16. 제14항에 있어서, 상기 AC 신호는 제1주파수에 있고, 상기 시스템은 제2주파수에 제2AC 신호의 전원 및 상기 라인에 연결된 다수의 스위치로 또한 구성되어 있으며, 상기 스위치는 제1위치에서 집합적으로 작동하여 상기 AC 신호를 상기 코일상의 적어도 두 지점으로 가하고, 상기 스위치는 제2위치에서 작동되어 상기제2AC 신호를 상기 두 지점 중 하나에 가하고 상기 두 지점 중 두번째를 접지시키는 것을 특징으로 하는 시스템.
  17. 상기 시스템의 처리 사이클 중에 형성된 잔류물을 제거하기 위한 화학 증착물(CVD) 시스템의 내부 표면을 세척하는 방법에 있어서, 상기 CVD 시스템은 적어도 부분적으로 반응 챔버를 둘러싸는 코일로 구성되어 있으며, 상기 방법은 AC 신호를 상기 코일상의 적어도 두개의 지점으로 가하여 상기 반응 챔버내에 세척 플라즈마를 형성하는 것을 특징으로 하는 방법.
  18. 제17항에 있어서, 적어도 두개의 지점이 상기 코일의 말단에 위치하고 있는 것을 특징으로 하는 방법.
  19. 제17항에 있어서, 상기 AC 신호가 5-20 ㎒ 범위의 주파수에 있는 것을 특징으로 하는 방법.
  20. 제19항에 있어서, 상기 AC 신호가 대략 13.56 ㎒ 범위의 주파수에 있는 것을 특징으로 하는 방법.
  21. 제17항에 있어서, 세척 기체를 상기 반응 챔버내로 주입시키는 단계로 또한 구성되어 있으며, 상기 AC 신호가 작동하여 상기 세척 기체로 구성된 플라즈마를 형성하는 것을 특징으로 하는 방법.
  22. 제21항에 있어서, 상기 세척 기체가 불소로 구성되는 것을 특징으로 하는 방법.
  23. 제22항에 있어서, 상기 세척 기체는 NF3, C2F6, C3F8로 구성된군으로부터 선태된 하나 이상의 불소-함유 화합물로 구성되는 것을 특징으로 하는 방법.
  24. 제23항에 있어서, 상기 세척 기체는 또한 O2, H2및 비활성 기체로 구성된 군의 하나 이상의 부분으로 구성되어 있는 것을 특징으로 하는 방법.
  25. 제22항에 있어서, 상기 세척 기체를 반응 챔버로부터 제거하고, 수소를 반응 챔버로 주입하고, 상기 코일을 에너지화시켜 상기 수소를 포함하는 플라즈마를 생성한 후, 상기 플라즈마를 사용하여 불소를 포함하는 잔류물을 상기 반응 챔버의 내부 표면으로부터 제거하는 단계로 또한 구성되는 것을 특징으로 하는 방법.
  26. 제25항에 있어서, 상기 코일의 에너지화 단계중에 반응 챔버벽의 내부 표면 온도가 항상 25-150℃인 것을 특징으로 하는 방법.
  27. 제25항에 있어서, 산소를 상기 반응 챔버내로 주입하고, 상기 산소를 상기 수소와 혼합하는 추가의 단계로 구성된 것을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970004836A 1996-02-16 1997-02-15 전용세척기체주입장치를포함하는화학증착시스템 KR100269559B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/602,641 1996-02-16
US08/602,641 US6200412B1 (en) 1996-02-16 1996-02-16 Chemical vapor deposition system including dedicated cleaning gas injection
US8/602,641 1996-02-16

Publications (2)

Publication Number Publication Date
KR970063445A true KR970063445A (ko) 1997-09-12
KR100269559B1 KR100269559B1 (ko) 2000-12-01

Family

ID=24412185

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970004836A KR100269559B1 (ko) 1996-02-16 1997-02-15 전용세척기체주입장치를포함하는화학증착시스템

Country Status (7)

Country Link
US (1) US6200412B1 (ko)
EP (1) EP0790635A3 (ko)
JP (1) JP3141929B2 (ko)
KR (1) KR100269559B1 (ko)
DE (1) DE790635T1 (ko)
SG (1) SG76499A1 (ko)
TW (1) TW289836B (ko)

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
GB9811081D0 (en) * 1998-05-22 1998-07-22 Central Research Lab Ltd Apparatus for coupling power into a body of gas
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6320316B1 (en) * 1999-05-19 2001-11-20 Central Research Laboratories, Limited Apparatus for coupling power into a body of gas
US6500771B1 (en) * 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
SG89396A1 (en) * 2000-05-12 2002-06-18 Applied Materials Inc Gas reactions to eliminate contaminates in a cvd chamber
EP1154038A1 (en) * 2000-05-12 2001-11-14 Applied Materials, Inc. Method of conditioning a chamber for chemical vapor deposition
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
US20020163632A1 (en) * 2001-05-02 2002-11-07 Ya-Chan Cheng Measuring system of a gas stream environment
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
JP4733856B2 (ja) * 2001-05-10 2011-07-27 ルネサスエレクトロニクス株式会社 高密度プラズマcvd装置のリモートプラズマクリーニング方法
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US7001854B1 (en) 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
JP3657942B2 (ja) 2003-01-16 2005-06-08 沖電気工業株式会社 半導体製造装置の洗浄方法、及び半導体装置の製造方法
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
CN101517713B (zh) * 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
KR100861816B1 (ko) * 2006-12-28 2008-10-07 동부일렉트로닉스 주식회사 고밀도 플라즈마 cvd 챔버
JP5554469B2 (ja) * 2007-05-14 2014-07-23 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2008283148A (ja) * 2007-05-14 2008-11-20 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
DE102007045216A1 (de) * 2007-09-21 2009-04-02 Khs Corpoplast Gmbh & Co. Kg Vorrichtung zur Plasmabehandlung von Werkstücken
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP5203758B2 (ja) * 2008-03-17 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7931752B2 (en) * 2009-01-06 2011-04-26 United Microelectronics Corp. Method for cleaning semiconductor equipment
CN103650169A (zh) * 2011-07-27 2014-03-19 夏普株式会社 含硅薄膜的制造方法
CN103219214B (zh) * 2012-01-20 2016-04-06 李文杰 连续式***的等离子体制程、设备、腔体及机构
CN103510064B (zh) * 2012-06-15 2016-06-29 中微半导体设备(上海)有限公司 真空处理装置及控制制程颗粒沉积路径的方法
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP5571233B2 (ja) * 2013-06-19 2014-08-13 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111370282B (zh) * 2018-12-26 2022-06-24 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113994023A (zh) 2019-05-15 2022-01-28 应用材料公司 减少腔室残留物的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4033286A (en) 1976-07-12 1977-07-05 California Institute Of Technology Chemical vapor deposition reactor
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4576698A (en) 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JPH01298181A (ja) 1988-05-25 1989-12-01 Hitachi Ltd ドライエッチング方法
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JPH03243774A (ja) 1990-02-20 1991-10-30 Iwatani Internatl Corp プラズマ内蔵式セラミックス膜形成装置内の汚染物清浄用ガス
US5284805A (en) * 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
EP0685873B1 (en) 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber

Also Published As

Publication number Publication date
EP0790635A3 (en) 1998-04-15
KR100269559B1 (ko) 2000-12-01
SG76499A1 (en) 2000-11-21
TW289836B (en) 1996-11-01
JP3141929B2 (ja) 2001-03-07
DE790635T1 (de) 1998-03-12
JPH09249976A (ja) 1997-09-22
EP0790635A2 (en) 1997-08-20
US6200412B1 (en) 2001-03-13

Similar Documents

Publication Publication Date Title
KR970063445A (ko) 전용 세척 기체 주입장치를 포함하는 화학 증착 시스템
KR100240534B1 (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
US10176973B2 (en) Method of cooling a composition using a hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US5865896A (en) High density plasma CVD reactor with combined inductive and capacitive coupling
KR970067610A (ko) 유도결합형 플라즈마 cvd장치
TW328138B (en) Chamber etching method of plasma processing apparatus and plasma apparatus using such method
RU2002126255A (ru) Усовершенствование процесса удаления резиста в установке для травления диэлектрика с использованием пучка плазмы
KR970008333A (ko) 화학 증착(cvd) 장치로부터 잔류물을 세척하기 위한 방법
WO2002050334A2 (en) On-site cleaning gas generation for process chamber cleaning
KR970067623A (ko) 할로겐 도핑된 산화 실리콘막의 막 안정성 개선을 위한 방법 및 그 장치
FI973084A (fi) Laitteisto poistokaasujen puhdistamiseksi
KR100467082B1 (ko) 반도체소자 제조장치 및 그 클리닝방법
EP0774778A3 (en) Plasma etch with trifluoroacetic acid and derivatives
KR100704591B1 (ko) Cvd 장치 및 그 내부 세정방법
KR100639517B1 (ko) 확산기를 구비한 cvd 장비
KR960006688B1 (ko) 저압화학기상증착 반응실의 오염원 제거 방법
KR100387900B1 (ko) 박막 공정 장치의 크리닝 방법 및 이를 적용한 박막 공정장치
JPH07335563A (ja) プラズマcvd装置
KR20040045750A (ko) 고밀도 플라즈마식 화학기상증착장치
JPH09306899A (ja) 気相反応装置
JPS56158143A (en) Reduced pressure type vapor phase growing device
KR20010091112A (ko) 화학 기상 증착 장비
KR100610001B1 (ko) 반도체 제조공정에 적용되는 고집적 플라즈마 설비의크리닝 가스 시스템
JP2745549B2 (ja) 半導体製造装置の洗浄方法
KR20100078001A (ko) 고밀도 플라즈마 화학 기상 증착장치 및 세정방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130708

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140709

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20150707

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20160711

Year of fee payment: 17