KR930003136B1 - 프라즈마 cvd에 의한 박막 형성장치 - Google Patents

프라즈마 cvd에 의한 박막 형성장치 Download PDF

Info

Publication number
KR930003136B1
KR930003136B1 KR1019890700595A KR890700595A KR930003136B1 KR 930003136 B1 KR930003136 B1 KR 930003136B1 KR 1019890700595 A KR1019890700595 A KR 1019890700595A KR 890700595 A KR890700595 A KR 890700595A KR 930003136 B1 KR930003136 B1 KR 930003136B1
Authority
KR
South Korea
Prior art keywords
source gas
substrate
gas outlet
electrode
plasma cvd
Prior art date
Application number
KR1019890700595A
Other languages
English (en)
Other versions
KR890702414A (ko
Inventor
유끼오 고오무라
요시노리 이시다
다구야 나시모도
Original Assignee
후루가와덴기 고오교오 가부시기가이샤
구사가베 엣지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP62257429A external-priority patent/JPH0719755B2/ja
Priority claimed from JP25743087A external-priority patent/JPH07118460B2/ja
Priority claimed from JP62288325A external-priority patent/JPH01130517A/ja
Priority claimed from JP19454887U external-priority patent/JPH058673Y2/ja
Priority claimed from JP62325748A external-priority patent/JPH01168021A/ja
Application filed by 후루가와덴기 고오교오 가부시기가이샤, 구사가베 엣지 filed Critical 후루가와덴기 고오교오 가부시기가이샤
Publication of KR890702414A publication Critical patent/KR890702414A/ko
Application granted granted Critical
Publication of KR930003136B1 publication Critical patent/KR930003136B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

내용 없음.

Description

프라즈마 CVD에 의한 박막 형성장치
제1도는 본발명의 제1실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도.
제2도는 제1실시예의 프라즈마 CVD 장치에서 사용하는 원료가스 유출전극의 정면도.
제3도는 제1실시예의 프라즈마 CVD 장치의 전기계통의 구성을 나타내는 설명도.
제4도는 제2실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도.
제5도는 제2실시예의 프라즈마 CVD 장치에 사용되는 메시전극의 정면도.
제6도는 제3실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도.
제7도는 제3실시예의 프라즈마 CVD 장치에서 사용하는 이너워크 홀더의 종단면도.
제8도는 상기 이너워크 홀더의 정면도.
제9도는 제8도의 X-X선 단면도.
제10도는 상기 이너워크 홀더에서 사용하는 링형상으로 된 스프링의 정면도.
제11도는 또다른 이너워크 홀더의 종단면도.
제12도는 제11도의 이너워크 홀더에서 사용되는 링형상으로 된 스프링의 사시도.
제13도는 또다른 이너워크 홀더의 종단면도.
제14도는 제13도의 이너워크 홀더의 배면도.
제15도는 이너워크 홀더를 사용하지 않은 프라즈마 CVD 장치로 형성한 박막의 막두께 분포를 나타내는 특성도.
제16도는 이너워크 홀더를 사용한 프라즈마 CVD 장치로 형성한 박막의 막두께 분포를 나타내는 특성도.
제17(a)도는 제4실시예의 프라즈마 CVD 장치에서 사용하는 아우터워크 홀더의 분해된 상태를 나타내는 정면도.
제17(b)도는 상기 아우터워크 홀더의 하측 반링부의 내측단면도.
제17(c)도는 제17(b)도의 B부분을 확대하여 나타낸 확대도.
제17(d)도는 상기 아우터워크 홀더의 상측 반링부 우측면도.
제17(e)도는 상기 아우터워크 홀더의 하측 반링부 우측면도.
제18도는 상기 아우터워크 홀더본체의 균일한 두꺼운 부분의 범위와 기판의 주연부의 막두께 변화와의 관계를 나타내는 특성도.
제19도는 상기 아우터워크 홀더본체의 균일한 두꺼운 부분의 두께와 기판의 주연부의 막두께 변화와의 관계를 나타내는 특성도.
제20도는 제5실시예의 프라즈마 CVD 장치의 구성을 나타내는 단면도.
제21도는 제5실시예의 프라즈마 CVD 장치에서 사용하는 양면형의 원료가스 유출전극의 단면도.
제22도는 제5실시예의 프라즈마 CVD 장치에서 사용하는 각 원료가스 유출전극의 정면도.
제23도는 제5실시예의 프라즈마 CVD 장치에서 사용하는 기판홀더 및 그 대차의 정면도.
제24도는 또다른 프라즈마 CVD 장치의 구성을 나타낸 설명도.
제25도는 이너워크 홀더와 아우터워크 홀더를 사용한 프라즈마 CVD 장치로 형성한 박막의 두께와 막이 형성되는 시간과의 관계를 나타내는 특성도.
본 발명은 프라즈마 CVD(Plasma Chemical Vapor Deposition)법에 의하여 기판위에 박막을 형성하는 프라즈마 CVD 장치에 의한 박막형성장치에 관한 것이다.
종래, 프라즈마에 의하여 기판에 처리를 하는 프라즈마 발생장치로서는, 일본국 특허출원 소 60-179578호(미국특허출원 제 692145호)에 개재되어 있다. 이 프라즈마 CVD 장치에서는, 실질적으로 균일한 프라즈마를 발생시키기 위하여, 기판의 각각의 주된 평면은 전기적으로 도전부분을 갖고 있다. 또 기판의 어느 하나의 평면에 서로 대향한 관계로 2개의 전극이 배치되어 있다. 또 제1무선주파수(이하 고주파라 한다) 전원이 제1전극에 전기적으로 접속되며, 제2고주파전원이 제2전극에 전기적으로 접속되어 있다.
그러나, 이러한 프라즈마 CVD 장치에 의해서도 여전히 기판에 견고하고 치밀한 막으로서 내식성 및 내마모성이 우수한 박막을 용이하게 형성할 수 없었다.
본 발명의 목적은, 기판에 견고하고 치밀한 막으로서 내식성 및 내마모성이 우수한 박막을 용이하게 형성할 수 있는 프라즈마 CVD 에 의한 박막형성장치를 제공하는데 있다.
즉 본 발명은, 진공반응용기내에 소정의 간격을 두고서 서로 마주보도록 대향설치된 원료가스 유출전극사이에 피처리체를 설치하는 공정과 ; 상기 원료가스 유출전극에서 상기 피처리체로 원료가스를 유출시키면서, 상기 원료가스 유출전극을 접지하여 상기 피처리체에 소정의 고주파전력을 인가하는 공정과 ; 상기 고주파전력에 의하여 상기 원료가스에서 발생된 프라즈마에 의하여 상기 피처리체에 막을 형성하는 공정을 구비하는 프라즈마 CVD 장치에 의한 박막형성방법이다.
또 본 발명은, 진공반응용기내에 소정의 간격을 두고서 서로 마주보도록 원료가스 유출전극이 대향설치되며, 또 상기 원료가스 유출전극사이의 공간영역에 소정간격을 두고서 대향설치된 통전전극사이의 영역에 피처리체를 설치하는 공정과 ; 상기 원료가스 유출전극에서 상기 피처리체로 원료가스를 유출시키면서, 상기 원료가스 유출전극을 접지하여 상기 통전전극에 소정의 고주파전력을 인가하는 공정과 ; 상기 고주파전력에 의하여 상기 원료가스에서 발생된 프라즈마에 의하여 상기 피처리체에 박막을 형성하는 공정 ; 을 구비하는 프라즈마 CVD에 의한 박막형성방법이다.
또 본 발명은, 진공반응용기내에 소정의 간격을 두고서 서로 마주보도록 대향설치된 원료가스 유출전극과 ; 상기 원료가스 유출전극에 접속된 원료가스 유출수단과 ; 상기 원료가스 유출전극사이의 소정위치에 설치되는 피처리체를 지지하는 지지수단과 ; 상기 원료가스 유출전극을 접지하여 상기 피처리체에 소정의 고주파전력을 인가하는 고주파전력 인가수단을 구비하는 프라즈마 CVD에 의한 박막형성장치이다.
또 본 발명은, 진공반응용기내의 소정의 간격을 두고서 서로 마주보도록 대향설치된 원료가스 유출전극과 ; 상기 원료가스 유출전극에 접속된 원료가스 유출수단과 ; 상기 원료가스 유출전극사이의 소정위치에 설치되는 피처리체를 지지하는 지지수단과 ; 상기 원료가스 유출전극을 접지하여 상기 피처리체에 소정의 고주파전력을 인가하는 고주파전력 인가수단과 ; 상기 피처리체의 두께와 대략 같은 두께를 가지며, 상기 피처리체의 중심구멍에 끼워맞춰지는 끼워맞춤원판과 ; 상기 끼워맞춤원판의 외측둘레부에 조립되어 상기 끼워맞춤원판을 상기 피처리페에 지지시키는 확경걸림수단으로 된 이너워크 홀더를 구비하는 프라즈마 CVD에 의한 박막형성장치이다.
또 본 발명은, 진공반응용기내에 소정의 간격을 두고서 서로 마주보도록 대향설치된 원료가스 유출전극과 ; 상기 원료가스 유출전극에 접속된 원료가스 유출수단과 ; 상기 원료가스 유출전극사이의 소정위치에 설치되는 피처리체를 지지하는 지지수단과 ; 상기 원료가스 유출전극을 접지하여 상기 피처리체에 소정의 고주파전력을 인가하는 고주파전력 인가수단과 ; 상기 피처리체의 외측둘레를 따르는 부분에 상기 피처리체의 두께와 거의 같은 두께의 균일한 두꺼운 부분이 맞닿으며, 또 상기 피처리체의 양면을 노출시킨 상태에서 상기 피처리체를 지지하는 링형상의 아우터워크 홀더본체를 구비하는 프라즈마 CVD에 의한 박막형성장치이다.
여기서, 본 발명의 프라즈마 CVD에 의한 박막형성방법 및 그 장치에서는, 원료가스 유출전극을 3개 이상으로 하고, 인접하는 원료가스 유출전극사이에 피처리체를 설치하도록 하여도 된다.
또 원료가스는 각각의 원료가스 유출전극의 대향면에 형성된 다수개의 원료가스 유출구멍에서 유출시키는 것이 바람직하다.
또 피처리체로서는 자기디스크 소재 등을 사용할 수 있다.
또 원료가스 유출전극사이에 통전전극을 배치하고, 원료가스 유출전극을 접지하여 통전전극에 소정의 고주파전력을 인가하도록 해도 된다. 이 경우, 통전전극으로서는 예를들면 메시(mesh)전극을 사용할 수 있다.
또 피처리체의 두께와 대략 같은 두께를 가지며, 피처리체의 중심구멍에 끼워맞춰지는 끼워맞춤원판과, 이 끼워맞춤원판을 피처리체에 지지시키는 확경걸림수단으로 된 이너워크 홀더를 사용해도 된다. 이 경우, 확경걸림수단으로서는 스프링의 탄성압에 의하여 끼워맞춤원판을 피처리체에 지지시키는 것을 사용할 수 있다.
또 피처리체의 외부를 따르는 부분에 피처리체의 두께와 거의 같은 두께의 균일한 두꺼운 부분이 맞닿으며, 또 피처리체의 양면을 노출시킨 상태에서 지지하는 링형상의 아우터워크 홀더본체를 사용해도 된다.
본 발명의 프라즈마 CVD에 의한 박막형성방법 및 그 장치에 의하면, 프라즈마내에 양 원료가스 유출전극에서 원료가스를 공급하여 활성화시키고, 이 상태에서 피처리체에 막을 형성한다. 따라서 피처리체에 견고하고 치밀한 막으로서 내식성 및 내마모성이 우수한 박막을 용이하게 형성할 수 있는 것이다.
이하 본 발명의 실시예에 대하여 도면을 참조하여 상세하게 설명한다.
[제1실시예]
제1도는 본 발명의 제1실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도이다.
도면중 11은 진공반응용기이며, 이 진공반응용기(11)내에는 1쌍의 원료가스 유출전극(17)이 수직방향으로 서로 평행하게 대향설치되어 있다. 상기 원료가스 유출전극(17)은 금속으로 형성되어 있다.
상기 원료가스 유출전극(17)에는, 제2도에 나타낸 바와 같이, 다수개의 가스유출구멍(18)이 분산되어 형성되어 있다. 또 상기 원료가스 유출전극(17)의 이면에는, 금속제로 된 깔때기형상의 분배실 형성체(19A)와 이것에 원료가스를 공급하는 금속제의 배관(19B)과 양자를 접속하는 이음부(19C)로 이루어진 원료가스 유출수단(19)이 형성되어 있다.
상기 분배실 형성체(19A)는 이음부(19C)와의 나사결합에 의하여 앞뒤로 이동할 수 있도록 부착되어 있으며, 또 상기 이음부(19C)는 배관(19B)에 기밀하게 연결되어 있으며, 또 상기 배관(19B)은 진공반응용기(11)의 외부로 기밀하게 도출되어 있다. 또한 원료가스 유출전극(17)은 상기 원료가스 유출수단(19)을 통하여 어스되어 있다.
양 원료가스 유출전극(17) 사이의 중앙에는 피처리체인 기판(13)이 금속제의 기판홀더(20)에 지지되어 놓여져 있다. 상기 기판홀더(20)는 통전지주(21)의 상단에 붙이고 떼기가 자유롭도록 끼워져서 지지되어 있다. 상기 기판(13)에는 고주판전력 인가수단인 외부의 고주파전원(15)으로부터 매칭박스(maching box)(22), 급전코드(23), 통전지주(21) 및 기판홀더(20)를 통하여 고주파전력이 인가되도록 되어 있다. 진공반응용기(11)의 하부에는 도시하지 않은 진공펌프로 용기내의 공기를 빼내어 진공상태로 하기 위한 배기관(24)이 형성되어 있다.
제3도는 상기 프라즈마 CVD 장치의 전기계통의 구성을 나타낸다.
이어서 상기한 프라즈마 CVD 장치를 사용하여 기판에 박막을 형성하는 박막형성방법에 대하여 설명한다.
진공반응용기(11)내는 진공압 0.1Torr 정도로 유지된다. 양측의 원료가스 유출수단(19)을 통하여 캐리어가스와 함께 공급된 원료가스를 양 원료가스 유출전극(17)의 가스유출구멍(18)에서 기판(13)의 양 측면으로 유출시킨다. 기판(13)에 고주파전력이 인가되면 프라즈마는 상기 기판(13)과 그 양측의 원료가스 유출전극(17) 사이에서 일어난다. 그리고 각 원료가스 유출전극(17)에서 유출되는 원료가스가 프라즈마내에서 활성화된다. 이 결과, 기판(13)의 양면에 동시에 박막이 형성된다. 이 경우, 기판(13)에 걸리는 고주파의 주파수는 13.5MHz로 한다.
또 고주파전력은 매칭박스(22)에서 전기적으로 정합되어 기판(13)에 인가되도록 되어 있다. 또한 양 원료가스 유출전극(17)은 기판(13)에 대하여 좌우로 대칭되게 형성되어 있으므로, 각각의 원료가스 유출전극(17)으로부터의 원료가스 유량이 같으며, 또 각각의 원료가스 유출전극(17)과 기판(13)과의 거리는 같다. 배기는 중앙하부에서 행해지며 프라즈마내에 편중된 영향이 없도록 한다.
막형성의 종료는 원료가스의 공급을 정지한 다음에 고주파전원(15)을 끊으므로써 이루어지고, 막형성의 개시는 고주파를 기판(13)에 인가한 다음 원료가스를 유출시키므로써 이루어진다. 기판(13)은 막형성중에는 동일장소에서 지지된다. 기판홀더(20)는 되도록 얇게하여 진공내에서의 원료가스의 흐름이 흩어지지 않도록 하는 것이 바람직하다.
이어서 제1실시예의 프라즈마 CVD 장치를 사용하여 기판위에 프라즈마 CVD 장치박막을 형성한 실험예 1에 대하여 설명한다.
[실험예 1]
기판(13)은 8.89Cm(3.5")의 알루미늄 디스크로 하고, 기판홀더(20)는 스테인리스강으로 하였다. 기판(13)의 두께는 1~2mm로 하고, 기판(13)과 양 원료가스 유출전극(17) 사이의 거리는 각 측에서 20~50mm로 하였다. 각 원료가스 유출전극(17)은 스테인리스강제로 하고, 기판(13)의 외경보다도 외경을 20%이상 크게 하였다. 배관(19B)의 외측둘레에 히타를 감고 그 외측둘레를 테트라 플로로에틸렌 등의 절연체로 둘러싸아서 배관(19B)의 온도가 일정하게 되도록 온도조정을 하였다.
또한 하기에 나타낸 막형성시간 등의 조건하에서 실험을 한 결과, 하기에 나타낸 바와 같은 막두께, 막두께 분포를 가진 프라즈마 CVD 박막을 용이하게 형성할 수 있었다.
하 기
막형성시간 : 30초
고주파전력 : 150W, 13.56MHz
배관의 온도 : 100℃
캐리어 가스 : Ar, 40cc/min
원료가스 : 고온에서 기체상이 되는 모노머
막이 형성된 막두께 : 300Å
막두께 분포 : 300ű5%
[제2실시예]
제4도는 제2실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도이다.
진공반응용기(11')내에는 1쌍의 원료가스 유출전극(17) 및 원료가스 유출수단(19)이 형성되어 있다. 원료가스 유출전극(17) 및 원료가스 유출수단(19)은 상기 제1실시예의 것과 같은 구성으로 되어 있다. 따라서 동일부호를 붙이고 그 설명을 생략한다.
원료가스 유출전극(17)사이에는, 제5도에 나타낸 바와 같이, 통전전극으로서의 그물형상으로 이루어진 1쌍의 메시전극(30)이 수직방향으로 서로 마주보도록 또 원료가스 유출전극(17)에 대하여 평행하도록 배치되어 있다. 상기 메시전극(30)에는 고주파전원(15)에서 도시하지 않은 매칭박스를 통하여 고주파전력이 인가되도록 되어 있다. 양 메시전극(30) 사이에는 처리용 기판(13)을 위치시키는 기판설치공간(31)이 형성되어 있다. 이 기판설치공간(31)내에는 처리용 기판(13)이 수직방향으로 양측의 메시전극(30)과 평행하게 또 동일간격으로 배치되도록 되어 있다. 기판(13)은 홀더(32)에 지지되며, 홀더(32)는 대차(truck)(33)위에 고정설치되어 있다. 대차(33)는 베이스(34)위에서 주행하도록 되어 있다. 진공반응용기(11')의 하부에는 도시하지 않은 진공펌프로 용기내의 공기를 빼내어 진공상태로 하기 위한 배기관(35)이 접속되어 있다.
이와 같은 프라즈마 CVD 장치에서는, 양측의 원료가스 유출수단(19)에서 캐리어가스와 함께 공급된 원료가스를 양 원료가스 유출전극(17)의 가스유출구멍(18)에서 메시전극(30)을 거쳐 기판(13)의 양측면으로 유출시키고, 양 메시전극(30)에 고주파전력을 인가한다. 프라즈마는 양 메시전극(30)과 이것에 대향하는 원료가스 유출전극(17) 사이에서 일어난다. 이들 사이에서 원료가스가 활성화되며, 기판(13)의 양면에 막이 형성된다. 이 경우, 메시전극(30)에 걸리는 고주파의 주파수는 13.56MHz로 한다. 기판(13)과 메시전극(30) 사이의 거리는 10mm 이하로 하여 프라즈마내에 기판(13)이 존재하도록 한다.
또한 제2실시예와 같이 메시전극(30)에 고주파를 인가하는 것이, 원료가스 유출전극(17)을 어스하지 않은 전극으로 하여 고주파를 인가하는 것보다 견고한 박막을 기판(13)위에 형성할 수 있다.
이어서, 제2실시예의 프라즈마 CVD 장치를 이용하여 기판위에 프라즈마 CVD박막을 형성한 실험예 2에 대하여 설명한다.
[실험예 2]
기판(13)은 8.89Cm(3.5")의 Al제 하드디스크로 하고, 고주판전원의 파워는 100W로 하였다. 또 원료가스 유출전극(17) 사이의 거리는 40~50mm로 하고, 메시전극(30) 사이의 거리는 20~10mm로 하였다. 또한 홀더(32)는 절연물로 구성하였으며, 원료가스 유출전극(17) 및 메시전극(30)은 스테인리스제로 하였다. 원료가스는 Ar가스를 캐리어가스로 하여 반송하며, 상기 캐리어가스(Ar)와 원료가스는 매스플로 콘트롤러로 유량이 일정하게 되도록 제어하였다.
막을 형성하기 전에, 상기 기판(13)을 도시하지 않은 예비실에서 복사가열에 의한 가열을 하고서, 대차(33)로 진공반응용기(11')내의 메시전극(30) 사이의 중앙으로 이동시켜 세트하고, 약 30초간 프라즈마내에서 막을 형성하였다. 30초후에, 진공바응용기(1')내에서 막이 형성된 기판(13)을 대차(33)를 구동하여 별도의 뒤처리실로 이동시켜 산소분위기에 넣고, 그후 뒤처리실에서 꺼내었다.
메시전극(30)의 메시수는, 메시수가 적으면 프라즈마가 균일하게 되지 않는다. 또 메시수가 지나치게 많으면 기판(13)에 모노머가 골고루 미치지 않는다. 따라서 여러방법으로 검토한 결과 메시수는 5~50메시/2.54Cm로 하였다.
홀더(32)는 기판(13)을 1쌍의 메시전극(30) 사이의 중앙에 안정하게 공급하기 위해서, 기판(13)의 외측둘레전체를 홀드하는 구조의 것이 바람직하다.
원료가스 유출전극(17) 및 메시전극(30)을 대형화하면 복수매의 기판(13)을 동시에 양면에 막을 형성할 수 있다.
[제3실시예]
제6도는 제3실시예의 프라즈마 CVD 장치의 구성을 나타내는 설명도이다. 이 프라즈마 CVD 장치를 구성하는 한쌍의 원료가스 유출전극(17) 및 원료가스 유출수단(19)은 제1실시예의 것과 같은 구성으로 되어 있다. 그러므로 동일부호를 붙이고 그 설명은 생략한다.
이 프라즈마 CVD 장치에 의하면, 기판(13')과 그 양측의 각 원료가스 유출전극(17)에 의하여 기판(13')의 양측에 프라즈마를 각각 발생시킬 수 있고, 또 각 원료가스 유출전극(17)에서의 원료가스를 기판(13')의 양면에 공급할 수 있으므로 기판(13')의 양면에 동시에 박막을 형성할 수 있는 것이다.
이하, 상기 프라즈마 CVD 장치의 기판(13')의 중심구멍(13'A)부에 부착되어 있는 이너워크 홀더에 대하여 제7도~제10도를 참조하여 설명한다.
피처리체의 지지수단으로 채용되는 상기 이너워크 홀더(39)는 기판(13')의 두께(예를 들면 1.35mm)와 대략 같은 두께로 되어 있다.
기판(13')의 중심구멍(내경이 예를들면 25mmø)(13'A)에는 끼워맞춤원판(40)이 끼워져 있다. 상기 끼워맞춤원판(40)은, 일측의 원형 단판(端板)(40A)과 타측의 원형 단판(40B)이 상기 단판(40A)의 중심과 일체로 형성된 보스(40C)에 일체로 연결되어 구성되어 있다. 상기 단판(40A)은 기판(13')의 중심구멍(예를들면 25mmø)(13'A)보다 약간 크게(예를들면 25.4mmø)형성되어 있으며, 기판(13')의 중심구멍(13'A)에 끼워질때에 스토퍼로서 작용하도록 되어 있다.
또 상기 지름이 큰 단판(40A)의 외측둘레에는, 기판(13')의 중심구멍(13'A)를 따라서 형성된 테이퍼면(13'B)에 대향하는 테이퍼면(40D)이 형성되어 있으며, 이 테이퍼면(40D)을 기판(13')의 중심구멍(13'A)을 따라서 형성된 테이퍼면(13'B)에 맞닿게 하므로써, 단판(40A)이 기판(13')의 표면에서 그다지 돌출되지 않도록 하고 있다. 또한 상기 단판(40B)은 기판(13')의 중심구멍(예를들면 25mmø)(13'A)보다 약간 작게(예를들면 24.6mmø)형성하여, 상기 중심구멍(13'A)에 용이하게 끼워질 수 있도록 하고 있다.
상기 끼워맞춤원판(40)에는 양 단판(40A, 40B)에 의하여 형성되는, 즉 보스(40C)의 외측둘레에 둘레홈(40E)이 형성되어 있다. 또 보스(40C)에는, 그 둘레방향에 있어서 위치를 180°달리하여, 1쌍의 절결부(40F)가 형성되어 있다.
상기 끼워맞춤원판(40)의 둘레홈(40E)내에는 확경(擴經)걸림수단(41)으로서 링형상으로 된 스프링(42)이 끼워져 있다. 상기 링형상의 스프링(42)은 둘레방향의 일부에 갈라놓음부(42A)가 형성되어 있으며, 이 갈라놓음부(42A)의 반대측 위치에 형성된 핀(43)에 의하여 단판(40A)에 걸려진다. 또한 갈라놓음부(42A)와 핀(43)의 중간에는 링형상의 스프링(42)의 내측둘레에서 1쌍의 조작용 암부(42B)가 내측방향으로 돌출되어 있으며, 이 조작용 암부(42B)는 보스(40C)의 절결부(40F)에 끼워져 있다. 또한 각 조작용 암부(42B)에는 각각 조작용구멍(42C)이 형성되어 있으며, 이 조작용 구멍(42C)과 대응하는 지름방향으로 길이가 긴 장공(40G)이 단판(40A)에 형성되어 있다.
이와같이 구성된 이너워크 홀더(39)는, 도시하지 않은 플라이어의 선단을 장공(40G)에서 링형상으로 된 스프링(42)의 조작용 구멍(42C)에 끼우고서 링형상으로 된 스프링(42)의 지름을 줄인다. 이러한 상태에서, 이너워크 홀더(39)를 기판(13')의 중심구멍(13'A)에 단판(42B)측부터 끼워놓는다. 그리고 단판(40A)이 기판(13')의 표면에 맞닿은 상태에서 프라이어를 제거하면 링형상으로 된 스프링(42)은 자체의 탄성력에 의하여 기판(13')의 내측둘레에 누름접촉된다. 따라서 이너워크 홀더(39)는 기판(13')에 걸려지게 되며, 이러한 상태에서의 이너워크 홀더(39)는, 제8도에 나타낸 바와같이, 기판(13')의 표면과 거의 일치한 상태로 중심구멍(13'A)을 막는다.
따라서 프라즈마 CVD법에 의하여 기판(13')의 양면에 동시에 막을 형성할때, 기판(13')의 내경측도 균일하게 막을 형성할 수 있다.
제11도 및 제12도는 다른 이너 워크홀더를 나타내는 도면으로, 이 이너워크 홀더(39')는 확경걸림수단(41)을 구성하는 링형상으로 된 스프링(42)의 각 조작용 구멍(42C)에 조작핀(44)을 형성하고, 이 조작핀(44)을 장공(40G)을 통하여 단판(40A)외부로 돌출시킨 것이다.
이와같이 하면, 플라이어가 없어도 상기 양 조작핀(44)을 손가락으로 오므리므로써, 링형상으로 된 스프링(42)의 지름을 줄이는 조작을 할 수 있다.
제13도 및 제14도는, 또다른 이너워크 홀더를 나타내는 도면으로, 이 이너워크 홀더(39")에 있어서는, 끼워맞춤원판(40)의 외측둘레에 90°간격으로 지름방향으로 뚫은 구멍(45)이 형성되어 있다. 상기 구멍(45)에는, 단면이 凸형상으로 된 핀(46)이 그 선단인 압압부(46A)가 끼워맞춤원판(40)의 외측둘레로 돌출되도록 끼워져 있다. 또 각 구멍(45)내에는, 상기 각 핀(46)의 압압부(46A)가 끼워맞춤원판(40)의 외측둘레에서 외부로 돌출되도록 탄지하는 코일스프링(47)이 각각 내장되어 있다.
이와같은 구조의 이너워크 홀더(39")에서는, 끼워맞춤원판(40)을 단판(40B)측부터 단순히 기판(13')의 중심구멍(13'A)에 끼우는 조작만으로 기판(13')에 대하여 부착할 수 있다.
또한 각 이너워크 홀더(39)(39')(39")중 제7도 및 제11도에 나타낸 것에서는, 링형상으로 된 스프링(42)의 지름을 줄이는 조작에 의하여 기판(13')에서 끼워맞춤원판(40)을 떼어낼 수 있으며, 제13도에 나타낸 것에서는, 단판(40B)측에서 단순히 끼워맞춤원판(40)을 누르므로써 기판(13')에서 떼어낼 수 있다.
이와같은 이너워크 홀더(39)(39')(39")를 사용하지 않은 경우와 사용한 경우에 있어서의 프라즈마 CVD법에 의한 기판(13')위의 막두께 분포의 상이점을 비교 실험한 결과, 제15도 및 제16도와 같은 결과를 얻었다.
제15도는 이너워크 홀더(39)(39')(39")를 사용하지 않은 경우의 막두께 분포도를 도시한 것으로서, 기판(13')의 내측둘레측에 막두께가 얇은 부분이 생겼다.
제16도는 이너워크 홀더(39)(39')(39")를 사용한 경우의 막두께 분포도를 도시한 것으로서, 기판(13')에 98%에 이르는 ±5%의 막두께 분포를 얻을 수 있었다.
[제4실시예]
제4실시예의 프라즈마 CVD장치의 개략적인 구성은, 제6도에 나타낸 제3실시예의 것과 같으므로, 제6도 및 그 설명에 의거하여 제4실시예의 프라즈마 CVD장치를 설명한다.
이하, 제4실시예의 프라즈마 CVD장치의 요부인 아우터워크 홀더에 대하여 제17(a)~제17(e)도를 참조하여 설명한다.
아우터워크 홀더(58)는 링형상으로 된 아우터워크 홀더본체(59)와, 아우터워크 홀더본체(59)를 지지부에 부착하기 위한 부착부(60)로 구성되어 있다. 상기 아우터워크 홀더본체(59)는 상측의 반링부(59A)와 하측의 반링부(59B)로 분할되어 있으며, 상기 반링부(59A, 59B)는 숫이음부(59C)와 암이음부(59D)에 의하여 링형상으로 연결되도록 되어 있다.
이와같은 아우터워크 홀더본체(59)의 내측둘레에는 기판(13')의 외축둘레와 끼워맞춰지는 기판끼워맞춤홈(59E)이 형성되어 있다. 또 아우터워크 홀더본체(59)에는 기판(13')을 지지하는 내측둘레부분에 기판(13')의 두께(예를들면 1.27mm)와 거의 같은 두께(예를들면 1.4mm)의 균일한 두꺼운 부분(59F)이 기판(13')의 외측둘레를 포위하도록 형성되어 있다. 상기 균일한 두꺼운 부분(59F)의 두께는 기판(13')의 두께이상으로 또 기판(13')두께의 125%이하의 두께인 것이 바람직하다. 또 균일한 두꺼운 부분(59F)의 외경은, 기판(13')의 외측둘레에서 그 외경의 120%이상에 걸쳐서 형성하는 것이 바람직하다.
기판(13')의 두께(1.27mm)에 대하여 110% 두께(1.4mm)의 균일한 두꺼운 부분(59F)이 기판(13') 지름(95mmø)의 126%(120mmø)에 걸쳐서 형성된 아우터워크 홀더본체(59)를 이용하여 기판(13')의 양면에, 제6도에 나타낸 프라즈마 CVD장치에 의하여, 막을 동시에 형성한 결과, 기판(13')의 전면적의 97%에 이르는 ±5%의 막두께 분포를 얻을 수 있었다.
또 균일한 두꺼운 부분(59F)의 외경이 기판 외경의 120%보다 작은 경우, 또는 아우터워크 홀더본체(59)의 두께가 기판(13')의 두께의 125%보다 큰 경우에는, 기판(13')의 외측둘레 가장자리부의 막두께 분포가 ±5%의 범위에 들어가지 않는것을 알 수 있었다.
이 실험결과를 제18도 및 제19도에 나타낸다.
제18도는 아우터워크 홀더본체(59)의 균일한 두꺼운 부분(59F)의 외경범위(기판(13')의 외경을 100%로 한다)와 기판(13')의 주연부의 막두께 변화(t-T)/T×100(%)(단, T는 기판(13')의 반경방향 중앙의 막두께, t는 기판(13')의 외측둘레에서 3mm되는 부분의 막두께)와의 관계를 도시한 것이다.
도면에서 알 수 있는 바와같이, 균일한 두꺼운 부분(59F)의 외경이 기판(13')의 직경의 120%보다 작으면, 막두께 분포가 ±5%의 범위에 들어가지 않음을 알 수 있었다.
제19도는, 아우터워크 홀더본체(59)의 균일한 두꺼운 부분(59F)의 두께 (기판(13')의 두께와 같은때를 100%로 한다)와 상술한 기판(13')의 주연부의 막두께 변화(t-T)/T×100(%)와의 관계를 도시한 것이다.
도면에서 알 수 있는 바와같이, 균일한 두꺼운 부분(59F)의 두께는 기판(13')의 두께를 넘어서 125%보다 커지면, 막두께 분포가 ±5%의 범위내에 들어가지 않음을 알 수 있었다.
이상 설명한 이너워크 홀더와 아우터워크 홀더를 사용한 프라즈마 CVD장치로, 하기의 조건하에서 실험을 한 결과, 제25도에 도시한 결과를 얻었다.
하기
막형성시간 : 10~60초
고주파전력 : 150W, 13.56MHz
배관온도 : 100℃
캐리어가스 : Ar, 400cc/min
원료가스 : 40cc/min
여기서 원료가스는, 상온에서 고체의 디벤질(C6H5-CH2-CH2-C6H5)를 용기에 넣고, 이것을 150℃로 가열하여 액체상으로 하고, 이 용기내를 Ar가스로 버블링(bubbling)하여 디벤질을 기체상으로 반송하고 있다. 버블링된 원료가스에 캐리어가스로서 Ar가스를 첨가하여 전극부에 공급하고 있다. 종별(bell jar)근처의 배관지름은 100℃의 온도에서 디벤질이 액체상 또는 고체상으로 되지 않는 것으로 설정하고 있다.
제25도에서, 막형성 시간을 크게하면, 막두께는 정비례적으로 크게 되는 것을 알 수 있다. 즉, 이것은 이너워크 홀더와 아우터워크 홀더에 의하여 기판상에서의 흐름이 안정하여 막형성조건이 일정하게 된 것에 의한 것이다.
또 본 발명에 의거하여 제작한 막{이하 PP막(본 발명품)이라 한다}과, 자막형상 위에 스패터링(spattering)법으로 카본막을 형성하고 또 그 위에 윤활유를 도포한 막{이하 카본막+윤활유(비교예품)라 한다}과를 비교한 결과, 하기의 제1표에 나타낸 결과를 얻었다.
[제1표]
Figure kpo00001
또, "고속섭동특성(高速攝動特性)"의 실험조건은 다음과 같다.
·디스크위에 마모시험용의 헤드를 놓고서 실시했다.
·헤드의 사이즈 : 5mm×5mm
·헤드의 무게 : 15gf
·디스크의 섭동속도 : 10m/s
실험결과는 다음과 같았다.
PP막(본발명품) : 2~4×105
카본막+윤활유(비교에품) ; 1~3×104
또, 스티킹은 디스크가 정지시에 헤드가 디스크에 흡착되는 현상으로서, PP막은 문제가 없었으나 카본막+윤활유에서는 흡착하였다.
또 내식성의 실험조건은 다음과 같다.
(조건 1) (조건 2)
온도 80℃, 상대습도 80% ↔ 온도 25℃, 상대습도 50% 조건 1과 조건 2를 2시간 사이클로 반복하였다. 즉, 이 조건 1, 조건 2에 디스크의 환경을 2시간 사이클로 반복하여 변화하는 실험을 하였다.
또 이 시험은 가혹한 환경시험이고, 보호막이 불충분하며 디스크가 부식하여 막이 팽윤하거나 박리된다.
이 시험결과 PP막은 모두 문제가 없었으나 카본+윤활유는 약간의 부식이 보였다.
[제5실시예]
제20도는, 제5실시예의 프라즈마 CVD장치의 구성을 나타내는 단면도이다.
도면중 70은 접지된 진공반응용기이다. 진공반응용기(70)내에는, 양면형의 원료가스 유출전극(77)과 편면형(片面形)의 원료가스 유출전극(78)이 각각 전극면(80A, 83A)을 소정간격으로 서로 마주보도록 평행하게 수직방향으로 배치되어 있다.
양면형의 원료가스 유출전극(77)은, 제21도에 나타낸 바와같이, 3각 원뿔형의 분배실(79a)이 그 양면이 서로 반대향으로 트인구멍이 되도록 형성하는 금속제의 분배실 형성체(79b)와, 분배실 형성체(79b)의 각 분배실(79a)의 트인구멍을 막도록 형성된 금속제의 원료가스 유출전극 본체(80)로 구성되어 있다. 상기 각 원료가스 유출전극 본체(80)에는, 제22도에 나타낸 바와같이, 다수개의 가스유출구멍(81)이 분산되어 형성되어 있으며, 각 원료가스 유출전극 본체(80)의 전극면(80A)에서 서로 역방향으로 원료가스를 유출할 수 있도록 되어 있다.
또한 편면형의 연료가스 유출전극(78)은, 편면측에 도시하지 않은 3각 원뿔형의 분배실을 형성하는 금속제의 분배실 형성체(82)와, 분배실 형성체(82)의 분배실의 트인구멍을 막도록 형성된 금속제의 원료가스 유출전극 본체(83)로 구성되어 있다. 상기 원료가스 유출전극본체(83)에는 다수개의 가스유출구멍(84)이 분산되어 형성되어 있으며, 각 원료가스 유출전극 본체(83)의 전극면(83A)에서 원료가스를 유출할 수 있도록 되어 있다.
상기 각 원료가스 유출전극(77, 78)에는, 이것에 원료가스를 공급하는 금속제의 원료가스공급배관(86, 85)이 각각 접속되어 있다. 원료가스로서는 여러종류가 있으나, 예를들면 벤젠계의 모노머 재료를 사용한다.
또 상기 원료가스공급배관(86, 85)은 진공반응용기(70)를 기밀하게 관통하여 형성되어 있으며, 각 원료가스 유출전극(77, 78)은 상기 원료가스공급배관(86, 85) 및 진공반응용기(70)를 통하여 접지되어 있다.
인접하는 각 원료가스 유출전극(77, 78)의 서로 대향하는 전극면(80A, 83A)사이의 중앙에는, 제23도에 나타낸 바와같이, 각각 처리용의 기판(13')이 금속제의 기판홀더(87)에 지지되어 놓여져 있다. 본 실시예에서의 기판홀더(87)는 2개의 기판(13')을 그 양면을 좌우로 노출시켜서 지지하도록 되어 있다. 상기 기판홀더(87)는 대차(88)위에 고정되게 설치되며, 이 대차(88)는 베이스(89)위를 주행하여 진공반응용기(70)의 내외로 이동할 수 있도록 되어 있다.
기판(13')에는 외부의 고주파전원등의 프라즈마전원(75)에서 매칭박스(90), 급전코드(91), 기판홀더(87)를 통하여 고주파전력이 인가되도록 되어 있다.
진공반응용기(70)의 하부에는 도시하지 않는 진공펌프로 용기내의 공기를 빼내어 진공상태로 하기 위한 배기관(92)이 형성되어 있다. 또 진공반응용기(70)에는 도시하지는 않았으나 앞뒤로 예비가열용의 상기 처리용기와 막안정화용의 뒤처리 용기가 개폐용의 게이트밸브를 통하여 접속되어 있다.
이어서, 상기한 프라즈마 CVD장치를 이용하여 실시하는 박막형성방법에 대하여 설명한다.
진공반응용기(70)내의 공기를 빼니어 0.1Torr정도로 유지한다. 각 원료가스공급배관(86, 85)에서 캐리어가스와 함께 공급된 원료가스를 각 원료가스 유출전극(77, 78)의 가스유출구멍(81, 84)에서 각 기판(13')의 양면측으로 유출시킨다. 또한 배관(86)에는 배관(85)에 보내는 원료가스량의 2배의 양을 보내도록 한다.
각 기판(13')에 100~150W의 프라즈마전원(75)에서 고주파전력을 인가하면, 프라즈마는 각 기판(13')과 그 양측의 원료 가스 유출전극(77, 78)사이에서 일어나며, 각 원료가스 유출전극(77, 78)에서 유출되는 원료 가스가 프라즈마내에서 활성화되어 각 기판(13')의 양면에 동시에 박막이 형성된다. 이 경우, 각 기판(13')에 걸리는 고주파의 주파수는 13.56MHz로 한다.
고주파전력은 매칭박스(90)에서 전기적으로 정합되어 각 기판(13')에 인가되도록 되어 있다.
각 원료가스 유출전극(77, 78)은 중앙의 기판(13')에 대하여 좌우대칭이 되어 있으므로, 각각의 원료가스 유출전극(77, 78)으로부터의 원료가스의 유량이 같으며, 또 각각의 원료가스 유출전극(77, 78)과 기판(13')과의 거리는 같다. 배기는 중앙하부에서 이루어지며, 프라즈마내에 편중된 영향이 없도록 한다.
막형성시간은 약 30초정도이다. 막형성의 종료는, 원료가스의 공급을 정지한 다음에 고주파전원(75)을 끊으므로써, 막형성의 개시는 고주파를 기판(13')에 인가한 다음에 원료가스를 유출하므로써 이루어진다. 각 기판(13')은 막형성중에는 동일장소에서 지지된다. 기판홀더(87)는 되도록 얇게하여 진공내에서의 원료가스의 흐름이 흩어지지 않도록 하는 것이 바람직하다.
제24도는 또다른 프라즈마 CVD장치의 구성을 나타낸 것으로, 제20도와 대응하는 부분에는 동일기호를 붙여서 나타낸다. 본 실시예에서는 양면형의 원료가스 유출전극(72)을 2개 병렬로 설치하고, 3곳에서 기판(13')의 프라즈마 CVD처리를 할 수 있도록 한 예를 나타낸다.
이와같이 하면, 보다 많은 기판(13')의 막형성처리를 동시에 실시할 수 있다.
이상 상세하게 설명한 바와같이, 본 발명의 프라즈마 CVD에 의한 박막형성장치에 의하면, 기판에 견고하고 치밀한 막으로서 내식성 및 내마모성이 우수한 박막을 용이하게 형성할 수 있기 때문에, 본 발명은 자기디스크장치등의 제조분야에 있어서 극히 유용한 것이다.

Claims (7)

  1. 진공반응용기내에 소정의 간격을 두고서 서로 마주보도록 대향설치된 원료가스 유출전극과 ; 상기 원료가스 유출전극에 접속된 원료가스 유출수단과 ; 상기 원료가스 유출전극사이의 소정위치에 설치되는 피처리체를 지지하는 지지수단과 ; 상기 원료가스 유출전극을 접지하여 상기 피처리체에 소정의 고주파전력을 인가하는 고주파전력 인가수단을 구비하는 프라즈마 CVD에 의한 박막형성장치.
  2. 제1항에 있어서, 상기 지지수단은 상기 피처리체의 두께와 대략 같은 두께를 가지며, 상기 피처리체의 중심구멍에 끼워맞춰지는 끼워맞춤원판과, 상기 끼워맞춤원판의 외측둘레부에 조립되어 상기 끼워맞춤원판을 상기 피처리체에 지지시키는 확경걸림수단을 가지는 이너워크 홀더를 구비하는 프라즈마 CVD에 의한 박막형성장치.
  3. 제1항에 있어서, 상기 지지수단은 상기 피처리체의 외측둘레를 따르는 부분에 상기 피처리체의 두께와 거의 같은 두께의 균일한 두꺼운 부분이 맞닿으며, 또 상기 피처리체의 양면을 노출시킨 상태에서 상기 피처리체를 지지하는 링형상의 아우터워크 홀더를 구비하는 프라즈마 CVD에 의한 박막형성장치.
  4. 제1항에 있어서, 상기 피처리체가 자기디스크 소재인 프라즈마 CVD에 의한 박막형성장치.
  5. 제1항에 있어서, 상기 원료가스 유출전극과 상기 피처리체사이의 공간영역에 소정의 간격을 두고서 대향설치된 통전전극을 구비하며, 상기 지지수단이 상기 통전전극간의 소정 위치에 설치된 프라즈마 CVD에 의한 박막형성장치.
  6. 제2항에 있어서, 확경걸림수단은 스프링의 탄성압에 의하여 끼워맞춤원판을 피처리체에 지지시키는 것인 프라즈마 CVD의 박막형성장치.
  7. 제5항에 있어서, 상기 통전전극이 메시전극인 프라즈마 CVD에 의한 박막형성장치.
KR1019890700595A 1987-10-14 1988-10-14 프라즈마 cvd에 의한 박막 형성장치 KR930003136B1 (ko)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
JP257430 1986-10-29
JP62257429A JPH0719755B2 (ja) 1987-10-14 1987-10-14 プラズマcvdによる薄膜形成方法
JP257429 1987-10-14
JP25743087A JPH07118460B2 (ja) 1987-10-14 1987-10-14 プラズマcvd装置
JP62288325A JPH01130517A (ja) 1987-11-17 1987-11-17 プラズマcvd装置
JP288325 1987-11-17
JP194548 1987-12-22
JP19454887U JPH058673Y2 (ko) 1987-12-22 1987-12-22
JP194548(U) 1987-12-22
JP325748 1987-12-23
JP62325748A JPH01168021A (ja) 1987-12-23 1987-12-23 アウターワークホルダー
PCT/JP1988/001043 WO1989003587A1 (en) 1987-10-14 1988-10-14 Method and apparatus for thin film formation by plasma cvd

Publications (2)

Publication Number Publication Date
KR890702414A KR890702414A (ko) 1989-12-23
KR930003136B1 true KR930003136B1 (ko) 1993-04-22

Family

ID=27529101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019890700595A KR930003136B1 (ko) 1987-10-14 1988-10-14 프라즈마 cvd에 의한 박막 형성장치

Country Status (4)

Country Link
US (1) US4991542A (ko)
EP (1) EP0336979B1 (ko)
KR (1) KR930003136B1 (ko)
WO (1) WO1989003587A1 (ko)

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3931713C1 (ko) * 1989-09-22 1991-03-14 Balzers Ag, Balzers, Li
US5580384A (en) * 1989-09-22 1996-12-03 Balzers Aktiengesellschaft Method and apparatus for chemical coating on opposite surfaces of workpieces
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US6001432A (en) * 1992-11-19 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Apparatus for forming films on a substrate
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US7264850B1 (en) 1992-12-28 2007-09-04 Semiconductor Energy Laboratory Co., Ltd. Process for treating a substrate with a plasma
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
KR980009048A (ko) * 1996-07-30 1998-04-30 아마노 히로시 랩필름 수납 상자
DE19635736C2 (de) * 1996-09-03 2002-03-07 Saxonia Umformtechnik Gmbh Diamantähnliche Beschichtung
US6203862B1 (en) * 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
JP4496401B2 (ja) * 2000-09-14 2010-07-07 三菱電機株式会社 プラズマcvd装置および太陽電池の製造方法
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20110104381A1 (en) * 2004-01-15 2011-05-05 Stefan Laure Plasma Treatment of Large-Scale Components
KR100519778B1 (ko) * 2004-01-30 2005-10-07 삼성전자주식회사 양면 코팅용 플라즈마 cvd 장치 및 플라즈마 cvd 방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2006108395A1 (de) * 2005-04-11 2006-10-19 Dr. Laure Plasmatechnologie Gmbh Vorrichtung und verfahren zur plasmabeschichtung
US20090165714A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for processing substrates in chambers
US20090169341A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for handling objects in chambers
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
JP5164107B2 (ja) * 2008-07-01 2013-03-13 株式会社ユーテック プラズマcvd装置、薄膜の製造方法及び磁気記録媒体の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP6757403B2 (ja) 2015-08-21 2020-09-16 コーニング インコーポレイテッド ガラスを加工するための方法及び装置
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4317844A (en) * 1975-07-28 1982-03-02 Rca Corporation Semiconductor device having a body of amorphous silicon and method of making the same
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS60218826A (ja) * 1984-04-13 1985-11-01 Fujitsu Ltd 薄膜形成方法
JPS616277A (ja) * 1984-06-20 1986-01-11 Canon Inc プラズマcvd装置
JPH0694591B2 (ja) * 1984-06-29 1994-11-24 ミノルタカメラ株式会社 プラズマcvd装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4618477A (en) * 1985-01-17 1986-10-21 International Business Machines Corporation Uniform plasma for drill smear removal reactor

Also Published As

Publication number Publication date
KR890702414A (ko) 1989-12-23
EP0336979A1 (en) 1989-10-18
EP0336979A4 (en) 1990-12-27
EP0336979B1 (en) 1995-05-24
WO1989003587A1 (en) 1989-04-20
US4991542A (en) 1991-02-12

Similar Documents

Publication Publication Date Title
KR930003136B1 (ko) 프라즈마 cvd에 의한 박막 형성장치
JP4578979B2 (ja) 少なくとも1つの基板の表面を処理する方法および装置
KR20160133373A (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
TW353764B (en) Heating device of CVD device
WO1992010308A1 (en) Minimization of particle generation in cvd reactors and methods
JPH01251735A (ja) 静電チャック装置
JPH02148837A (ja) 半導体ウエーハの緊締装置及び方法
US11031273B2 (en) Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
JPH09283499A (ja) プラズマ処理装置
JP3962722B2 (ja) プラズマ処理装置
JPS63283025A (ja) 多接点カソードを備えたプラズマストリッパー
EP0730266A3 (en) Apparatus for plasma-processing a disk substrate and method of manufacturing a magnetic disk
JPS62123721A (ja) 処理装置
JP3009371B2 (ja) ダイヤモンド様炭素膜堆積装置
JPH05226289A (ja) 被処理体用載置装置及びそれを用いた処理装置
JP2537739Y2 (ja) 静電吸着装置
JPH01220447A (ja) プラズマ装置
JPH0351291B2 (ko)
JPH06136592A (ja) めっき装置
JPH03266428A (ja) プラズマエッチング方法
JP2005330518A (ja) プラズマ処理装置
JPS61238960A (ja) 基体の保持方法
CN112267103A (zh) 一种mocvd装置及其托盘
JPH058673Y2 (ko)
JPH0719755B2 (ja) プラズマcvdによる薄膜形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20000411

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee