KR920006261B1 - 반도체장치의 제조방법 및 그 장치 - Google Patents

반도체장치의 제조방법 및 그 장치 Download PDF

Info

Publication number
KR920006261B1
KR920006261B1 KR1019890003912A KR890003912A KR920006261B1 KR 920006261 B1 KR920006261 B1 KR 920006261B1 KR 1019890003912 A KR1019890003912 A KR 1019890003912A KR 890003912 A KR890003912 A KR 890003912A KR 920006261 B1 KR920006261 B1 KR 920006261B1
Authority
KR
South Korea
Prior art keywords
silicon
semiconductor device
layer
film
gas
Prior art date
Application number
KR1019890003912A
Other languages
English (en)
Other versions
KR890015361A (ko
Inventor
도루 와타나베
가츠야 오쿠무라
Original Assignee
가부시키가이샤 도시바
아오이 죠이치
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 도시바, 아오이 죠이치 filed Critical 가부시키가이샤 도시바
Publication of KR890015361A publication Critical patent/KR890015361A/ko
Application granted granted Critical
Publication of KR920006261B1 publication Critical patent/KR920006261B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Abstract

내용 없음.

Description

반도체장치의 제조방법 및 그 장치
제1도는 본 발명에 따른 장치의 제1실시예에 관한 전체구성도,
제2도는 CF4와 O3혼합가스의 마이크모파 방전프라즈마에 의한 실리콘(Si) 및 실리콘산화막(SiO2)의 에칭속도의 온도의존성을 나타낸 그래프,
제3도는 본 발명에 따른 장치의 제2실시예에 관한 전체구성도,
제4도는 CF4와 O2혼합가스의 마이크로파 방전프라즈마에 의한 Si 및 SiO2의 에칭속도와 가스유량비(O2/(CF4十O2))의 관계를 나타낸 그래프,
제5도는 기판재료와 그 산화물의 에칭속도의 비율이 5 이하로 되기위한 에칭조건의 영역을 나타낸 그래프,
제6도 및 제7도는 각각 상기 실시예 장치에 따른 반도체 장치의 제조공정의 일부를 나타낸 공정단면도,
제8도는 본 발명에 따른 장치의 제3실시예에 관한 전체 구성도,
제9도는 제3실시예에 따른 장치를 이용한 반도체장치의 제조공정의 일부를 나타낸 공정단면도,
제10도는 본 발명에 따른 장치의 제4실시예를 나타낸 전체구성도이다.
* 도면의 주요부분에 대한 부호의 설명
10 : 석영튜브
11,3-3,3-14,8-2,9-0,10-12,10-13 : 피퇴적기판(실리콘웨이퍼)
12,8-6,8-12,10-3,10-6, : 배기펌프 10A : He가스원
10B : SiH4가스원 13 : 외부히터
14 : 프라즈마방전실 15'8-13,10-8 : 마이크로파전원
16 : 프라즈마 17 : 공급관
3-1,8-3,10-11 : 로드실
3-2,3-11,3-20,8-15,8-18,8-19,10-15,10-16,10-17 : 게이트막
3-4,8-1,10-1 : 세정실 3-9,3-19 : 배기계
3-12,3-5,8-4,10-4,10-9 : 적외선히터
3-6,8-7,10-7 : 방전실 3-7 : 밸브
3-10,8-8,10-2 : 퇴적실
3-18,8-5,10-5,10-10 : 석영유리창 3-13,3-15 : 가스공급계
3-16 : 언로드실 6-1 하부실리콘확산층
6-2,6-6 : 다결정실리콘층 6-3 : 절연층
6-5 : 자연산화막층 7-1 : 실리콘기판
7-2 : 실리콘산화물 7-3,9-4 : 산화막
8-9 : 스퍼터링타케트 8-11 : 직류전원
8-14 : 도입계 9-1 : 제1층Al배선
9-2 : 층간절연층 9-3 : 접속구멍
[적용분야]
본 발명은 반도체장치의 제조방법 및 그 장치에 관한 것으로, 특히 중간반도체장치의 표면에 막을 형성시키기 위한 반도체장치의 제조방법 및 그 장치에 관한 것이다.
[종래의 기술 및 그 문제점]
[제1종래예와 그 문제점]
감압 CVD법에 의해 기판상에 다결정실리콘을 퇴적하는데에는, 그 퇴직에 앞서 하부층으로서 예컨대 제조도중의 중간반도체장치의 기판표면의 다결정실리콘층 또는 불순물확산층을 화학적으로 세정(洗淨)한다. 그후 상기 기판은 물로 씻겨지거나 대기중에 방치되거나 함으로써 기판표면에 자연산화막이라는 극히 얇은산화막이 성장되고, 또 상기 기판에다 CVD에 의한 다결정실리콘막을 퇴적하기 위해 반응관에 삽입한 경우에도 역시 상기 산화막이 성장하게 된다. 이후 CVD법을 실행하면 기관상의 산화막상에 다결정실리콘막이 퇴적되기 때문에 전기적인 도통이 이루어지지 않게 될 경우가 있게 되는데, 이상과 같은 기판이 다결정실리콘인 경우에 특히 현저하하다. 이것을 방지하기 위해 CVD법을 실행하여 기판상에 다결정실리콘막을퇴적시킨 다음 이온을 주입하여 산화막을 파괴함으로써 도통이 되게히는 소위 이온믹싱법이 있는 바, 이 이온믹싱법에서는 고가의 이온주입장치를 사용하지 않으면 않되고, 또 이온주입에 의해 소자에 손상이 야기된다는 결점이 있었다.
또 CVD법에 의해 형성된 다결정실리콘막상에 질화실리콘막을 퇴적시켜 필드산화시 마스크로서 사용하는 방법이 있는데, 이렇게 형성된 상기 다결정실리콘막상에서도 산화막의 형성된다. 이 산화막이 다결정실리콘막과 질화실리콘막이 경계에 끼어 있으면 이상산화가 발생하게 되는 경우도 적지않다.
[제 2 종래예와 그 문제점]
본 실시예에는 실리콘기판에 비소와 더불어 붕소등의 불순물을 확산시킬 경우, 상기 불순물을 포함한 다결정실리콘 또는 실리콘산화물등의 확산원을 실리콘기판상에 퇴적시킴으로서 상기 불순물을 기판의 내부에 확산시키는 방법인 바, 이 방법에 의해 상기 확산된과 실리콘기판의 경계에 상기한 실리콘산화물층이 끼어있으면, 그 실리콘산화물층이 확산장벽으로 작용해서 실리콘기판에 대한 불순물의 확산이 억제되어 버린다.
상기한 바와 같이 확산원을 실리콘기판에 퇴적시키기에 앞서, 실리콘기판을 세정처리한 후 대기에 노출시키면 그 실리콘기판의 표면에 대단히 얇은 산화막이 형성되고 이 산화막이 존재함으로써 실리콘기판상에 불순물이 확산된다.
[제 3종래예와 그 문제점]
알루미늄을 이용한 다층배선을 수행할 경우, 제1층째의 알루미늄배선상을 피복하는 층간절연막에 접속구멍을 설치하고, 상기 절연막상에 제2층째의 알루미늄배선을 퇴적하게 되는데, 이 경우 제1층째의 Al배선의 표면중의 접속구멍을 매개해서 대기에 노출되어 있는 부분이 산화되어 알루미늄층으로 되는 경우가 많다. 이 산화물(알루미나)에 의해 도통비율이 저하되는 것을 피할 수 없게 되는바, 이것을 피하기 위해 제2층째의 Al배선을 퇴적하기 직전에 아르곤이온을 이용한 스퍼터에칭법(sputter etching 法)으로 상기 산화물(알루미나)을 제거시킨 상태에서 대기에 노출시키지 않고 제2층째의 Al배선용의 알루미늄막을 퇴적하는 방법이 채택되고 있다. 그러나 이 방법을 이용할 경우 아르곤의 이온빔으로 반도체기판을 조사하게 되는 수단을 이용해야만 하기때문에 게이트파괴등의 손상을 야기한다고 하는 결점이 있었다.
[제 4 종래예와 그 문제점]
WF6와 H2가스를 이용해서 실리콘상에만 선택적으로 W를 퇴적시키는 선택 CVD법이 있는바, 이 선택 CVD법을 실시하려고 하는 실리콘의 표면에 얇은 산화막이 형성되어 있으면 그 실리콘표면에는 W가 퇴적되지 않는다. 또한 본래 W는 퇴적되지 않는 산화막의 표면에도 그 표면이 오염되어 있으면 W가 불균일하기는 하지만 퇴적이 된다. 이 때문에 선택 CVD의 재현성이 부족하다는 결점이 있었다.
이와 같이 종래기술에서는 중간반도체장치의 표면에서 산화막의 영향을 받지않고 새롭게 다른 막을 형성시키는 것이 실제상 곤란하고, 이에 착안해서 그 산화막을 제거하려고 하면 중간반도체장치가 손상을 받게된다는 난점이 있었다.
[발명의 목적]
본 발명은 상기한 점을 감안해서 발명된 것으로, 장치에 손상을 가하지 않고 중간반도체장치표면의 산화막을 제거한 상태에서, 중간반도체장치표면에 막을 형성시킬 수 있는 반도체장치의 제조방법 및 그 장치를 제공하는데 그 목적이 있다.
[발명의 구성]
상기 목적을 달성하기 위한 본 발명에 따른 반도체장치의 제조방법은, 할로겐화합물가스와 산소가스로 이루어지는 혼합가스의 방전활성종(放電活性種)에 의해 상기 혼합가스의 유량에 대한 상기 산소가스의 유량의 비와 상기 중간반도체자치의 온도를 제어함으로써 실리콘의 에칭속도와 실리콘산화물의 에칭속도를 가급적 근접시킨 에칭조건하에서 하부층으로서의 중간반도체장치표면의 산화막을 제거하는 공정과, 상기 산화물을 제거한 상기 중간반도체장치의 표면을 대기에 노출시키지 않고 상기 표면에 막을 형성시키는 공정을 구비해서 구성된다.
또 바람직한 본 발명에 따른 반도체장치의 제조방법은, 에칭조건이 실리콘산화물의 에칭속도에 대한 실리콘의 에칭속도의 에칭속도비가 5 이하인 것으로서 구성된다.
그리고 상기와 같은 비가 5 이하인 에칭조건은 예컨대 혼합가스의 유량에 대한 산소가스의 유량의 비를 Y(%)로 하고 중간반도체장치의 온도를 T(℃)로 했을때
Y
Figure kpo00001
-0.13% +106.3
으로 되는 식을 만족시킴으로써 얻어지는 것으로서 본 발명에 따른 반도체장치의 제조방법이 이루어진다.
한편 본 발명에 따른 반도체장치의 제조장치는, 하부층으로서의 중간반도체장치표면의 산화막을 할로겐화합물가스와 산소가스로 이루어지는 혼합가스의 방전활성종에 의해 상기 혼합가스의 유량에 대한 상기 산소가스의 유량의 바와 상기 중간반도체장치의 온도를 제어함으로써 실리콘의 에칭속도와 실리콘산화물의 에칭속도의 차를 작게한 에칭조건하에서 제거하는 수단과, 상기 산화물을 제거한 상기 중간반도체장치의 표면을 대기에 노출시키지 않고 상기 표면에 막을 형성시키는 수단을 구비한 젓으로서 구성된다.
[작용]
상기와 같이 구성된 본 발명은, 산소가스와 할로겐화합물가스로 이루어지는 혼합가스의 유량에 대한 산소가스의 유량의 비와 중간반도체장치의 온도의 양쪽을 제어하기 때문에 실리콘과 실리콘산화물의 각각의 에칭속도를 가급적 근접시킨 에칭조건이 형성되고, 이러한 에칭조건하에서 중간반도체장치표면의 산화막이 혼합가스의 방전활성종에 의해 상기 반도체장치에 손상을 주지 않고 제거되며, 그 표면에는 막이 형성된다.이렇게 해서 산화막을 제거시킨 후 표면이 대기에 노출되지 않기 때문에 산화막이 존재하지 않는 상태에서 양호하게 막을 형성시킬 수 있게된다.
상기 에칭조건을 실리콘산화물의 에칭속도에 대한 실리콘의 에칭속도의 에칭속도비를 5이하로 함으로써 상기 산화막의 제거가 적정하게 이루어진다.
또 에칭속도비가 5 이하인 에칭조건은 혼합가스의 유량에 대한 산소가스의 유량의 비를 Y(%)로 하고, 중간반도체장치의 온도를 T(℃)로 했을때
Y
Figure kpo00002
-0.13T +106.3
으로 되는 식을 만족시킴으로써 얻어지게 된다.
[실시예]
먼저 본 발명의 실시예를 설명하기에 앞서 본 발명이 이루어지게 된 배경을 설명한다.
즉 중간반도체장치에 손상을 주지않고 감압분우기하에서 하부층막의 표면산화층을 제거하는 데에는 방전프라즈마에 의한 활성종을 수송해서 에칭을 수행하는 소의 화학적 기상성장법이 가장좋다. 그러나 화학적기상성장법에서는 임의 재료의 산화물의 에칭속도는 통상 그 재료자세의 에칭속도에 비해 현저하게 작다. 예컨대 실리콘의 에칭속도는 실리콘산화물의 에칭속도에 비해 약 30배인 바, 이 방법을 이용해서 표면산화층을 어떠한 방법을 써서 제거하더라도 표면산화층의 두께는 불균일하게 된다. 이 때문에 얇은부분에서는 하부층이 크게 에칭되어 버려 실용적이지 못하다. 그러나 화학적 기상성장방식에 있어서도, 재료와 그 산화물의 에칭속도의 비가 상당히 작아지는 조건이 본 발명자에 의해 발견되었고, 이 방법을 이용함으로써 하부층표면의 산화막을 유요하게 제거할 수 있었다.
또 표면의 산화층 및 화학적 기상성장법으로 제거되는 오염층을 제거시킨 후, 산화막의 재성장과 더불어 두번째의 표면오염을 방지하기 위해서 감압분위기를 손상시키기 않고 연속해서 막을 퇴적하는 장치를 이용함으로써 양질의 막을 형성할 수 있었다.
본 발명은 이상의 관점에 기초해서 이루어진 것이다. 이하 예시도면에 의거해서 본 발명에 따른 각 실시예를 상세히 설명한다.
[제 1 실시예]
본 실시예는 실리콘 또는 다결정실리콘 하부표면층의 산화막층을 제거한 다음 연속해서 다결정실리콘과, 불순물을 포함한 다결정실리콘, 실리콘질화물 및, 실리콘산화물을 퇴적하는 장치의 예를 나타낸 것인 바, 상기 장치를 이용해서 반도제장치 제조공정에 응용한 예를 몇가지 서술한다.
먼저 제1도는 본 실시예에 따른 장치의 개요를 나타낸 것으로, 이 장치는 다음과 같이 작용하도록 구성되어 잇다. 즉 퇴적실(堆積室)로 사용되는 석영튜브(10)내에 피퇴적기판(11 ; 실리콘웨이퍼)을 삽입한 후 배기펌프(12)를 이용해서 석영튜브(10)를 감압하고, 이 석영튜브(10)내에 He 가스원(10A)과 SiH4가스원(10B)으로부터 He과 SiH4가스를 공급한다. 이어 외부히터(13)를 이용해서 석용뷰트(10)내의 웨이퍼(11)를 600℃ 전후로 가열시키면 웨이퍼(11)에 다결정 실리콘막이 퇴적된다. 이상이 감압 CVD장치인데, 본 실시예장치에서는 상기 장치에 프라즈마방전실(14)을 연결하고, 이 방전실(14)에서는 CF4가스와 O2가스가 공급관(17)을 매개해서 공급될 수 있도록 구성되어 있다. 상기 가스를 방전실(14)에 공급한 상태에서 마이크로파전원(15)으로부터 전력을 방전실(14)에 공급함으로써 상기 가스의 프라즈마(16)를 발생시키게 된다.
이어 상기와 같은 장치를 이용한 본 발명에 따른 방법의 실시예 대해 상세히 설명한다.
먼저 다결정실리콘과 더불어 확산층이 일부 노출된 실리콘웨이퍼를 통상의 화학약액(化學藥液)을 이용한 세정ㆍ수세(水洗)ㆍ건조공정을 거친후 석영튜브(10)내에 삽입한 다음 석영튜브(10)내를 배기펌프(12)에 의해 10-2Torr 전후로 될때까지 감압하면서 히터(13)를 사용해서 600℃까지 온도를 상승시킨다. 그후 방전실(14)을 배기펌프(12)를 이용해서 배기시키면서 O2/전체가스유량비가 약 50%인 조건이 되도록 CF4와 O2가스를 약 0.1Torr의 압력이 되도록 공급한다. 그리고 마이크로파전원(15)으로부터 마이크로파전력을 방전실(14)에 인가함으로써 프라즈마를 발생시킨 다음, 이 프라즈마로 부터 불소가스의 활성종(F*)을 퇴적실(10)내에 공급하게 되는데, 이 활성종(F*)에 의한 Si과 SiO2의 에칭속도의 온도의존성은 제2도에 나타낸다. 즉 저온(실온∼150℃)에서는 Si과 SiO2의 에칭속도의 차가 크지만 400℃ 이상으로 되면 이 에칭속도의 차가 줄어들기 때문에 600℃가지 온도가 상승된 실리콘웨이퍼에 상기한 활성종(F*)을 조사하면, 노출되어 있던 다결정실리콘이라든지 확산층상에 형성되어 있던 대단히 얇은 실리콘산화막(50∼100Å)이 에칭되기에 충분히 에칭될 수 있는 시간동안 에칭을 수행해도 실리콘과 더불어 다결정실리콘도 상기 다결정실리콘이라든지 실리콘산화막과 같은 정도밖에 에칭되지 않기 때문에 에칭속도의 차를 거의 무시할 수 있다.
본 발명에 의하면, 표면산화막층을 에칭시킨 후 감압분위기를 손상시키지 않고 감압(CVD법에 의해 다결정실리콘을 퇴적하는 것이 가능하고, 또 본 장치에서는 As와 B와 P등의 불순물을 포함한 가스를 이용하먼, 불순물을 포함한 다결정실리콘을 퇴적하는 것도 가능하며, 암모니아를 이용한 실리콘질화막의 퇴적도 가능하다.
[제 2 실시예]
다음에 상기 제1실시예에 장치의 하나의 변형으로서, 다결정실리콘 또는 실리콘질화막을 퇴적하는 감압CVD장치에 있어서, 표면처리실과 막퇴적실을 분리하여 별도로 구성한 경우인 제2실시예를 제3도를 이용해서 설명한다.
먼저 상기한 바와 같은 피퇴적기관인 웨이퍼가 먼저 로드실(3-1 ; 1oad室)에 도입된 후, 로드실(3-1)은 진공배기되고, 이어 케이트막(3-2 ; gate幕)이 열려 웨이퍼(3-3)가 제3도에 나타낸 바와 같이 세정실(3-4)로 반송되는데, 이 세정실(3-4)은 배기계(3-9; 排氣系)에 의해 항상 감압되어 있다. 상기 세정실(3-4)내에서 적외선히터(3-5)에 의해 석영유리창(3-17)을 통해 웨이퍼(3-3)의 온도가 600℃까지 급속하게 가열된 후 상기 세정실(3-4)과 방전실(3-6) 사이의 밸브(3-7)가 열려지게 됨으로써, CF4와 O2의 혼합가스가 방전실(3-6)에 공급되고, 여기서 마이크로파전원(3-8)에서 인가되는 마이크로파전력에 의해 방전이 여기된다. 이 방전에 의해 생성된 활성종은 세정실(3-4)에 공급되어 상기 제1실시예와 마찬가지로 웨이퍼(3-3)표면상의 실리콘산화막을 제거시킨다. 그후 세정실(3-4)은 다시 배기계(3-9)에 의해 배기ㆍ감압된 다음 세정실(3-4)가 퇴적실(3-10) 사이의 게이트막(3-11)을 열어 웨이퍼(3-3)를 퇴적실(3-10)로 보낸다. 이 퇴적실(3-10)은 배기계(3-19)를 퇴적실(3-10)로 보낸다. 이 퇴적실(3-10)은 배기계(3-19)로 배기되고 있고, 상기 퇴적실(3-10)에서 웨이퍼(3-14)가 적외선히터(3-12)에 의해 석영유리창(3-18)을 통해 약 600℃ 전후로까지 가열되어 온도가 상승된다. 그리고 가스공급계(3-13)로부터 SiSiH4와 He이 공급되어 감압 CVD법에 의해 웨이퍼(3-14)상에 다결정실리콘이 퇴적된다.
상기한 동작에 의해 다결정실리콘의 퇴적이 완료되고, 웨이퍼(3-14)가 케이트막(3-20)으로부터 언로드실(3-16 ; unload 室)로 반출된 후 가스공급계(3-15)를 열어 방전실(3-6)로부더 활성종은 퇴적실(3-10)에 공급함으로써 퇴적실(3-10)의 벽에 부착된 다결정실리콘도 유효하게 제거ㆍ세정할 수 있게 된다.
또 이 제2실시예에서는 웨이퍼(3-3, 3-14)를 가열하기 위해 적외선히터(3-5, 3-12)를 이용했지만, 다른 가열수단 예컨대 저항선가열수단을 이용해서 가열해도 상기와 마찬가지의 효과를 얻을 수 있다.
또 상기 제2실시예에서는, 세정실(3-4)에서 에칭을 고온조건하에서 수행했지만 방전실(3-6)로 공급되는 가스, 즉 CF4와 O2의 비율을 변화시키면 상기한 경우보다는 낮은 온도, 에컨대 실온에서도 상기와 동일한 세정을 할 수 있게된다.
제4도는 상기한 바를 나타낸 것으로 실온조건에서 CF4와 O2의 비율을 변화시킬때 다결정실리콘과 실리콘산화막의 에칭속도의 변화를 나타낸 것이다. 상기 제4도에 나타낸 바와 같이, CF4와 O2의 비율을 증가시키면 Si와 SiO2의 에칭속도의 차가 서서히 줄어드는데, 이와 같은 가스조건을 이용함으로써 실온에서도 실리콘표면의 산화막을 유효하게 제거할 수 있게 된다.
따라서 상기 제2실시예의 표면처리에 있어서는, 실리콘과 실리콘산화물의 에칭속도를 근접시킨다는 것이 중요한 점이다. 한편 본 발명에 관여한 발명자를 이 할로겐을 포함하는 화합물가스와 산소가스를 혼합한 가스로 충분한 연구를 거듭한 결과 중요한 파라메터는 가스유량비와 온도인 것을 알았다. 따라서 산화막두께와 더불어 에칭의 균일성으로부터 판단해서 실리콘과 실리콘산화물의 에칭속도비의 실용적인 값는 5 이하인것으로 판단되었다. 이것을 만족하는 영역을 통합해서 도시한 것이 제5도의 사선으로 나타낸 영역이다. 이영역을 식으로 나타내면, 혼합가스의 전체가스유량비에 대한 산소가스의 유량비를 Y(%)로 하고, 중간반도체장치의 온도를 T(℃)로 하면
Y
Figure kpo00003
-0.13T +106.3
으로된다.
이어 상기 각 실시예의 반도체장치제조공정에 대한 응용예를 설명한다.
제6도는 하부실리콘확산층(6-1 ; 제6도(a-1), 제6도(a-2))과 더불어 불순물을 포함한 다결정실리콘층(6-2 ; 제6도(b-1), 제6도(b-2))에 직접 불순물을 포함한 다결정실리콘으로 도통을 취하는 경우의 공정을 개념적으로 나타낸 것이다.
이와 같은 공정의 실시예 대해서는 제6도(a-1)과 제6도(b-1)에 나타낸 바와 같이 하부확산층(6-1) 또는 다결정실리콘층(6-2)상에 실리콘산화막등의 절연층(6-3)이 퇴적된 후, 상기 절연층(6-3)에 도통 다결정실리콘을 접속시키기 위한 개공(6-4 ; 開孔)이 설치된다. 이와 같은 상황에서는 통상 다결정실리콘(6-2)의 표면 또는 실리콘확장층(6-1)의 표면에는 얇은 자연산화막층(6-5)이 존재하게 되는데, 실리콘에 불순물이 포함되어 있으면 상기 산화막(6-5)이 존재하게되는데, 실리콘에 불순물이 포함되어 있으면 상기 산화막(6-5)의 형성된 촉진되는 경향이 있다. 따라서 상기 산화막(6-5)상에 다결정 실리콘을 퇴적해도 전기적도통을 확실히게 보장되지 않는다.
그런데 상기 각 실시예의 장치를 이용하면 상기 산화막(6-5)을 제거할 수 있고, 상기 산화막(6-5)을 제거시킨 후 연속해서 상층의 다결정실리콘(6-6)을 퇴적할 수 있으므로 양호한 전기적도통이 확보된다(제6도(a-2), 제 6도(b-2)).
다음에 상기 각 실시예의 또다른 응용예를 제 7도에 나타낸다. 제 7도는 실리콘기파에 대해 불순물확산공정을 이용한 경우를 나타낸 것이다. 제7도(a)에 나타낸 바와 같이 실리콘기판(7-1)상에 As와 P 및 B등의 불순물을 포함한 실리콘산화물(7-2)을 퇴적한 후 열처리에 의해 실리콘산화물(7-2)중의 불순물을 실리콘기판(7-1)에 확산시킨다. 상기의 공정에 있어서 기판(7-1)의 표면에 산화막(7-3)일 잔존하고 있으면 그 부분에서의 확산이 저해되는데(제7도(a)), 상기 제1실시예에 따른 장치를 사용하여 실시함으로써 제7도(b)에 나타낸 바와 같이 실리콘기판(7-1)중으로 불순물확산을 균일하게 수행할 수 있게 된다.
또 여기에서는 도시되지 않아지만 상기 각 실시예는 실리콘질화막형성공정으로도 응용할 수 있는데, 이 공정은 실리콘기판상에 실리콘산화막과 다결정실리콘 및 실리콘질화막의 차례로 퇴적을 수행한 다음 실리콘질화막의 소정패턴을 형성시키고, 이것을 선택산화의 마스크로 사용함으로서 필드영역을 형성시키는 공정이다. 이 공정에 있어서, 상기 각 실시예를 실시하면 다결정실리콘상의 실리콘산화막을 제거해서 필드산화의 경우의 이상산화를 방지하고 미세한 필드영역을 형성시킬 수 있다.
[제 3 실시예]
제8도는 로드로크(1oad lock) 기능을 갖춘 스퍼터링장치를 이용해서 상기 세정수단을 구성한 제3실시예를 나타낸 것이다.
상기 제8도에 있어서, 기밀성(機密性)을 갖춘 세정실(8-1)에 피퇴적기판인 실리콘웨이퍼(8-2)가 로드실(8-3)로부터 반입되는데, 상기 웨이퍼(8-2)는 외부에 설치된 적외선히턱(8-4)에 의해 석영유리창(8-5)을 통해 가열되고, 상기 세정실(8-1)은 배기펌프(8-6)에 의해 진공상태로 될 수 있게 구성되어 있다. 이와 같은 세정실(8-1)에는 방전실(8-7)이 연결되어 있고, 이 방전실(8-7)은 제1실시예와 동일하게 구성된다. 이와 같은 세정실(8-1)에 인접해서 통상의 스퍼터링이 수행되는 퇴적실(8-8)이 설치되어 있고,이 퇴적실(8-8)에는 스퍼터링타계트(8-9)와, 해당 타게트에 대향(對向)하는 실리콘웨이퍼(8-10), Ar가스를 공급해서 타케트(8-9)의 표면측에 프라즈마를 발생시키기 위한 직류전원(8-11) 및, 진공으로 만들기위한 배기펌프(8-12)가 각각 설치되어 있다. 도면중 참조부호 8-13은 마이크로파전원, 8-15와 8-18 및 8-19는 케이트막이다.
다음에 이와 같은 장치를 이용해서 알루미늄의 다층배선 공정을 실시하는 경우, 특히 제2층 알루미늄을 형성시키는 경우에 대해 제9도를 참조해서 설명한다.
제9도는 웨이퍼(9-0 ; 8-2)의 단면개념도를 나타낸 것으로, 제1층 Al배선(9-1)이 미리 형성된 상태에서 이 Al배선(9-1)상에 층간절연층(9-2)이 퇴적되어 있고, 이 층간절연층(9-2)에는 층간절연층(9-2)상에 형성되는 제2층 Al배선(도시되지 않았음)과 제1층 Al배선(9-1)을 접속하기 위한 접속구멍(9-3)이 뚫려져 설치되어 있으며 제 1 Al배선(9-1)의 표면층 접속구멍(9-3)에 노출된 부분에 산화막(9-4)이 형성되어 있다.
이와 같은 웨이퍼(9-0 ; 8-2)를 제8도에 나타낸 바와 같이, 로드실(8-3)로부터 세정실(8-1)로 보내고 세정실(8-1) 및 방전실(8-7)을 배기계(8-6)에 의해 고진공으로 배기시킨다. 그후 Cl2와 NF3및 O2의 혼합가스를 방전실(8-7)로 공급하고, 0.1Torr 전후의 압력을 유지해서 마이크로파전원(8-13)에 의해 마이크로파전력을 인가함에 따라 방전실(8-7)에 방전이 여기되어 방전실(8-7)중에 많은 활성종이 형성된다. 이 활성종은 공급계(8-14)를 통해 세정실(8-1)의 내부에 인도되는 한편 세정실(8-1)의 내부에서는 웨이퍼(8-2)의 표면이 적외선히터(8-4)에 의해 약 450℃로 가열되어 온도가 상승하게 된다. 이와 같은 고온에서는 웨이퍼(8-2 ; 9-0)의 제1층 Al배선(9-1 ; 제9도 참조)의 표면에 형성되어 있는 산화막(9-4 ; 알루미나층)은 염소(C1) 또는 불소(F)의 기(基 ; radical)를 포함한 활성종에 의해 용이하게 에칭제거된다. 또 이와 같은 높은 온도에서는 상기 활성종과 A1 및 알루미나층과의 반응속도가 거의 같기 때문에 얇은 표면인 알루미나층(9-4)을 제거할 경우에도 하부층의 Al배선(9-1)은 거의 손상을 받지않는다. 이와 같은 세정공정이 완료된 후 게이트막(8-15)을 열어서 상기 웨이퍼(8-2)를 퇴적실(8-8))로 반입하고 이 퇴적실(8-8)내에서 제2층 Al배선용의 알루미늄막을 스퍼터퇴적한다. 이와 같이 해서 제2층 Al배선을 형성시키면, 제1층과 제2층 Al배선의 도통보류는 99.9999%로 현저하게 향상되는 것이 확인되었다.
제8도에 나타낸 장치에서는 세정실(8-1)과 퇴적실(8-8)을 분리해서 설치하였지만, 상기 세정실(8-1)과 퇴적실(8-8)을 동일한 챔버실(chamber 室)로 사용해서 세정과 퇴적을 연속처리에 의해 수행해도 된다. 즉, 상기와 같은 경우 반응실(동일한 챔버실)에 웨이퍼가 인도되면, 반응실은 진공상태로 배기됨과 동시에 웨이퍼가 가열된다. 그리고 방전실(8-7)에서 활성종이 반응실로 공급되어 제1층 Al배선(9-1)상의 알루미나층(9-4)이 제거된다. 그후 또 한번 반응실은 고진공상태까지 배기되기 때문에 알르곤드의 가스가공급되어 DC방전에 수반하여 스퍼터에 의해 제2층 Al배선을 선을 위한 알루미늄층이 퇴적된다.
[제 4 실시예]
로드로크기능을 갖춘 냉각벽형(cold wal1 型) 강압 CVD장치에 의한 제4실시예를 제10도를 이용해서 설명한다. 제10도에 나타낸 장치의 세정실(10-1)은 제8도의 장치의 세정실(8-1)과 동등하게 구성되어 있다. 또 상기 세정실(10-1)에 인접해서 텅스텐의 선택 CVD를 실행하기 위한 퇴적실(10-2)이 설치되어 있고, 이 퇴적실(10-2)에는 배기펌프(10-3)와 적외선히터(10-4) 및 석영유리창(10-5)이 설치되어 있으며, 상기 퇴적실(10-2)으로 WF6와 H2가 공급될 수 있도록 구성되어 있다. 여기서 도면중 참조부호 웨이퍼, 10-15 내지 10-17은 게이트막을 각각 나타낸다.
다음에 이와 같은 장치에 의한 웨이퍼의 처리에 대해 설명한다.
먼저 접속구멍이 뚫려진 실리콘웨이퍼를 통상의 화학약 액을 이용해서 세정과 수세 및 건조공정을 거친후 세정실(10-1)로 반입하고, 제8도의 경우와 동일하게 세정실(10-1)에는 방전실(10-7)에서 여기된 활성종이 공급된다. 이 활성종에 의해 먼저 접속구멍을 갗춘 웨이퍼에 대해서는 그 구멍의 저부(底都)에서 대기에 노출되어 있는 실리콘표면상의 얇은 실리콘자연산화막이 요이하게 에칭제거됨에 따라 실리콘표면은 산화막은 존재하지 않는 청정면으로 되고, 도 접속구멍을 갗추지 않은 웨이퍼에 있어서는 실리콘의 표면이 실리콘산화막으로 씌워지면서 그 실리콘산화막의 표면은 상당히 오염된 상태로 존재하게 되는데, 그 오염원인의 주요물질은 진공펌프오일(眞空pump oil)로 대표되는 유기물이다. 그런데 상기 CF4와 O2의 혼합가스에 의한 방전활성종은 상기 유기물을 효과적으로 제거할 수 있고, 또 상기 혼합가스는 실리콘산화막표면도 약간 에칭하게 되므로 실리콘표면의 오염층은 완전히 완전히 제거되어 본래의 청정한 실리콘산화막이 드러난다.
이와 같은 상태에서 세정실(10-1)은 배기펌프(10-6)에 의해 배기되고, 웨이퍼(10-12)는 퇴적실(10-2)로 반송되는데, 이 퇴적실(10-2)는 배기펌프(10-3)에 의해 미리 진공상태로 유지되어 있으므로 웨이퍼(10-13)의 표면은 청정한 상태를 그대로 유지하게 된다. 한편 상기 퇴적실(10-2)로. WF8와 H2가스가 공급되어 통상의 조건으로 W를 접속구멍내에 선택적으로 퇴적시킨다.
상기 공정에 있어서, 실리콘 및 실리콘산화막의 표면은 그 본래의 성질이 발휘되도록 청정하게 유지되고, 표면상태에 따라 민감한 반응을 나타내는 W의 선택퇴적을 우수한 재현성으로 실현할 수 있다. 상기 공정을 실시할 경우에도 제8도의 장치의 변형예의 경우와 마찬가지로 1개의 반응실을 이용해서 시계열(時系列)로 반응을 수행해도 하등문제가 없다. 또한 애피텍셜성장을 수행하는 퇴적장치에 상기한 바와 같은 방전실을 함께 설치해서 퇴적전에 퇴적면에 대해 방전활성종에 의한 드라이크리닝을 500∼600℃의 비교적 저온에서 실시한 후 에피택셜성장을 시켜도 된다.
상기 제3도와 제8도 및 제10도의 장치에 의한 처리예에서는 실리콘 또는 알루미늄표면에 존재하는 산화층의 제거에 대해 다룬것이고, 또 산화층제거를 위한 가스는 CF4와 NF3및 Cl2이었다. 그러나 본 발명에 따른 실시예에 대해서는, 하부층재료의 표면의 산화층을 제거해서 상층과의 접합을 개선하거나, 또 상층의막의 질을 좋게하기 위한 것이라면 다른 물질, 예컨대 고융점금속과 더불어 그 실리콘화합물로 적용할 수있고, 산화막제거를 의해서는 할로겐을 포함하는 다른 화합물가스, 예컨대 CHF3와 더불어 CFCl3등을 사용해도 하등문제가 없다.
[제 5 실시예]
실리콘기판을 세정하여 청정한 상태로 된 실리콘표면을 대기에 노출시킨다 해도 대기중에 부유하고 있는 하이드로 카본미스트(hydrocarbon mist)와 더불어 유산(硫酸)ㆍ염산(鹽酸)미스트 또는 오일미스트(oilmist)가 청정이 완료된 실리콘의 표면에 흡착되어 다시 오염된다. 이 불순물의 흡착후, 이 불순물상에 게이트산화막과 같은 얇은 산와막을 성장시키려고 해도 양호한 산화막을 얻을 수 없게 되는데, 이와 같은 불순물흡착을 피하기 위해 상기 세정방법을 산화장치에 조립하여 구성시킨 예를 다음에 설명한다.
즉, 제1도에 나타낸 장치를 이용하고, 실리콘기판을 석영튜브(10)내에 삽입하여 감압해서 상기 경우와 마차가지로 하여 실리콘기판를 세척한 후 산화성가스, 예컨대 O2또는 H2O를 석영튜브(10)내에 공급해서 실리콘을 산화시킴으로써 재현성이 좋고 내압이 양호한 게이트산화막으로서 작용할 수 있다.
[발명의 효과]
본 발명에 의하면, 산소가스와 할로겐화합물가스로 이루어지는 혼합가스의 유량에 대한 산소가스의 유량의 비와 중간반도체장치의 온도의 양쪽을 제어함으로써 실리콘과 실리콘산화물의 각각의 에칭속도를 가급적 근접시킨 에칭조건을 용이하게 형성할 수 있고, 이와 같은 조건하에서 반도체장치에 손상을 주지않고 중간반도체장치표면의 산화막을 제거한 상태에서 새로운 막을 형성시킬 수 있다.

Claims (4)

  1. 할로겐화합물가스와 산소가스로 이루어지는 혼합가스의 방전활성종에 의해 상기 혼합가스의 유량에 대한 상기 산소가스의 유량의 비와 상기 중간반도체장치의 온도를 제어함으로써 실리콘의 에칭속도와 실리콘산화물의 에칭속도를 가급적 근접시킨 에칭조건하에서 하부층으로서의 중간반도체장치표면의 산화막을 제거하는 공정과, 상기 산화물을 제거한 상기 중간반도체장치의 표면을 대기에 노출시키지 않고 상기 표면에 막을 형성시키는 공정을 구비하여 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  2. 제1항에 있어서, 에칭조건이 실리콘산화물의 에칭속도에 대한 실리콘의 에칭속도의 에칭속도비가 5 이하인 것을 특징으로 하는 반도체장치의 제조방법.
  3. 제 2 항에 있어서, 에칭속도가 5 이하인 에칭조건이 혼합가스의 유량에 대한 산소가스의 유량으리 비를 Y(%)로 하고 중간반도체장치의 온도를 T(℃)로 했을때
    Y
    Figure kpo00004
    -0.13T +106.3
    으로 되는 식을 만족시킴으로써 얻어지도록 된 것을 특징으로 하는 반도체장치의 제조방법.
  4. 할로겐화합물가스와 산소가스로 이루어지는 혼합가스의 방전활성종에 의해 상기 혼합가스의 유량에 대한 상기 산소 가스의 유량의 비와 상기 중간반도체장치의 온도를 제어함으로써 실리콘의 에칭속도와 실리콘산화물의 에칭속도의 차를 작게 한 에칭조건하에서 하부층으로서의 중간반도체장치 표면의 산화막을 제거하는 수단(10, 3-4)과, 상기 산화물을 제거한 상기 중간반도체장치의 표면을 대기에 노출시키지 않고 상기 표면에 막을 형성하는 수단(10, 3-10)을 구비하여 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
KR1019890003912A 1988-03-28 1989-03-28 반도체장치의 제조방법 및 그 장치 KR920006261B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP63-73628 1988-03-28
JP63073628A JP2768685B2 (ja) 1988-03-28 1988-03-28 半導体装置の製造方法及びその装置
JP88-73628 1988-03-28

Publications (2)

Publication Number Publication Date
KR890015361A KR890015361A (ko) 1989-10-30
KR920006261B1 true KR920006261B1 (ko) 1992-08-01

Family

ID=13523770

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019890003912A KR920006261B1 (ko) 1988-03-28 1989-03-28 반도체장치의 제조방법 및 그 장치

Country Status (4)

Country Link
US (1) US5067437A (ko)
EP (1) EP0335313A3 (ko)
JP (1) JP2768685B2 (ko)
KR (1) KR920006261B1 (ko)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01298181A (ja) * 1988-05-25 1989-12-01 Hitachi Ltd ドライエッチング方法
JP2744934B2 (ja) * 1989-07-25 1998-04-28 東京エレクトロン株式会社 縦型処理装置
EP0413239B1 (en) * 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
US6047713A (en) * 1994-02-03 2000-04-11 Applied Materials, Inc. Method for cleaning a throttle valve
US5425810A (en) * 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
KR100472175B1 (ko) * 1997-08-25 2005-06-28 삼성전자주식회사 몰리브덴또는몰리브덴합금을이용한반도체장치의제조방법
US5877064A (en) * 1997-07-15 1999-03-02 Taiwan Semiconductor Manufacturing Co.Ltd Method for marking a wafer
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
NL1009767C2 (nl) * 1998-07-29 2000-02-04 Asm Int Werkwijze en inrichting voor het etsen van een substraat.
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP5089121B2 (ja) * 2006-09-29 2012-12-05 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびプラズマ処理装置
TWI421913B (zh) * 2008-08-26 2014-01-01 He Jian Technology Suzhou Co Ltd 一種在爐管中沉積多晶矽的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103545162B (zh) * 2012-07-09 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置和石英窗加热方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9099481B2 (en) 2013-03-15 2015-08-04 Semiconductor Components Industries, Llc Methods of laser marking semiconductor substrates
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS5827656B2 (ja) * 1976-11-17 1983-06-10 株式会社東芝 プラズマcvd装置
JPS5789226A (en) * 1980-11-19 1982-06-03 Ibm Method of etching silicon nitride layer
JPS57114235A (en) * 1981-01-08 1982-07-16 Toshiba Corp Cleaning of semiconductor substrate
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
JPS60249328A (ja) * 1984-05-25 1985-12-10 Kokusai Electric Co Ltd 半導体ウエ−ハ用ドライエツチング・化学気相生成装置
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
JP2510488B2 (ja) * 1985-02-05 1996-06-26 キヤノン株式会社 堆積膜形成法
US4605479A (en) * 1985-06-24 1986-08-12 Rca Corporation In-situ cleaned ohmic contacts
JPS6220331A (ja) * 1985-07-18 1987-01-28 Fujitsu Ltd アルミナ膜の選択成長方法
JPS62221116A (ja) * 1986-03-24 1987-09-29 Hitachi Micro Comput Eng Ltd プラズマ処理装置
JPS62221163A (ja) * 1986-03-24 1987-09-29 Toppan Printing Co Ltd 薄膜トランジスタの作成方法
JPH0639703B2 (ja) * 1986-04-15 1994-05-25 キヤノン株式会社 堆積膜形成法
JPS62274082A (ja) * 1986-05-20 1987-11-28 Toshiba Corp ドライエツチング方法
JPS6353929A (ja) * 1986-08-22 1988-03-08 Fuji Xerox Co Ltd 半導体装置の製造方法
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4866346A (en) * 1987-06-22 1989-09-12 Applied Science & Technology, Inc. Microwave plasma generator

Also Published As

Publication number Publication date
EP0335313A2 (en) 1989-10-04
US5067437A (en) 1991-11-26
JPH01245524A (ja) 1989-09-29
EP0335313A3 (en) 1991-03-06
JP2768685B2 (ja) 1998-06-25
KR890015361A (ko) 1989-10-30

Similar Documents

Publication Publication Date Title
KR920006261B1 (ko) 반도체장치의 제조방법 및 그 장치
JP3676983B2 (ja) 半導体製造方法、基板処理方法、及び半導体製造装置
US10109481B2 (en) Aluminum-nitride buffer and active layers by physical vapor deposition
US6013575A (en) Method of selectively depositing a metal film
US20100216304A1 (en) Method for forming ti film and tin film, contact structure, computer readable storage medium and computer program
EP1591559A1 (en) Film formation method and apparatus utizing plasma cvd
US20020064944A1 (en) Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module
US8728944B2 (en) Method of removing contaminants and native oxides from a substrate surface
JPH01319944A (ja) 半導体基板表面に薄膜を形成する方法およびその装置
JP2010129626A (ja) 半導体集積回路装置の製造方法
US6358859B1 (en) HBr silicon etching process
WO2007126460A1 (en) Sequential oxide removal using fluorine and hydrogen
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
US20110272279A1 (en) Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same
US5225036A (en) Method of manufacturing semiconductor device
JP2001102367A (ja) 遠隔プラズマ源を用いる被膜除去
JPH0613332A (ja) プラズマcvd法および半導体装置の製造方法
WO2021226203A1 (en) Multi-step pre-clean for selective metal gap fill
JP4105120B2 (ja) 成膜方法
JP3173698B2 (ja) 熱処理方法及びその装置
US7101435B2 (en) Methods for epitaxial silicon growth
JP2005064526A (ja) 半導体製造方法、基板処理方法、及び半導体製造装置
JPH10256183A (ja) 半導体装置の製造方法
JP3686163B2 (ja) 半導体集積回路装置の製造方法およびその製造装置
JP2009278086A (ja) ウェーハを処理するプロセスおよび装置

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030801

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee