KR20240056603A - 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상 - Google Patents

에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상 Download PDF

Info

Publication number
KR20240056603A
KR20240056603A KR1020247012307A KR20247012307A KR20240056603A KR 20240056603 A KR20240056603 A KR 20240056603A KR 1020247012307 A KR1020247012307 A KR 1020247012307A KR 20247012307 A KR20247012307 A KR 20247012307A KR 20240056603 A KR20240056603 A KR 20240056603A
Authority
KR
South Korea
Prior art keywords
processing
semiconductor substrate
etchant
resist
metal
Prior art date
Application number
KR1020247012307A
Other languages
English (en)
Inventor
다 리
지 연 김
사만다 에스.에이치. 탄
티모시 윌리엄 와이드먼
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240056603A publication Critical patent/KR20240056603A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

비휘발성 종의 제거 및 에칭 정지를 억제하기 위해 EUV 노출 후 포토패터닝된 금속 또는 금속 옥사이드-기반 박막 포토레지스트의 현상을 위한 프로세스들이 제공된다. 에천트 및 산화제를 사용한 교번하는 처리의 반복된 사이클; 또는 에천트를 사용한 처리에 이어 세정제를 사용한 처리는 포토레지스트의 목표되지 않은 (undesired) 노출되지 않은 부분의 제거를 위한 효과적인 기법들이다.

Description

에칭 정지 억제 (ETCH STOP DETERRENCE) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 더 잘 또는 덜 용해성 (soluble) 이 되게 하도록, 목표된 (desired) 패턴의 광에 포토레지스트를 노출하는 단계; 포토레지스트의 노출된 영역들 또는 노출되지 않은 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
이러한 작은 피처들을 갖는 디바이스들을 제작하는 (manufacture) 것의 일 과제는 충분한 분해능의 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출하기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에서 생성될 목표된 사이즈의 피처들보다 훨씬 더 큰 파장을 갖는다는 사실은 고유의 이슈들을 생성한다. 광의 파장보다 더 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극자외선 (extreme ultraviolet radiation; EUV) 과 같은 더 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제시할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 (underlying) 디바이스 층들을 패터닝하기 위해 필요한 에칭 내성을 제공하기 위해, 종래의 CAR 재료들로 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 더 큰 흡광도, 및 더 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
비휘발성 종의 제거 및 에칭 정지를 억제하기 (deter) 위해 EUV 노출 후 금속 또는 금속 옥사이드-기반 박막 포토레지스트들의 현상을 위한 프로세스들이 제공된다. 에천트 및 산화제를 사용한 교번하는 처리의 반복된 사이클; 또는 에천트를 사용한 처리에 이어 세정제를 사용한 처리는 포토레지스트의 목표되지 않은 (undesired) 노출되지 않은 부분의 제거를 위한 효과적인 기법들이다.
따라서, 제 1 양태에서, 본 발명은 반도체 기판을 프로세싱하기 위한 방법을 포괄한다. 일부 실시 예들에서, 방법은 프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및 레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클에 포토패터닝된 금속-함유 레지스트를 노출함으로써 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함한다.
일부 실시 예들에서, 에천트의 펄스와 산화제의 펄스는 시간적으로 분리된다.
일부 실시 예들에서, 페데스탈은 에천트의 펄스 동안 제 1 온도에 있고; 그리고 산화제는 제 2 온도에서 프로세스 챔버로 전달된다.
일부 실시 예들에서, 페데스탈은 에천트의 펄스 동안 제 1 온도에 있고, 그리고 페데스탈은 산화제의 펄스 동안 제 2 온도에 있다.
일부 실시 예들에서, 에천트의 펄스의 지속 기간은 약 1 내지 약 120 초이고, 그리고 산화제의 펄스의 지속 기간은 약 1 내지 약 120 초이다.
일부 실시 예들에서, 제 1 온도는 약 -60 ℃ 내지 약 120 ℃이다.
일부 실시 예들에서, 제 2 온도는 약 20 ℃ 내지 약 150 ℃이다.
일부 실시 예들에서, 제 2 온도는 약 50 ℃ 내지 약 250 ℃이다.
일부 실시 예들에서, 에천트의 펄스의 비휘발성 부산물들은 포토패터닝된 금속-함유 레지스트로부터 제거된다.
일부 실시 예들에서, 포토패터닝된 금속-함유 레지스트는 유기-금속 옥사이드, 금속, 금속 옥사이드 또는 유기-금속이다.
일부 실시 예들에서, 금속 옥사이드는 주석 옥사이드이다.
일부 실시 예들에서, 에천트는 할라이드 에천트이다.
일부 실시 예들에서, 할라이드 에천트는 수소 할라이드, 수소 가스 및 할로겐 가스, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 조합이다.
일부 실시 예들에서, 할라이드 에천트는 수소 플루오라이드, 수소 클로라이드, 붕소 트리클로라이드, 수소 브로마이드, 수소 아이오다이드 또는 이들의 조합이다.
일부 실시 예들에서, 에천트는 에천트 플라즈마이다.
일부 실시 예들에서, 에천트 플라즈마는 리모트로 (remotely) 생성된다.
일부 실시 예들에서, 산화제는 산소, 오존, 과산화수소, 물, 아산화질소, 산화질소, 이산화질소, 질산, 이산화황, 염소, 불소, 브롬, 요오드 또는 이들의 조합이다.
일부 실시 예들에서, 산화제는 물 및 산소 또는 염소의 가스 산화제이다.
일부 실시 예들에서, 산화제는 산화제 플라즈마이다.
일부 실시 예들에서, 산화제 플라즈마는 리모트로 생성된다.
일부 실시 예들에서, 방법은 또한 포토패터닝된 금속-함유 레지스트를 불활성 플라즈마 가스에 노출하는 단계를 포함한다.
일부 실시 예들에서, 방법은 또한 에천트의 펄스와 산화제의 펄스 사이에 또는 에천트의 펄스와 산화제의 펄스의 사이클 후에 프로세스 챔버를 불활성 가스로 퍼지하는 단계를 포함한다.
일부 실시 예들에서, 에천트 및 산화제의 교번하는 펄스들로의 노출에 의해 포토패터닝된 금속-함유 레지스트를 현상하는 단계는 포토패터닝된 금속-함유 레지스트를 건식 현상하는 것이다.
일부 실시 예들에서, 에천트 및 산화제의 교번하는 펄스들로의 노출에 의해 포토패터닝된 금속-함유 레지스트를 현상하는 단계는 포토패터닝된 금속-함유 레지스트를 습식 현상하는 것이다.
일부 실시 예들에서, 사이클 각각은 동일한 에천트 펄스 지속 기간을 갖는다.
제 2 양태에서, 본 발명은 반도체 기판을 프로세싱하는 방법을 포괄한다. 일부 실시 예들에서, 방법은 프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및 레지스트 마스크를 형성하기 위해, 포토패터닝된 금속-함유 레지스트를 에천트에 노출하고, 이어서 세정제로의 후속 노출에 의해 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함한다.
일부 실시 예들에서, 세정제는 물, 메탄올, 에탄올, 이소프로판올, 아세톤, 아세토니트릴, 테트라하이드로푸란, 디메틸 설파이드 또는 이들의 조합이다.
일부 실시 예들에서, 페데스탈 온도는 세정제에 대한 노출 동안 약 10 ℃ 내지 약 50 ℃이다.
일부 실시 예들에서, 세정제는 초임계 유체이다.
일부 실시 예들에서, 초임계 유체는 저 표면 장력 초임계 액체이다.
일부 실시 예들에서, 저 표면 장력 초임계 액체는 이산화탄소, 이산화황, 디메틸 에테르 또는 이들의 조합이다.
일부 실시 예들에서, 프로세스 챔버 압력은 세정제에 대한 노출 동안 약 5 psi 내지 약 3,000 psi이다.
일부 실시 예들에서, 에천트 노출로부터 비휘발성 부산물들은 포토패터닝된 금속-함유 레지스트로부터 제거된다.
일부 실시 예들에서, 포토패터닝된 금속-함유 레지스트는 유기-금속 옥사이드, 금속, 금속 옥사이드 또는 유기-금속이다.
일부 실시 예들에서, 금속 옥사이드는 주석 옥사이드이다.
일부 실시 예들에서, 에천트는 할라이드 에천트이다.
일부 실시 예들에서, 할라이드 에천트는 수소 할라이드, 수소 가스 및 할로겐 가스, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 조합이다.
일부 실시 예들에서, 할라이드 에천트는 수소 플루오라이드, 수소 클로라이드, 붕소 트리클로라이드, 수소 브로마이드, 수소 아이오다이드 또는 이들의 조합이다.
일부 실시 예들에서, 에천트는 에천트 플라즈마이다.
일부 실시 예들에서, 에천트 플라즈마는 리모트로 (remotely) 생성된다.
제 3 양태에서, 본 발명은 기판 상의 원자 층 에칭을 촉진하는 방법을 포괄한다. 일부 실시 예들에서, 방법은 프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및 레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클에 포토패터닝된 금속-함유 레지스트를 노출함으로써 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함하고, 이 방법에 의해, 에천트 펄스의 비휘발성 부산물들로 인한 에칭 정지부가 제거된다.
제 4 양태에서, 본 발명은 반도체 기판을 프로세싱하는 방법을 포괄한다. 일부 실시 예들에서, 방법은
프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 건식-증착된 포토패터닝된 금속 옥사이드 EUV 레지스트를 제공하는 단계; 및 레지스트 하드 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클로의 노출에 의해 포토패터닝된 금속 옥사이드 EUV 레지스트의 EUV 노출되지 않은 부분을 선택적으로 제거함으로써 포토패터닝된 금속 옥사이드 EUV 레지스트를 건식 현상하는 단계를 포함한다.
제 5 양태에서, 본 발명은 레지스트의 현상을 수행하기 위한 장치를 포괄한다. 일부 실시 예들에서, 장치는 기판 지지부를 갖는 프로세스 챔버; 프로세스 챔버에 커플링된 진공 라인; 프로세스 챔버에 커플링된 에천트 및 산화제 라인들; 및 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기를 포함하고, 인스트럭션들은, 프로세스 챔버 내 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및 레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트 펄스 및 산화제 펄스의 적어도 하나의 사이클로의 노출에 의해 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 위한 코드를 포함한다.
일부 구현 예들에서, 포토패터닝된 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트이고, 그리고 포토패터닝된 금속-함유 EUV 레지스트를 현상하기 위한 코드를 포함하는 인스트럭션들로 구성된 제어기는 레지스트 마스크를 형성하기 위해 교번하여 전달된 에천트 펄스 및 산화제 펄스의 적어도 하나의 사이클로 EUV 노출된 부분에 대해 EUV 레지스트의 EUV 노출되지 않은 부분을 선택적으로 제거하기 위한 코드를 갖는다.
일부 구현 예들에서, 장치는 또한 기판 지지부에 커플링된 하나 이상의 히터들을 포함하고, 하나 이상의 히터들은 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함한다.
일부 실시 예들에서, 장치는 또한 가열된 산화제 전달 라인들을 포함한다.
개시된 실시 예들의 이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 상세히 기술될 것이다.
도 1은 특정한 개시된 실시 예들에 따른 포토레지스트를 증착하고 현상하기 위한 예시적인 순환적 방법의 흐름도를 제시한다.
도 2는 특정한 개시된 실시 예들에 따른 포토레지스트를 현상하기 위한 수소 브로마이드 (HBr) 에천트 및 염소 (Cl2) 산화제에 대한 펄스 시퀀스를 예시한다.
도 3a는 특정한 개시된 실시 예들에 따른 온도가 가변함에 따라 잔여 부산물 두께에 대한 염소 산화제 효과의 그래프를 도시한다.
도 3b는 특정한 개시된 실시 예들에 따른 에천트의 총 노출 시간이 고정되지만 사이클 각각의 에천트 펄스 지속 기간이 가변함에 따라 잔여 부산물 두께에 대한 수소 브로마이드 에천트 효과의 그래프를 도시한다.
도 3c는 특정한 개시된 실시 예들에 따른 산화제 펄스 지속 기간이 가변될 때 잔여 부산물 두께에 대한 염소 산화제 효과의 그래프를 도시한다.
도 4는 특정한 개시된 실시 예들에 따른 에천트 및 염소 산화제를 사용한 순환적 건식 현상 (순환 DD) 을 겪은 포토패터닝된 기판과 비교하여 에천트로 건식 증착된 (단일 DD) 포토패터닝된 기판의 SEM (scanning electron microscopy) 이미지들을 도시한다.
도 5는 특정한 개시된 실시 예들에 따른 에천트 및 공기 산화제를 사용한 순환적 건식 현상 (순환적 DD) 과 비교하여 에천트를 사용한 건식 증착 (단일 DD) 의 그래픽 비교를 도시한다.
도 6은 특정한 개시된 실시 예들에 따른, 세정제와 함께 에천트를 활용하여 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다.
도 7은 특정한 개시된 실시 예들에 따른 방법들을 수행하기 적합한 저압 분위기를 유지하기 위한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다.
도 8은 특정한 개시된 실시 예들에 따른 다양한 동작들의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 9는 개시된 특정한 실시 예들에 따른 특정한 동작들을 구현하기 위한 예시적인 유도 커플링된 플라즈마 장치의 단면 개략도를 도시한다.
도 10은 개시된 특정한 실시 예들에 따른 방법들을 구현하는 데 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 모듈 및 패터닝 모듈을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 (disclose) 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
이하에 개시된 구현 예들은 웨이퍼, 기판, 또는 다른 워크피스와 같은 기판 상의 재료의 증착을 기술한다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 (fabricate) 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 웨이퍼 상의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 달리 언급되지 않는 한, 본 명세서에 인용된 프로세싱 상세들 (예를 들어, 플로우 레이트들, 전력 레벨들, 등) 은 300 ㎜ 직경 기판들을 프로세싱하는 것, 또는 300 ㎜ 직경 기판들을 프로세싱하도록 구성된 챔버들을 처리하는 것에 관련되고, 그리고 다른 사이즈들의 기판들 또는 챔버들에 대해 적절하게 스케일링될 수 있다. 반도체 웨이퍼들에 더하여, 본 명세서에 개시된 구현예들에 사용될 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다. 프로세스들 및 장치들은 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 (photovoltaic panels) 등의 제조에 사용될 수 있다.
할라이드는 F, Cl, Br 또는 I의 음이온 (anion) 을 의미한다.
본 명세서에 사용된 바와 같이, 용어 "약"은 달리 명시되지 않는 한, 임의의 언급된 값의 ±10 %를 의미한다. 본 명세서에 사용된 바와 같이, 이 용어는 임의의 언급된 값, 값들의 범위, 또는 하나 이상의 범위들의 종점들을 수정한다.
본 명세서에 사용된 바와 같이, 용어들 "상단 (top)", "하단 (bottom)", "상부 (upper)", "하부 (lower)", "위 (above)" 및 "아래 (below)"는 구조체들 간의 상대적인 관계를 제공하도록 사용된다. 이들 용어들의 사용은 특정한 구조체가 장치의 특정한 위치에 위치되어야 한다는 것을 나타내거나 요구하지 않는다.
본 명세서에 사용된 바와 같이, 구 A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
"원자 층 증착" (atomic layer deposition; ALD) 은 증착 사이클들, 바람직하게 복수의 연속적인 증착 사이클들이 프로세스 챔버 (즉, 증착 챔버) 내에서 수행되는 기상 증착 (vapor deposition) 프로세스를 의미한다. 통상적으로, 사이클 각각 동안, 전구체는 증착 표면 (즉, 기판 어셈블리 표면 또는 이전 ALD 사이클로부터의 재료와 같은 이전에 증착된 하부 표면 (underlying surface)) 에 화학 흡착되어 부가적인 전구체와 쉽게 반응하지 않는 (즉, 자기-제한 반응) 모노레이어 (monolayer) 또는 서브-모노레이어 (sub-monolayer) 를 형성한다. 그 후, 필요하다면, 화학 흡착된 전구체를 증착 표면 상의 목표된 (desired) 재료로 변환하는데 사용하기 위해 반응 물질 (reactant) (즉, 또 다른 전구체 또는 반응 가스) 이 프로세스 챔버 내로 도입될 수도 있다. 통상적으로, 이 반응 물질은 이미 화학 흡착된 전구체와 반응할 수 있다. 또한, 퍼지 단계들은 또한 화학 흡착된 전구체의 변환 후 프로세스 챔버로부터 과잉 전구체를 제거하고 그리고/또는 프로세스 챔버로부터 과잉 반응 물질 및/또는 반응 부산물들을 제거하기 위해 사이클 각각 동안 활용될 수도 있다.
"증착" 또는 "기상 증착"은 하나 이상의 금속 함유 화합물들을 포함하는 기화된 전구체 조성물(들)로부터 기판의 하나 이상의 표면들 상에 금속 층이 형성되는 프로세스를 의미한다. 금속-함유 화합물들은 기화되고 증착 챔버 내에 배치된 (place) 기판 (즉, 반도체 기판 또는 반도체 어셈블리) 의 하나 이상의 표면들로 지향되고 그리고/또는 콘택트된다. 통상적으로, 기판은 가열된다. 이들 금속-함유 화합물들은 기판의 표면(들) 상에 비휘발성, 박형, 균일한 금속-함유 층을 형성한다. 방법의 일 동작은 일 사이클이고, 프로세스는 목표된 금속 두께를 얻기 위해 필요한만큼 많은 사이클들로 반복될 수 있다.
"에천트"는 표면으로부터 층, 부산물 또는 오염물과 같은 재료를 제거하기 위해 사용된 임의의 화합물을 의미한다.
"물"은 에칭될 기판 및 기판 층을 포함할 수도 있는 재료를 의미한다. 일부 실시 예들에서, 기판 층은 SoC (spin-on carbon) 또는 다른 재료, 예를 들어, 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 등과 같은 애시 가능 (ashable) 하드 마스크를 포함한다. 일부 실시 예들에서, 기판 층은 기판 상에 배치된 (dispose) 층 스택일 수도 있다.
"포토패터닝된 금속-함유 레지스트 막"은 에칭될 기판 층 상에 배치된 유기-금속-함유 층을 가질 수도 있는 박막을 의미한다. 포토패터닝된 금속-함유 EUV 레지스트 막은 약 5 ㎚ 내지 약 50 ㎚ 또는 약 10 ㎚ 내지 약 30 ㎚의 두께를 가질 수도 있다. 포토패터닝된 금속-함유 EUV 레지스트 막은 상기 기술된 바와 같은 EUV 스캐너에서 포토패터닝 후 그리고/또는 PEB 처리 후 프로세스 챔버에 제공될 수도 있다. 포토패터닝된 금속-함유 EUV 레지스트 막은 EUV 노출되지 않은 영역들 및 EUV 노출된 영역들을 포함한다. 포토패터닝된 금속-함유 EUV 레지스트 막의 EUV 노출되지 않은 영역들은 플라즈마를 스트라이킹하지 (striking) 않고 건식 현상 화학 물질의 플로우들로의 노출에 의해 건식 현상 프로세스에서 제거될 수 있다. 건식 현상 화학 물질은 수소 할라이드 또는 수소 및 할로겐 가스와 같은 할라이드-함유 화학 물질을 포함할 수도 있다. 레지스트 마스크는 EUV 노출되지 않은 영역들의 제거에 의해 현상 후에 형성된다. 그 후, 에칭될 기판 층은 목표된 구조체를 제공하도록 레지스트 마스크를 사용하여 에칭될 수도 있다.
"부산물"은 증착 챔버로 전달될 가스의 불순물, 분해 생성물 또는 오염 물질일 수도 있는 임의의 화합물을 의미한다.
"전달 라인들"은 가스 (예를 들어, 반응 물질(들) 및/또는 전구체(들)) 를 이송하거나 (transport) 이송하도록 (convey) 활용될 수도 있는 파이프, 튜브, 또는 도관들과 같은 임의의 프로세스 장비를 의미한다. 반도체 제작 (manufacturing) 프로세스에서, 전구체 전달 라인들은 전구체들을 증착 챔버로 이송하도록 사용될 수도 있고 스테인리스 스틸 또는 니켈 합금들로 형성될 수도 있다.
본 개시는 일반적으로 반도체 프로세싱 분야에 관한 것이다. 일부 양태들에서, 본 개시는 1) 순환적 건식 현상 프로세스에서 산화제와 조합하여, 또는 2) EUV 패터닝의 맥락에서 패터닝 마스크를 형성하기 위해 세정제와 조합하여, 에천트를 사용하여 포토레지스트 (예를 들어, EUV-감응 금속 및/또는 금속 옥사이드-함유 포토레지스트) 의 현상을 위한 프로세스들 및 장치들에 관한 것이다. 이러한 프로세스들은 유리하게 건식 현상의 프로세스 윈도우를 넓힐 수도 있고 그리고/또는 건식 현상의 적용 가능성을 상이한 금속 또는 금속-옥사이드 기반 포토레지스트 시스템들로 확장할 수도 있다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 (describe) 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하고, 이에 따라 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 (Damascene) 구조체의 통상적인 비아 (via) 또는 라인의 폭은 통상적으로 약 30 ㎚ 이하이다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동하는 것이다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 더 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 복사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
EUV 리소그래피는 아래에 놓인 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 중합체-기반 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 일 수도 있다. CAR들에 대한 대안은, 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로 인용된, 미국 특허 공보 US 2017/0102612, US 2016/021660 및 US 2016/0116839에 기술되고, OR, Corvallis 소재의, Inpria로부터 입수 가능한 것과 같은 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 "EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS"인 미국 특허 제 9,996,004 호, 2019년 5월 9일에 출원되고 명칭이 "METHODS FOR MAKING EUV PATTERNABLE HARD MASKS"인 제 PCT/US19/31618 호에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기 (ambient) 에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 현상 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 더 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 (organic components) 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 현재까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는 (bake), 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 접근법 (approach) 을 사용하여 현상되었다. 습식 현상은 생산성을 제한할 뿐만 아니라 표면 장력 효과들 및/또는 디라미네이션 (delamination) 으로 인해 라인 붕괴를 야기할 수 있다.
기판 디라미네이션 및 계면 파손들 (interface failures) 을 제거함으로써 이들 이슈들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 성능을 개선 (예를 들어, 습식 현상에서 표면 장력 및 디라미네이션으로 인한 라인 붕괴를 방지) 하고, (예를 들어, 습식 현상 트랙을 방지함으로써) 쓰루풋을 향상시킬 수 있다. 다른 이점들은 유기 용매 현상액들의 사용을 제거하는 것, 접착 이슈들에 대한 감소된 민감도, 개선된 효율에 대해 상승된 EUV 흡수 및 용해도 기반 제한들의 결여를 포함할 수도 있다. 건식 현상은 또한 더 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 스컴 (scum) 제거를 제공할 수 있다.
건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 보다 높은 도즈 대 사이즈 요건을 야기할 수 있는 노출되지 않은 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 더 긴 노출들로 인해 PR 코너 라운딩을 유발할 수 있고, 이는 후속하는 전사 에칭 단계에서 라인 CD 변동을 증가시킬 수도 있다.
EUV 레지스트 현상
본 개시의 다양한 양태들에 따라, 포토패터닝된 금속-함유 포토레지스트는 할라이드-함유 화학 물질들로의 노출에 의해 현상된다. EUV-감응 금속 또는 금속 옥사이드-함유 막, 예를 들어, 유기 주석 옥사이드가 반도체 기판 상에 배치된다. EUV-감응 금속 또는 금속 옥사이드-함유 막은 진공 분위기 (vacuum ambient) 에서 EUV 노출에 의해 직접적으로 패터닝된다. 이어서 패턴은 현상 화학 물질 (chemistry) 을 사용하여 레지스트 마스크를 형성하도록 현상된다. 일부 실시 예들에서, 현상 화학 물질은 건식 현상 화학 물질이다. 일부 실시 예들에서, 건식 현상 화학 물질은 수소 및 할라이드를 포함한다. 이러한 건식 현상 기법들은 수소 및 할라이드 건식 현상 화학 물질을 흘리는 동안 약한 (gentle) 플라즈마 (고압, 저전력) 또는 열적 프로세스를 사용하는 동안 행해질 수도 있다. 본 개시는 레지스트 마스크 형성 프로세스의 일부로서 금속-함유 레지스트의 현상을 위해 구성된 프로세스들 및 장치를 제공한다.
금속 및/또는 금속 옥사이드 포토레지스트들은 발전된 포토리소그래피를 위한 매력적인 재료 후보들이다. 더 높은 분해능, 높은 에칭 선택도 및 더 낮은 도즈로 동작하는 이들의 능력은 광 흡착 향상 및 2 차 전자 생성을 가능하게 한다. EUV 노출 후, 습식 현상은 바람직하지 않은 재료들을 제거하기 위해 통상적으로 적용된다. 그러나, 이 프로세스는 표면 장력에 의해 유발된 계면 파손 및/또는 라인 붕괴로 인해 증가된 결함들을 야기할 수도 있다.
건식 현상은 기판 디라미네이션 및 계면 파손들을 제거함으로써 이들 이슈들을 극복하는 것을 돕는다. 그러나, 포토레지스트의 목표되지 않은 노출되지 않은 부분의 완전한 제거는 건식 현상의 휘발성 및 안정한 부산물의 생성에 의존한다. 건식 현상으로부터 부산물들의 휘발성 및 안정성은 금속 및/또는 금속 옥사이드 포토레지스트 시스템에서 활용된 유기 리간드들에 의해 영향을 받을 수도 있다. 일부 경우들에서, 부산물은 비휘발성이거나 휘발성이지만 불안정하다. 부산물이 휘발성이지만 불안정하다면, 비휘발성 종으로 더 분해될 수 있다. 비휘발성 부산물들은 에칭 정지 및 불완전한 현상을 발생시키고, 높은 표면 거칠기 및 결함 형성의 위험을 증가시킨다.
선택 가능한 (optional) 도포 후 소성 (post-application bake; PAB) 이 EUV-패터닝 가능한 막의 증착 후 그리고 EUV 노출 전에 수행된다. PAB 처리는 열적 처리, 화학적 노출, 및 EUV-패터닝 가능한 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 수분의 조합을 수반할 수도 있어, EUV-패터닝 가능한 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. PAB 처리 온도는 EUV-패터닝 가능한 막의 감도를 상승시키기 위해 튜닝되고 최적화될 수도 있다. 예를 들어, 처리 온도는 약 90 ℃ 내지 약 200 ℃ 또는 약 150 ℃ 내지 약 190 ℃일 수도 있다. 일부 실시 예들에서, PAB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PAB 처리는 약 100 ℃ 내지 200 ℃의 온도로 약 1 분 내지 2 분 동안 수행된다.
금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 복사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다.
이어서 금속-함유 EUV 레지스트 막은 통상적으로 상대적으로 고 진공 하에서 막의 영역을 EUV 광에 노출함으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 후속 노출 후 소성 (post-exposure bake; PEB) 단계 동안 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능 금속 하이드라이드 작용기들을 남긴다. 이 프로세스는 네거티브 톤 레지스트로서 현상을 위해 화학적 콘트라스트를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 더 큰 수의 베타-H는 더 감응성 막을 발생시킨다. 이는 또한 더 많은 분기들을 갖는 더 약한 Sn-C 결합으로 설명될 수 있다. 노출에 이어, 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 금속-함유 EUV 레지스트 막은 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다.
특히, 다양한 실시 예들에서, 표면 상에 존재하는 하이드로카빌-종단된 주석 옥사이드는, 특히 노출이 EUV를 사용하여 진공에서 수행될 때 이미징 층의 노출된 영역(들)에서 수소-종단된 주석 옥사이드로 변환된다. 그러나, 진공으로부터 공기로 노출된 이미징 층들을 제거하거나, 산소, 오존, H2O2, 또는 물의 제어된 도입은 표면 Sn-H의 Sn-OH로의 산화를 발생시킬 수 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는, 예컨대 이미징 층에 재료를 선택적으로 부가하거나 이미징 층으로부터 재료를 제거하도록 하나 이상의 시약들과 조사된 (irradiated) 영역, 조사되지 않은 영역, 또는 둘 모두를 반응시킴으로써 후속 프로세싱에서 이용될 수도 있다.
본 기술 (technology) 의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어 10 mJ/㎠ 내지 100 mJ/㎠의 도즈들에서 EUV 노출은 Sn-C 결합들의 분열을 발생시켜 알킬 치환기의 손실을 발생시키고, 입체 장애를 완화시키고, 저 밀도 막으로 하여금 붕괴되게 한다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서, 추가 교차 결합 및 치밀화로 이어지고, 노출된 영역(들)과 노출되지 않은 영역(들) 사이의 화학적 콘트라스트를 생성한다.
EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV 노출된 영역 및 노출되지 않은 영역을 포함한다.
선택 가능한 PEB (post-exposure bake) 는 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV 노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리될 수 있거나, 대기 (ambient air) 에서 예를 들어 1 분 내지 5 분 동안 150 ℃ 내지 250 ℃ (예를 들어, 2 분 동안 190 ℃) 의 핫 플레이트 상에서 단순히 소성될 수 있다.
다양한 실시 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 유용한 반응성 가스들의 예들은 예를 들어, 공기, H2O, H2O2 증기, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, 알코올, 아세틸 아세톤, 포름산, Ar, He, 또는 이들의 혼합물들을 포함한다. PEB 처리는 (1) EUV 노출 동안 생성되는 유기 단편들의 완전한 증발을 유도하고 (3) EUV 노출에 의해 생성된 모든 Sn-H, Sn-Sn, 또는 Sn 라디칼 종을 금속 하이드록사이드로 산화시키고, 그리고 (3) 더 치밀하게 교차 결합된 SnO2-유사 네트워크를 형성하도록 이웃하는 Sn-OH 기들 사이의 교차 결합을 용이하게 하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합을 야기할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 더 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, 포토패터닝된 금속-함유 EUV 레지스트와 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 원인이 될 수 있다. PEB 처리 온도는 약 100 ℃ 내지 약 300 ℃, 약 170 ℃ 내지 약 290 ℃, 또는 약 200 ℃ 내지 약 240 ℃일 수도 있다. 일부 실시 예들에서, PEB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PEB 열적 처리는 에칭 선택도를 더 상승시키도록 반복될 수도 있다.
포토패터닝된 금속-함유 EUV 레지스트가 레지스트 마스크를 형성하도록 현상된다. 다양한 실시 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤 (positive tone)) 노출되지 않은 영역들이 제거된다 (네거티브 톤 (negative tone)). 일부 실시 예들에서, 현상은 포토패터닝된 금속-함유 EUV 레지스트의 노출된 영역 또는 노출되지 않은 영역 상에 선택적인 증착, 이어서 에칭 동작을 포함할 수도 있다. 다양한 실시 예들에서, 이들 프로세스들은 건식 프로세스들 또는 습식 프로세스들일 수도 있다. 일부 실시 예들에서 플라즈마를 스트라이킹하지 않고 현상이 행해질 수도 있다. 또는, 현상은 리모트 플라즈마 소스에서 활성화되거나 리모트 UV 복사선에 대한 노출에 의해 활성화된 수소 및 할라이드 (예를 들어, H2 및 Cl2 및/또는 Br2) 의 플로우들으로 행해질 수도 있다. 현상을 위한 포토레지스트는 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 요오드, 및 게르마늄으로 구성된 그룹으로부터 선택된 원소를 포함할 수도 있다. 이 원소는 높은 패터닝 복사-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, 이 원소는 높은 EUV-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, 금속-함유 EUV 레지스트는 30 %보다 더 큰 전체 흡수를 가질 수도 있다. 완전-건식 리소그래피 프로세스에서, 이는 EUV 광자들의 더 효율적인 활용을 제공하여, 더 두껍고 더 EUV-불투명 레지스트들의 현상을 가능하게 한다.
현상을 위한 프로세스들의 예들은 EUV 노출 도즈 및 노출 후 소성 (post-exposure bake) 을 겪은 다음 현상되는, 유기 주석 옥사이드 함유 EUV-감응 포토레지스트 박막 (예를 들어, 10 내지 30 ㎚ 두께, 예컨대 20 ㎚) 을 수반한다. 포토레지스트 막은 예를 들어, 이소프로필(트리스)(디메틸아미노)주석과 같은 유기주석 전구체와 수증기의 가스상 (gas phase) 반응에 기초하여 증착될 수도 있고, 또는 유기 매트릭스 내에 주석 클러스터들을 포함하는 스핀-온 막일 수도 있다.
포토패터닝된 금속-함유 EUV 레지스트는 현상 화학 물질에 대한 노출에 의해 현상되고, 현상 화학 물질은 할라이드-함유 화학 물질이다. 일부 실시 예들에서, 현상 화학 물질은 수소 및 할라이드, 예컨대 수소 할라이드 (예를 들어, HBr 또는 HCl) 또는 수소 및 할로겐 가스들 (예를 들어, H2 및 Cl2) 을 포함한다. 일부 실시 예들에서, 현상 화학 물질은 수소 할라이드, 수소 가스 및 할로겐 가스, 붕소 트리클로라이드, 또는 이들의 조합들을 포함한다. EUV 레지스트의 현상은 할라이드-함유 화학 물질들을 사용한 습식 현상 또는 수소 할라이드-함유 화학 물질들을 사용한 건식 현상에 의해 이루어질 수 있다. EUV 레지스트가 습식 현상을 사용하여 현상되는 실시 예들에서, 습식 현상은 금속-함유 EUV 레지스트 막의 습식 증착 (예를 들어, 스핀-온 증착) 과 같은 다른 습식 프로세싱 동작들과 결합될 수도 있다. 대안적으로, 습식 현상은 금속-함유 EUV 레지스트 막의 기상 증착 (예를 들어, CVD) 과 같은 다른 건식 프로세싱 동작들과 결합될 수도 있다. EUV 레지스트가 건식 현상을 사용하여 현상되는 실시 예들에서, 건식 현상은 금속-함유 EUV 레지스트 막의 건식 증착 (예를 들어, CVD) 과 같은 다른 건식 프로세싱 동작들과 결합될 수도 있다. EUV 레지스트가 건식 현상을 사용하여 현상되는 대안적인 실시 예들에서, 건식 현상은 금속-함유 EUV 레지스트 막의 습식 증착 (예를 들어, 스핀-온 증착) 과 같은 다른 습식 프로세싱 동작들과 결합될 수도 있다.
일부 실시 예들에서, 반도체 기판의 프로세싱은 기상 증착, EUV 리소그래피 패터닝, 및 건식 현상에 의한 막 형성을 포함하는 완전 건식 단계들을 결합할 수도 있다. 실제로, 프로세스 (100) 의 동작 (102) 내지 동작 (112) 각각은 건식 프로세싱 동작들일 수도 있다. 이러한 프로세싱 동작들은 습식 현상과 같은 습식 프로세싱 동작들과 연관된 재료 및 생산성 비용을 방지할 수도 있다. 건식 프로세스는 더 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 스컴 (scum) 제거를 부가할 수 있다. 습식 프로세싱은 일반적으로 수분 및/또는 산소를 수반하고, 이는 더 쉽게 스컴 형성을 야기한다. 습식 현상은 용해도 및 클러스터 사이즈에 의해 제한되는 반면, 건식 현상은 용해도 및 클러스터 사이즈에 의해 제한되지 않는다. 습식 현상은 건식 현상이 방지하는 패턴 붕괴 및 디라미네이션 (delamination) 이슈들에 더 취약하다 (prone to). 이에 더하여, 완전-건식 프로세싱 동작들을 채용하는 것은 대기 또는 대기에 함유된 미량의 오염 물질들에 의한 오염 및 노출 없이 상호 연결된 진공 프로세싱 챔버 내 통합을 용이하게 할 수도 있다. 예를 들어, 노출된 영역들이 추가의 교차 결합을 겪는 (undergo) PEB 열적 처리는 현상과 동일한 챔버에서 수행될 수도 있지만, PEB 열적 처리는 또 다른 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
현상 프로세스들은 액상 또는 증기상으로 현상 화학 물질을 전달함으로써 행해질 수 있다. 일부 실시 예들에서, 건식 현상 프로세스들은 HF, HCl, HBr, 또는 HI와 같은 수소 할라이드 함유 건식 현상 화학 물질을 흘리는 동안 약한 플라즈마 (고압, 저전력) 또는 열적 프로세스를 사용함으로써 행해질 수 있다. 예를 들어, 건식 현상은 HCl 또는 HBr과 같은 건식 현상 화학 물질을 사용하는 열적 프로세스에서 수행될 수 있다. 일부 실시예들에서, 수소 할라이드 함유 화학 물질은 노출되지 않은 재료를 신속히 제거할 수 있고, 플라즈마 기반 에칭 프로세스들, 예를 들어 종래의 에칭 프로세스들에 의해 하부 층들로 전사될 수 있는 노출된 막의 패턴을 남긴다.
열적 현상 프로세스들에서, 기판은 프로세스 챔버 (예를 들어, 오븐) 의 현상 화학 물질 (예를 들어, 루이스 산) 에 노출된다. 일부 실시 예들에서, 진공 라인은 압력 제어를 위해 프로세스 챔버에 커플링되고, 현상 화학 물질 라인은 프로세스 챔버 내로 현상 화학 물질의 전달을 위해 프로세스 챔버에 커플링될 수도 있다. 프로세스 챔버는 온도 제어를 위한 하나 이상의 히터들, 예컨대 기판 온도 제어를 위해 프로세스 챔버 내의 기판 지지부에 커플링된 히터들을 포함할 수도 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 (PTFE), 예를 들어, TeflonTM이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열적 프로세스들에서 사용될 수 있다.
열적 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도를 위해 최적화된 온도에서 현상 화학 물질에 노출된다. 온도가 낮을수록 에칭 선택도의 콘트라스트를 상승시킬 수도 있는 한편, 온도가 높을수록 에칭 선택도의 콘트라스트를 감소시킬 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 120 ℃, 약 -20 ℃ 내지 약 60 ℃, 또는 약 -20 ℃ 내지 약 20 ℃, 예컨대 약 -10 ℃일 수도 있다. 챔버 압력이 튜닝될 수도 있고, 챔버 압력은 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 챔버 압력은 상대적으로 낮고 희석 없이 동반될 수도 있고, 챔버 압력은 약 0.1 mTorr 내지 약 300 mTorr, 약 0.2 mTorr 내지 약 100 mTorr, 또는 약 0.5 mTorr 내지 약 50 mTorr일 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 20 mTorr 내지 약 800 mTorr, 또는 약 20 mTorr 내지 약 500 mTorr, 예컨대 약 300 mTorr일 수도 있다. 일부 실시 예들에서, 챔버 압력은 고 플로우와 함께 상대적으로 높고 희석이 동반될 수도 있고, 챔버 압력은 약 100 Torr 내지 약 760 Torr, 약 200 Torr 내지 약 760 Torr일 수도 있다. 반응 물질 플로우 레이트는 튜닝될 수도 있고, 반응 물질 플로우는 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 반응 물질 플로우는 약 50 sccm 내지 약 2000 sccm, 약 100 sccm 내지 약 2000 sccm, 또는 약 100 sccm 내지 약 1000 sccm, 예컨대 약 500 sccm일 수도 있다. 고 플로우의 예들에서, 반응 물질 플로우는 약 1 L 내지 약 10 L일 수도 있다. 노출의 지속 기간은 열적 현상 프로세스에서 튜닝될 수도 있다. 노출의 지속 기간은 다른 요인들 중에서도, 얼마나 많은 레지스트가 제거되어야 하는지, 현상 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 5 초 내지 약 5 분, 약 10 초 내지 약 3 분, 또는 약 10 초 내지 약 1 분일 수도 있다.
열적 현상 프로세스들은 증기상 또는 액상의 특정한 할라이드-함유 화학 물질들에 포토패터닝된 금속-함유 EUV 레지스트를 노출할 수도 있다. 일부 실시 예들에서, 현상 화학 물질은 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함한다. 수소 할라이드는 HF, HCl, HBr, 및 HI를 포함할 수 있지만 이로 제한되지 않는다. 예를 들어, 수소 할라이드는 HCl 또는 HBr일 수 있다. 수소 및 할로겐 가스는 이로 제한되지 않지만 F2, Cl2, Br2, 또는 I2와 혼합된 수소 가스 (H2) 를 포함할 수 있다. 붕소 트리클로라이드 (BCl3) 는 임의의 전술한 수소 할라이드들 또는 수소 및 할로겐 가스들과 조합하여 사용될 수도 있다. 유기 할라이드는 이로 제한되지 않지만 CxHyFz, CxHyClz, CxHyBrz, 및 CxHyIz를 포함할 수 있고, 여기서 x, y, 및 z는 0 이상의 값들이다. 아실 할라이드는 이로 제한되지 않지만 CH3COF, CH3COCl, CH3COBr, 및 CH3COI를 포함할 수 있다. 카르보닐 할라이드는 이로 제한되지 않지만 COF2, COCl2, COBr2, 및 COI2를 포함할 수 있다. 티오닐 할라이드는 이로 제한되지 않지만 SOF2, SOCl2, SoBr2, 및 SOI2를 포함할 수 있다. 일부 실시 예들에서, 할라이드-함유 화학 물질은 He, Ne, Ar, Xe, 및 N2와 같은 불활성/캐리어 가스와 함께 또는 불활성/캐리어 가스 없이 흐를 수도 있다.
반도체 디바이스들의 형성에서, 다양한 층들이 선택적으로 에칭될 수도 있다. 원자 층 에칭 (atomic layer etch; ALE) 은 고 선택도를 갖는 에칭을 제공하도록 사용될 수도 있다. ALE에서, 순환적 프로세스가 제공된다. 순환적 프로세스는 에칭 층의 일부를 개질하는 제 1 단계 및 에칭 층의 개질된 부분을 제거하는 제 2 단계를 가질 수도 있다. 이러한 ALE는 에칭 층의 일부를 개질하기 위해 자기-제한 프로세스를 사용할 수도 있다. 자기-제한 프로세스는 자기-제한 층을 형성하는 에칭 층의 몇몇 모노레이어들을 개질할 수도 있다. 이러한 경우에, 에칭 층의 개질된 부분의 제거는 에칭 층의 단지 몇 개의 원자 층들을 제거할 수도 있다. 그 결과, 에칭 층의 상당한 부분을 에칭하기 위해 많은 사이클들이 필요하다. 사이클 각각은 12 초보다 더 길 수도 있다. 그 결과, ALE 프로세스는 에칭 층의 상당한 부분을 에칭하기 위해 오랜 시간이 걸릴 수도 있다.
비정질 탄소와 같은 탄소 함유 에칭 층들을 에칭하도록 사용된 ALE 프로세스들은 저 바이어스 전압들을 사용한다. 이러한 프로세스들에서, 더 높은 바이어스들에 의해 유발된 스퍼터링 (sputtering) 을 방지하거나 감소시키면서 ALE를 제공하기 위해 저 바이어스 전압들이 수 초 동안 인가될 수도 있다. 저 바이어스를 사용하는 이러한 프로세스들에 대한 일부 결점들은 이러한 ALE 프로세스들이 더 느리고 ALE 프로세스에 대해 저 바이어스 하의 이온들이 고도로 지향성 (directional) 이 없다는 것이다. 이온들이 고도로 지향성이 없기 때문에, 발생되는 피처들은 높은 높이 대 폭 종횡비를 갖지 않는다.
원자 층 에칭 프로세스들은 2020년 2월 18일 허여된 Kanarik의 명칭이 "Designer Atomic Layer Etching"인 미국 특허 제 10,566,212 호; 2020년 9월 1일 허여된, Yang 등의 명칭이 "High Energy Atomic Layer Etching"인 미국 특허 제 10,763,083 호; 2021년 1월 2일 공개된 Yang 등의 명칭이 "Atomic Layer Etching and Smoothing of Refractory Metals and Other High Surface Binding Energy Materials"인 미국 특허 공보 2021/0005425A1; 2020년 11월 5일 공개된 Yang 등의 명칭이 "Atomic Layer Etching for Subtractive Metal Etch"인 국제 공보 WO 2020/223152A1; 및 2022년 3월 22일 출원된 명칭이 "Fast Atomic Layer Etch"인 미국 특허 가출원 번호 제 63/322,535 호에 기술되고, 이는 모두 모든 목적을 위해 참조로서 인용된다.
열적 현상 프로세스는 플라즈마 없이 행해질 수도 있다. 비플라즈마 열적 접근법을 적용함으로써, 저 비용 열적 진공 챔버/오븐에서 동시에 복수의 웨이퍼들이 배치 (batch) 현상될 수 있기 때문에 생산성이 상당히 개선될 수 있다. 그러나, 일부 실시 예들에서, 열적 현상 프로세스는 플라즈마에 대한 노출이 이어질 수도 있다. 플라즈마에 대한 후속 노출은 탈착, 디스커밍 (descumming), 평활화, 또는 다른 프로세싱 동작들을 위해 발생할 수도 있다.
플라즈마 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 하나 이상의 가스들의 라디칼들/이온들을 포함하는 현상 화학 물질에 노출된다. 반도체 기판을 프로세싱하기 위한 프로세스 챔버는 플라즈마 생성 챔버일 수도 있고 또는 프로세스 챔버로부터 리모트의 플라즈마 생성 챔버에 커플링될 수도 있다. 건식 현상은 일부 실시 예들에서 리모트 플라즈마에 의해 발생할 수도 있다. 플라즈마 생성 챔버는 당업계에 공지된 장비 및 기법들을 채용하는, ICP (inductively-coupled plasma) 반응기, TCP (transformer-coupled plasma) 반응기, 또는 CCP (capacitively-coupled plasma) 반응기일 수도 있다. 전자기장이 플라즈마 생성 챔버 내에서 플라즈마를 생성하도록 하나 이상의 가스들에 작용한다. 리모트 플라즈마로부터의 이온들 및/또는 라디칼들은 포토패터닝된 금속-함유 EUV 레지스트와 상호 작용할 수도 있다. 일부 실시 예들에서, 진공 라인은 압력 제어를 위해 프로세스 챔버에 커플링되고, 현상 화학 물질 라인은 플라즈마 생성 챔버 내로 하나 이상의 가스들의 전달을 위해 플라즈마 생성 챔버에 커플링될 수도 있다. 프로세스 챔버는 온도 제어를 위한 하나 이상의 히터들, 예컨대 기판 온도 제어를 위해 프로세스 챔버 내의 기판 지지부에 커플링된 히터들을 포함할 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내부는 유기 중합체들 또는 무기 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 (PTFE), 예를 들어, TeflonTM이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열적 프로세스들에서 사용될 수 있다.
플라즈마 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도를 위해 최적화된 조건들 하에서 리모트 플라즈마에 노출된다. 조건들은 약한 플라즈마를 생성하기 위해 최적화될 수도 있고, 약한 플라즈마는 고압 및 저전력을 특징으로 할 수 있다. 챔버 압력이 튜닝될 수도 있고, 챔버 압력은 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 5 mTorr 이상, 또는 약 15 mTorr 이상일 수도 있다. 일부 실시 예들에서, 챔버 압력은 고 플로우와 함께 상대적으로 높고 희석이 동반될 수도 있고, 챔버 압력은 약 100 Torr 내지 약 760 Torr, 약 200 Torr 내지 약 760 Torr일 수도 있다. RF 전력 레벨들은 튜닝될 수도 있고, RF 전력은 에칭 선택도, 거칠기, 디스커밍, 및 다른 현상 특성들에 영향을 줄 수도 있다. 일부 실시 예들에서, RF 전력은 약 1000 W 이하, 약 800 W 이하, 또는 약 500 W 이하일 수도 있다. 온도가 튜닝될 수도 있고, 여기서 온도는 에칭 선택도와 같은 현상의 다양한 양태들에 영향을 줄 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 300 ℃, 약 0 ℃ 내지 약 300 ℃, 또는 약 30 ℃ 내지 약 120 ℃일 수도 있다. 가스 플로우 레이트는 튜닝될 수도 있고, 가스 플로우는 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 가스 플로우 레이트는 약 50 sccm 내지 약 2000 sccm, 약 100 sccm 내지 약 2000 sccm, 또는 약 200 sccm 내지 약 1000 sccm, 예컨대 약 500 sccm이다. 노출의 지속 기간은 플라즈마 현상 프로세스에서 튜닝될 수도 있다. 노출의 지속 기간은 다른 요인들 중에서도, 얼마나 많은 레지스트가 제거되어야 하는지, 현상 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 1 초 내지 약 50 분, 약 3 초 내지 약 20 분, 또는 약 10 초 내지 약 6 분일 수도 있다.
플라즈마 현상 프로세스들은 포토패터닝된 금속-함유 EUV 레지스트를 특정한 할라이드-함유 가스들의 라디칼들에 노출할 수도 있다. 일부 실시 예들에서, 라디칼들은 리모트 플라즈마 소스로부터 생성된다. 예를 들어, 플라즈마 현상은 포토패터닝된 금속-함유 EUV 레지스트를 리모트 플라즈마 소스로부터 생성된 수소 및 할라이드 가스들의 라디칼들에 노출할 수도 있다. 일부 실시 예들에서, 할라이드-함유 가스는 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함한다. 수소 할라이드는 이로 제한되지 않지만 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 또는 수소 아이오다이드 (HI) 를 포함할 수 있다. 예를 들어, 수소 할라이드는 HCl 또는 HBr일 수도 있다. 수소 및 할로겐 가스는 이로 제한되는 것은 아니지만 불소 가스 (F2), 염소 가스 (Cl2), 브롬 가스 (Br2), 또는 요오드 가스 (I2) 와 혼합된 수소 가스 (H2) 를 포함할 수 있다. 유기 할라이드는 이로 제한되지 않지만 CxHyFz, CxHyClz, CxHyBrz, 및 CxHyIz를 포함할 수 있고, 여기서 x, y, 및 z는 0 이상의 값들이다. 아실 할라이드는 이로 제한되지 않지만 CH3COF, CH3COCl, CH3COBr, 및 CH3COI를 포함할 수 있다. 카르보닐 할라이드는 이로 제한되지 않지만 COF2, COCl2, COBr2, 및 COI2를 포함할 수 있다. 티오닐 할라이드는 이로 제한되지 않지만 SOF2, SOCl2, SoBr2, 및 SOI2를 포함할 수 있다. 일부 실시 예들에서, 할라이드-함유 가스는 He, Ne, Ar, Xe, 및 N2와 같은 불활성/캐리어 가스와 함께 또는 불활성/캐리어 가스 없이 흐를 수도 있다.
플라즈마 활성화에 부가하여 또는 대안적으로, 건식 현상 프로세스에서 하나 이상의 가스들의 활성화가 광 활성화 (photoactivation) 에 의해 발생할 수도 있다. 일부 실시 예들에서, 광 활성화는 UV (ultraviolet) 복사선에 대한 노출에 의해 달성될 수도 있다. 예를 들어, 프로세스 챔버는 UV 복사선을 생성하도록 구성된 UV 램프와 같은 램프를 포함할 수도 있다. 하나 이상의 가스들을 UV 복사선에 노출하는 것은 포토패터닝된 금속-함유 EUV 레지스트의 건식 현상에 사용될 수 있는 하나 이상의 가스들의 라디칼들을 생성할 수도 있다. UV 복사선에 대한 하나 이상의 가스들의 노출은 포토패터닝된 레지스트를 UV 복사선에 노출하지 않는 방식으로 발생할 수도 있다. 즉, 포토패터닝된 레지스트는 UV 램프에 대해 보이지 않는다. 따라서, UV 램프는 프로세스 챔버로부터 이격될 수도 있고 또는 포토패터닝된 레지스트를 UV 복사선에 노출하는 것을 방지하는 방식으로 포지셔닝될 수도 있다.
전술한 열적 현상, 플라즈마 현상, 및 광 활성화 현상 방법들이 함께 결합될 수도 있다는 것이 이해될 것이다. 이러한 현상 방법들은 동시에 또는 순차적으로 적용될 수도 있다. 현상 방법들은 액상 (liquid phase) 또는 증기상 (vapor phase) 에서 건식 현상 화학 물질을 흘리는 동안 적용될 수도 있고, 여기서 건식 현상 화학 물질은 화학식 RxZy의 화합물을 포함할 수 있고, 여기서 R = B, Al, Si, C, S, SO이고, x > 0 그리고 Z = Cl, H, Br, F, CH4이고 y > 0이다. 현상은 RxZy 종이 노출되지 않은 재료 또는 노출된 재료를 선택적으로 제거하여 노출된 대응물 또는 노출되지 않은 대응물을 마스크로서 남기는, 포지티브 톤 또는 네거티브 톤을 발생시킬 수 있다.
상기 기술된 바와 같이, 건식 현상 동안 에칭 선택도는 다른 튜닝 가능한 프로세스 조건들 중에서 온도, 압력, 가스 플로우, 가스 조성, 및 플라즈마 전력과 같은 프로세스 조건들을 제어함으로써 튜닝 가능하다. 단일 단계 또는 복수의 단계들에서 에칭 선택도를 튜닝하는 것은 목표된 패터닝된 특성들을 달성할 수도 있다. 일부 실시 예들에서, EUV 레지스트 프로파일에 영향을 주기 위해 하나 이상의 단계들에 걸쳐 에칭 선택도가 건식 현상 동안 튜닝된다. 보다 구체적으로, EUV 레지스트 프로파일의 테이퍼 양 또는 재진입 (re-entrant) 각도는 하나 이상의 단계들에 걸쳐 상이한 에칭 선택도의 현상 화학 물질을 적용함으로써 제어될 수 있다. 디스커밍, 포토레지스트 재작업, 경화 (curing), 평활화 및 세정 동작들은 또한 튜닝 가능한 에칭 선택도에 따라 튜닝될 수도 있다.
도 1은 일부 실시 예들에 따른 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (100) 의 하나 이상의 동작들은 도 7 내지 도 10 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (100) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (100) 의 동작 (102) 에서, 포토패터닝된 금속 함유 레지스트가 제공된다. 레지스트는 기상 증착 프로세스와 같은 건식 증착 프로세스에 의해 또는 스핀-온 증착 프로세스와 같은 습식 프로세스에 의해 증착될 수도 있다.
포토레지스트는 금속-함유 EUV 레지스트일 수도 있다. EUV 감응 금속 또는 금속 옥사이드-함유 막이 습식 (예를 들어, 스핀-온) 증착 기법 또는 건식 (예를 들어, 화학적 기상 증착 (chemical vapor deposition; CVD)) 증착 기법을 포함하는, 임의의 적합한 기법에 의해 반도체 기판 상에서 증착될 수도 있다. 예를 들어, 기술된 프로세스들은 스핀-코팅 가능 포뮬레이션들 (formulations) 및 건식 진공 증착 기법들을 사용하여 도포된 포뮬레이션들 모두에 적용 가능한, 유기주석 옥사이드들에 기초한 EUV 포토레지스트 조성물들에 대해 입증되었고, 이하에 더 기술된다.
반도체 기판들은 포토리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 실시 예들에서, 반도체 기판들은 실리콘 웨이퍼들이다. 반도체 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는 피처들 ("하부 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 개시의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 하부 피처들은 이 개시의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 개시의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다.
EUV-감응 박막들이 반도체 기판 상에 증착될 수도 있고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 박막들은 EUV에 노출 시, 더 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저 밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 (undergo) 재료들을 포함한다. EUV 패터닝을 통해, 노출되지 않은 영역들에 대해 변경된 물리적 또는 화학적 특성들을 갖는 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 노출되지 않은 영역을 용해시키도록, 또는 노출 영역 또는 노출되지 않은 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 더 소수성인 (hydrophobic) 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
다양한 실시 예들에서, 박막들은 유기금속성 (organometallic) 재료들, 예를 들어 주석 옥사이드를 포함하는 유기주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속 전구체의 증기상 반응으로 제조될 수도 있다. 다양한 실시 예들에서, 유기금속 화합물들은 대응-반응물질들과 벌키 알킬기들 또는 플루오로알킬기들을 갖는 유기금속 전구체들의 특정한 조합들을 혼합 및 반도체 기판 상에 증착하는 저밀도, EUV-감응 재료를 생성하기 위해 증기상 (vapor phase) 의 혼합물을 중합하는 것을 통해 형성된다.
다양한 실시 예들에서, 유기금속 전구체들은 증기상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 (coordinate) 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질들에 의해 대체될 수 있다. 유기금속성 전구체들은 화학식:
MaRbLc
(화학식 1) 의 전구체들을 포함하고,
여기서, 여기서, M은 높은 패터닝 복사-흡수 단면을 갖는 원소이고; R은 CnH2n+1과 같은 알킬이고, 바람직하게 n은 2 이상이고; L은 대응-반응 물질과 반응성인 리간드, 이온 또는 다른 모이어티이고; a는 1 이상이고; b는 1 이상이고; 그리고 c는 1 이상이다
다양한 실시 예들에서, M은 1 x 107 ㎠/㏖ 이상의 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH(2n+1) 을 갖는다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 에틸, i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다. L은 아민들 (예컨대 디알킬아미노, 모노알킬아미노), 알콕시, 카르복실레이트들, 할로겐들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환된 임의의 모이어티일 수도 있다.
유기금속 전구체들은 임의의 폭넓고 다양한 후보 금속-유기 전구체들일 수도 있다. 예를 들어, M이 주석이면, 이러한 전구체들은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스 (디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스)디메틸아미노 주석, n-프로필 트리스(디메틸아미노) 주석, 에틸 트리스(디메틸아미노) 주석 및 t-부틸 트리스(t-부톡시) 주석과 같은 유사한 알킬(트리스) (t-부톡시) 주석 화합물들을 포함한다. 일부 실시 예들에서, 유기금속 전구체들은 부분적으로 플루오르화된다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖는다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합하는 수소 설파이드 및 수소 디설파이드를 포함한다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속성 전구체 및 대응-반응 물질들에 더하여 선택 가능한 (optional) 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 반도체 기판 상에서 증착 전, 박막의 증착 후, 또는 둘 모두에 증기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 약한 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고 그리고 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 이러한 프로세스들에서, 중합된 유기금속성 재료는 증기상으로 또는 반도체 기판의 표면 상에 인 시츄 (in situ) 형성된다. 적합한 프로세스들은 금속 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는 예를 들어, CVD, ALD, 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD를 포함한다.
일반적으로, 방법들은 중합된 유기금속성 재료를 형성하기 위해 유기금속성 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속성 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 2 개 이상의 유기금속성 전구체가 증기 스트림에 포함된다. 일부 실시 예들에서, 2 개 이상의 대응-반응 물질이 증기 스트림에 포함된다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들을 형성하기 위해 별개의 유입구 경로들 내의, 유기금속성 전구체 및 대응-반응 물질의 소스의 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 스트림들은 예를 들어, 별개의 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 유기금속성 전구체의 스트림들 및 대응-반응 물질이 챔버에서 혼합되도록 구성되고, 중합된 유기금속성 재료를 형성하기 위해 유기금속성 전구체 및 대응-반응 물질로 하여금 반응하게 한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 증기상 반응으로부터의 생성물이 분자량이 더 무거워지고, 이어서 응결되거나 그렇지 않으면 반도체 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 방지하고, 평활한, 비정질, 저밀도 막들을 생성한다.
CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 10 mTorr 내지 10 Torr로 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 일부 실시 예들에서, 반도체 기판의 온도는 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃, 또는 주변 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에서 중합된 유기금속성 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다.
일부 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고 그리고 당업계에 공지된 습식 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에서 증착된다. 예를 들어, 유기금속성 재료는 반도체 기판의 표면 상의 스핀-코팅에 의해 형성된다.
반도체 기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특성들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께일 수도 있다. EUV-패터닝 가능한 막은, EUV-패터닝 가능한 막의 하단부를 향해 사용 가능한 상당히 더 적은 EUV 광자들을 갖도록, 30 % 이상의 흡수를 수용할 수도 있다. 더 높은 EUV 흡수는 EUV-노출된 막의 하단부와 비교하여 EUV-노출된 막의 상단부 근방에서 더 많은 교차 결합 및 치밀화를 야기한다. 불충분한 교차-결합은 레지스트를 습식 현상에서 들리거나 (liftoff) 붕괴하기 쉽게 할 수도 있지만, 이러한 위험은 건식 현상에서 존재하지 않는다. 완전-건식 (all-dry) 리소그래피 접근법은 더 불투명한 레지스트 막들에 의한 EUV 광자들의 더 효율적인 활용을 용이하게 할 수도 있다. EUV 광자들의 효율적인 활용은 더 높은 전체 흡수율을 갖는 EUV-패터닝 가능한 막들을 사용하여 발생할 수도 있지만, 일부 예들에서, EUV-패터닝 가능한 막은 약 30 % 미만일 수도 있다는 것이 이해될 것이다. 비교를 위해, 대부분의 다른 레지스트 막들의 최대 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 미만 (예를 들어, 10 % 이하, 또는 5 % 이하) 이다. 일부 실시 예들에서, 막 두께는 10 ㎚ 내지 40 ㎚ 또는 10 ㎚ 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 당업계의 습식, 스핀-코팅 프로세스들과 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 더 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
도 1을 다시 참조하면, 동작 (104) 에서, 포토패터닝된 금속-함유 레지스트는 에천트의 펄스에 노출된다. 본 명세서에 사용된 바와 같은 펄스는 명시된 시간 기간 동안 특정한 양의 가스 플로우를 지칭한다. 일부 실시 예들에서, 에천트 펄스 지속 기간은 약 1 내지 약 120 초이다. 일부 실시 예들에서, 에천트 펄스 지속 기간은 약 5 내지 약 30 초이다. 일부 실시 예들에서, 에천트 펄스 지속 기간은 약 1 내지 약 20 초이다. 일부 실시 예들에서, 에천트 플로우 레이트는 약 50 내지 약 3,000 sccm이다.
일부 실시 예들에서, 동작 (104) 은 에천트가 가스 형태로 프로세스 챔버로 전달되는 열적 프로세스이다. 다른 실시 예들에서, 에천트는 플라즈마 형태로 프로세스 챔버에 전달될 수도 있다. 에천트 플라즈마는 전자들, 양이온들, 중성 종, 라디칼들 및 다른 플라즈마 종과 같은 반응성 종을 포함할 수도 있다. 일부 실시 예들에서, 에천트는 수소 브로마이드 또는 수소 클로라이드와 같은 할라이드 에천트이고; 또는 수소와 염소 (Cl2) 또는 수소와 브롬 (Br2) 과 같은 수소와 할로겐의 혼합물이다.
동작 (104) 은 BCl3 (붕소 트리클로라이드) 또는 다른 루이스 산과 같은 건식 현상 에천트를 흘리는 동안 약한 플라즈마 (고압, 저전력) 또는 열적 프로세스를 사용함으로써 수행될 수 있다. 일부 실시예들에서, BCl3은 노출되지 않은 재료를 신속히 제거할 수 있고, 플라즈마 기반 에칭 프로세스들, 예를 들어 종래의 에칭 프로세스들에 의해 하부 층들로 전사될 수 있는 노출된 막의 패턴을 남긴다.
플라즈마 프로세스들은 TCP (Transformer Coupled Plasma), ICP (Inductively Coupled Plasma) 또는 CCP (Capacitively Coupled Plasma) 를 포함하고, 당업계에 공지된 장비 및 기법들을 채용한다. 예를 들어, 프로세스는 5 mT 초과 압력 (예를 들어, 15 mT 초과), 1000 W 미만의 전력 레벨 (예를 들어, 500 W 미만) 로 수행될 수도 있다. 온도들은 1 내지 3000 초 (예를 들어, 10 초 내지 600 초) 동안 100 내지 1000 sccm (standard cubic centimeters per minute), 예를 들어, 약 500 sccm의 플로우 레이트로 0 내지 300 ℃ (예를 들어, 30 내지 120 ℃) 일 수도 있다.
열적 현상 프로세스들에서, 기판은 진공 챔버 (예를 들어, 오븐) 의 건식 현상 화학 물질 (예를 들어, 루이스 산) 에 노출된다. 적합한 챔버들은 진공 라인, 건식 현상 화학 물질 가스 (예를 들어, BCl3) 라인, 및 온도 제어를 위한 가열기들을 포함할 수 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 ((PTFE), 예를 들어, TeflonTM) 이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 기술의 열적 프로세스들에서 사용될 수 있다.
에천트 펄스 동안, 프로세스 챔버의 압력은 일부 실시 예들에서 약 5 mTorr 내지 약 1 Torr일 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내의 페데스탈 온도는 에천트 펄스 동안 약 -60 ℃ 내지 약 120 ℃일 수도 있다. 더욱이, 에칭 선택도는 가스 플로우, 수소 대 할로겐 비, 압력, 온도 또는 RF 전력을 조정함으로써 노출되지 않은 포토레지스트와 EUV 노출된 포토레지스트 사이에서 5 : 1로부터 50 : 1 초과로 튜닝될 수 있다.
동작 (106) 에서, 일부 실시 예들에서 프로세스 챔버를 통해 불활성 캐리어 가스를 흘림으로써 에천트에 대한 노출 후에 선택 가능한 퍼지가 수행된다. 퍼지 가스 또는 캐리어 가스는 에천트와 반응하지 않도록 선택된다. 헬륨, 아르곤, 질소 또는 이들의 조합들과 같은 가스들이 활용될 수도 있다.
동작 (108) 에서, 동작 (104) 에서 에칭된 레지스트는 산화제의 펄스로 세정된다. 산화제는 레지스트 상에 바람직하지 않은 에칭 정지부를 생성하는 에천트 펄스 동안 형성될 수도 있는 비휘발성 부산물들을 제거하도록 (clear) 사용된다. 비휘발성 부산물들은 에칭 내성 잔여물들 (etch resistant residues) 이고, 추가 프로세싱을 방해할 수 있다. 일부 실시 예들에서, 비휘발성 부산물들은 노출되지 않은 포토레지스트의 하단부에 있다. 산화제를 사용한 처리는 비휘발성 부산물을 휘발성으로 만들 수 있고, 따라서 추가 에칭을 가능하게 한다.
산화제는 산소, 오존, 과산화수소, 물, 아산화질소, 산화질소, 이산화질소, 질산, 이산화황, 염소, 불소, 브롬, 요오드 또는 이들의 조합일 수도 있다. 일부 실시 예들에서, 산화제는 공기; 물과 산소; 또는 염소 (Cl2) 이다.
일부 실시 예들에서, 동작 (108) 은 산화제가 가스 형태로 프로세스 챔버에 전달되는 열적 프로세스이다. 다른 실시 예들에서, 산화제는 플라즈마 형태로 프로세스 챔버에 전달될 수도 있다. 에천트 플라즈마는 전자들, 양이온들, 중성 종, 라디칼들 및 다른 플라즈마 종과 같은 반응성 종을 포함할 수도 있다.
일부 실시 예들에서, 동작 (108) 은 동작 (104) 보다 더 높은 온도에서 발생한다. 상승된 온도는 고온 가스로서 산화제를 전달함으로써, 또는 동작 (104) 을 위해 활용된 제 1 온도보다 더 높은 제 2 온도로 프로세스 챔버 내의 페데스탈을 가열함으로써 달성될 수도 있다. 고온 가스로서 전달된다면, 가스의 온도는 약 50 ℃ 내지 약 250 ℃일 수도 있다. 프로세스 챔버의 페데스탈이 산화제 펄스를 위해 가열된다면, 페데스탈은 약 20 ℃ 내지 약 150 ℃의 온도로 가열될 수도 있다.
동작 (108) 에서, 산화제는 특정한 플로우 레이트로 특정한 시간의 지속 기간 동안 펄스로서 전달된다. 펄스의 지속 기간은 약 1 내지 약 60 초일 수도 있다. 에천트에 대한 산화제의 비는 1 : 1일 수도 있다. 일부 실시 예들에서, 산화제 플로우 레이트는 약 50 내지 약 3,000 sccm이다.
동작 (108) 및 동작 (104) 는 시간적으로 분리된 펄스들로서, 시간적으로 분리된 펄스들을 의미한다. 동작 (104) 에서 에천트의 펄스 및 프로세스 챔버로 전달된 산화제의 펄스는 일 사이클이다. 사이클 (114) 은 완전한 부산물 제거를 달성하도록 목표된 수만큼 반복될 수 있다. 이에 더하여, 매 사이클마다 에천트 및 산화제 전달은 동일한 펄스 지속 기간을 가질 수도 있고; 또는 펄스 지속 기간들은 특정한 수의 사이클들 후에 가변될 수도 있다. 온도에 대한 선택 가능한 아르곤 퍼지를 사용한 예시적인 에천트 HBr 및 예시적인 산화제 Cl2의 펄스 시퀀싱의 타이밍이 도 2에 그래프로 예시된다.
도 1을 다시 참조하면, 동작 (110) 에서, 선택 가능한 퍼지가 일부 실시 예들에서 프로세스 챔버를 통해 불활성 캐리어 가스를 흘림으로써 동작 (104) 및 동작 (108) 의 일 사이클 후에 수행될 수도 있다. 퍼지 가스 또는 캐리어 가스는 에천트와 반응하지 않도록 선택된다. 헬륨, 아르곤, 질소 또는 이들의 조합들과 같은 가스들이 활용될 수도 있다. 선택 가능한 퍼지가 사이클 후에 활용된다면, 다음 사이클은 (116) 에 예시된 바와 같이 에천트에 대한 노출로 시작된다.
동작 (112) 에서, 레지스트 마스크가 형성된다. 플라즈마는 노출된 포토레지스트를 강화시키는 (harden) 것을 돕는데 활용될 수도 있다.
일부 예들에서, 현상 후 잔여물 또는 스컴이 남아 있을 수도 있다. 잔여물은 스핀-코팅 기법들에 의해 적용된 것들을 포함하는, 덜 균질한 EUV 레지스트 포뮬레이션들에서 더 느린 에칭 컴포넌트들로부터 발생할 수도 있다. 이러한 스컴은 차후의 패턴 전사 동안 문제가 될 수도 있는 고 금속 농도들을 함유할 수도 있다.
부가적으로, 또는 대안적으로, 거칠기는 현상된 패턴의 에칭된 피처들의 측벽들 상에 현상 후에 형성될 수도 있다. 이들 중 일부는 레지스트가 노출되지 않은 채로 유지되어야 하는 영역들에서 부분적으로 또는 완전히 노출된 재료를 발생시키거나 그 반대로, 광의 확률론들 또는 비최적 가우스 분포에 기인할 수도 있다.
일부 실시 예들에서, 건식 현상은 디스컴 (descum)/평활화 동작에 동반될 수도 있다. 일부 실시 예들에서, 디스커밍 및 평활화 동작은 불활성 가스 플라즈마 탈착 동작일 수도 있다. 예를 들어, 불활성 가스 플라즈마 탈착 동작은 헬륨 플라즈마 탈착 동작일 수도 있다. 불활성 가스 플라즈마 탈착 동작은 건식 현상 후 수행되거나 건식 현상과 순환될 수도 있다.
다양한 실시 예들은 기상 증착, EUV 리소그래피 패터닝, 및 건식 현상에 의해 모든 건식 동작들을 결합하는 것을 포함한다. 다양한 다른 실시 예들은 습식 프로세싱 동작 및 건식 프로세싱 동작의 조합을 포함하고, 예를 들어, 스핀-온 EUV 포토레지스트들 (습식 프로세스) 은 본 명세서에 기술된 바와 같이 건식 현상 또는 다른 습식 또는 건식 프로세스들과 결합될 수도 있다. 또한 다양한 증착 후 (또는 도포 후) 프로세스들, 예컨대 막 특성들을 개질하고 향상시키기 위한 베벨 및 배면 세정, 챔버 세정, 디스컴, 평활화, 및 경화, 및 포토레지스트 재작업 (rework) 프로세싱이 기술된다.
도 3a 내지 도 3c는 본 명세서에 기술된 방법들의 특정한 실시 예들의 일부 파라미터들에 대한 그래픽 예시들이다. 도 3a는 어떠한 산화제도 없이, 에칭 정지가 발생하고 초기 25 ㎚ 두께 EUV 노출되지 않은 층의 나머지 두께는 10 ㎚임을 입증한다. Cl2 산화제의 더 높은 퍼지 온도는 부산물 제거에 더 효과적이다.
도 3b는 순환적 건식 현상 프로세스에서 Cl2 산화제 펄스들과 함께, 더 많은 수의 사이클들을 갖는 20 ℃ 프로세스 온도에서 HBr 에천트 더 짧은 펄스가 더 많은 부산물들의 제거를 야기한다는 것을 예시한다.
도 3c는 순환적 건식 현상 프로세스에서 HBr 에천트 펄스들과 함께, 40 ℃ 프로세스 온도에서 더 긴 Cl2 산화제 퍼지 시간들이 잔여 두께를 감소 시키는데 더 효과적이라는 것을 예시한다.
도 4는 에천트 및 산화제의 순차적인, 교번하는 펄스들을 갖는 순환적 건식 현상 프로세스와 비교하여 에천트만을 활용하는 종래의 건식 현상을 비교하는, 패터닝된 포토레지스트 표면들의 주사 전자 현미경 이미지들을 도시한다. HBr 에천트는 500 sccm 플로우 레이트, 300 mTorr 압력 및 20 ℃ 온도의 프로세스 조건들 하에서 활용되었다. 개방 영역 및 치밀 영역 모두에서 더 청정한 (cleaner) 노출되지 않은 영역 및 더 우수한 에지 거칠기가 순환적 건식 현상 프로세스의 5 사이클을 적용한 후 달성된다.
도 5는 단일 건식 현상 프로세스 (HBr 에천트 단독) 대 순환적 프로세스의 한계들을 예시한다. 25 ㎚의 노출되지 않은 포토레지스트 막에 대해, 에천트 단독이 활용될 때 15 ㎚보다 약간 더 적은 두께의 잔여 두께로 에칭 정지가 발생한다. 대조적으로, 순환적 프로세스 (총 시간 240 초) 의 활용은 Cl2 또는 공기 산화제를 사용하여 노출되지 않은 포토레지스트 막의 훨씬 더 많은 제거를 발생시킨다.
도 6은 일부 실시 예들에 따른 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다. 프로세스 (200) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (200) 의 하나 이상의 동작들은 도 7 내지 도 10 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (200) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (200) 의 동작 (202) 에서, 포토패터닝된 금속 함유 레지스트가 제공된다.
동작 (204) 에서, 포토패터닝된 금속-함유 레지스트는 에천트에 노출된다.
동작 (206) 에서, 에천트를 사용한 처리 후, 모든 비휘발성 부산물들을 용해시키기 위해 세정제가 활용된다. 일부 실시 예들에서, 세정제는 물, 메탄올, 에탄올, 이소프로판올, 아세톤, 아세토니트릴, 테트라하이드로푸란, 디메틸 설파이드 또는 이들의 조합과 같은 적합한 극성 용매일 수도 있다. 일부 실시 예들에서, 세정제는 저 표면 장력 초임계 액체와 같은 초임계 유체일 수도 있다. 적합한 액체는 이로 제한되는 것은 아니지만, 이산화탄소, 이산화황, 디메틸 에테르 또는 이들의 조합을 포함한다.
세정제를 사용한 세정 프로세스 동안, 프로세스 챔버 내의 페데스탈의 온도는 일부 실시 예들에서 약 10 ℃ 내지 약 50 ℃이다. 일부 실시 예들에서, 프로세스 챔버 압력은 세정제에 대한 노출 동안 약 5 psi 내지 약 3,000 psi이다.
동작 (208) 에서, 레지스트 마스크가 형성된다. 플라즈마는 노출된 포토레지스트의 강화, 표면 거칠기 개선 및 디스커밍을 돕도록 활용될 수도 있다.
디스커밍 및 평활화 동작들을 위한 프로세스 조건들은 현상 동안 또는 현상 후에 제어될 수도 있다. 일부 실시 예들에서, 반응 물질 플로우는 약 50 sccm 내지 약 1000 sccm, 또는 약 100 sccm 내지 약 500 sccm, 예컨대 약 500 sccm의 He일 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 120 ℃, 약 -20 ℃ 내지 약 60 ℃, 또는 약 20 ℃ 내지 약 40 ℃, 예컨대 약 20 ℃일 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 1 mTorr 내지 약 300 mTorr, 또는 약 5 mTorr 내지 약 100 mTorr, 약 5 mTorr 내지 약 20 mTorr, 예컨대 약 10 mTorr일 수도 있다. 플라즈마 전력은 고 이온 에너지로 상대적으로 낮을 수도 있다. 일부 실시 예들에서, 플라즈마 전력은 약 50 W 내지 약 1000 W, 약 100 W 내지 약 500 W, 또는 약 100 W 내지 약 300 W, 예컨대 약 300 W일 수도 있다. 일부 실시 예들에서, 웨이퍼 바이어스는 약 10 V 내지 약 500 V, 약 50 V 내지 약 300 V, 예컨대 약 200 V이다. 플라즈마는 고 RF 주파수를 사용하여 생성될 수도 있다. 일부 실시 예들에서, RF 주파수는 13.56 ㎒이다. 불활성 가스 플라즈마에 대한 노출의 지속 기간은 플라즈마 노출 동안 UV 복사선에 대한 과도한 노출을 방지하도록 상대적으로 짧을 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 0.5 초 내지 약 5 초, 약 1 초 내지 약 3 초, 예컨대 약 2 초이다.
노출되지 않은 레지스트 잔여물의 디스커밍 및 세정을 위한 불활성 가스 플라즈마 처리는 노출된 레지스트를 경화하여 강화함으로써 하부 기판을 에칭하기 위한 후속 동작들에서 하드 마스크 기능을 향상시키는 부수적인 이점을 가질 수 있다. 이 레지스트 강화는 바이어스가 턴 오프된 상태에서 디스컴/평활화가 완료된 후 계속될 수도 있는, 불활성 가스 플라즈마에 의해 생성된 UV 복사선에 EUV 노출된 레지스트의 노출에 의해 달성된다. 불활성 가스 플라즈마 경화는 디스컴/평활화가 필요하지 않거나 수행되지 않으면 대안적으로 수행될 수도 있다.
일부 실시 예들에서, 불활성 가스 플라즈마 탈착 디스컴 및 평활화가 습식 현상 프로세스와 함께 사용될 수도 있다. 습식 현상은 매우 높은 선택도를 갖고, "스트레이 (stray)" EUV 광자들에 의해 노출된 영역들을 제거하는 습식 현상 프로세스의 불능을 발생시키는 명확한 온/오프 거동을 나타내는 것을 알게 되었다. 이어서 남아 있는 잔여물들은 습식 현상 프로세스 후에 남고, 스커밍 및 높은 라인 에지 및 폭 거칠기를 발생시킨다. 흥미롭게도, 에칭 레이트 및 선택도가 복수의 노브들 (knobs) (예를 들어, 시간, 온도, 압력, 가스/플로우), 불활성 가스 플라즈마 및/또는 건식 현상에 기초하여 튜닝될 수 있는 건식 현상 프로세스의 튜닝 가능성으로 인해, 이들 부분적으로 노출된 잔여물들을 제거함으로써 디스컴 및 평활한 금속 함유 레지스트 라인들에 더 적용될 수 있다.
도 8에서, 디스커밍 동작 및 평활화 동작은 습식 현상 또는 건식 현상 후에 수행될 수도 있다.
장치
본 개시의 장치는 EUV 레지스트의 현상을 위해 구성된다. 장치는 증착, 베벨 및 배면 세정, 도포 후 소성, EUV 스캐닝, 노출 후 소성, 포토레지스트 재작업, 디스컴, 평활화, 경화, 및 다른 동작들과 같은 다른 프로세싱 동작들을 수행하도록 구성될 수도 있다. 일부 실시 예들에서, 장치는 모든 건식 동작들을 수행하도록 구성된다. 일부 실시 예들에서, 장치는 모든 습식 동작들을 수행하도록 구성된다. 일부 실시 예들에서, 장치는 습식 동작 및 건식 동작의 조합을 수행하도록 구성된다. 장치는 단일 웨이퍼 챔버 또는 동일한 프로세스 챔버 내의 복수의 스테이션들을 포함할 수도 있다. 복수의 스테이션들을 갖는, 동일한 프로세스 챔버에서, 본 개시에 기술된 것과 같은 다양한 프로세싱 동작들은 동일한 프로세스 챔버 내의 상이한 스테이션들에서 수행될 수도 있다. 예를 들어, PEB 열적 처리는 일 스테이션에서 수행되고 현상은 또 다른 스테이션에서 수행될 수도 있다.
EUV 레지스트의 현상을 위해 구성된 장치는 기판 지지부를 갖는 프로세스 챔버를 포함한다. 장치는 압력 제어를 위해 프로세스 챔버에 커플링된 진공 라인, 및 현상 화학 물질의 전달을 위해 프로세스 챔버에 커플링된 현상 화학 물질 라인을 포함할 수도 있다. 일부 실시 예들에서, 현상 화학 물질은 할라이드-함유 가스들 또는 할라이드-함유 가스들의 라디칼들을 포함한다. 일부 실시 예들에서, 프로세스 챔버는 플라즈마-생성 챔버이거나 리모트 플라즈마 소스로서 기능하는 플라즈마-생성 챔버에 커플링된다. 플라즈마-생성 챔버는 ICP, TCP, 또는 CCP 반응기일 수도 있다. 장치는 온도 제어를 위한 하나 이상의 히터들을 포함할 수도 있다. 이러한 히터들은 프로세스 챔버 및/또는 기판 지지부 내에 제공될 수도 있다.
일부 실시 예들에서, 프로세스 챔버 내부는 부식 내성 막들, 예컨대 중합체들 또는 무기 코팅들로 코팅된다. 일 예에서, 프로세스 챔버 내부는 양극 산화된 알루미나로 코팅된다. 또 다른 예에서, 프로세스 챔버 내부는 이트륨 옥사이드 (Y2O3) 로 코팅된다.
일부 실시 예들에서, 프로세스 챔버는 플라스틱과 같은 저렴한 재료로 이루어진다. 프로세스 챔버는 반드시 금속 또는 세라믹으로 이루어질 필요는 없다. 플라스틱 재료는 현상 동안 할라이드-함유 화학 물질들을 견디기에 충분할 수도 있다. 진공 라인들 및/또는 현상 화학 물질 라인들은 플라스틱 챔버에 커플링될 수도 있다.
일부 실시 예들에서, 기판 지지부는 방사상 컴포넌트 및 방위각 컴포넌트를 갖는 온도 분포를 사용하여 기판을 프로세싱하도록 사용될 수도 있다. 기판 지지부는 온도 제어 존들 위의 기판 위치들에 근접하게 배치된 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함할 수도 있다. 이는 기판 지지부 내의 하나 이상의 히터들로 하여금 온도를 더 정밀하고 국부적으로 제어하게 한다. 온도 제어 존들은 직사각형 그리드, 육각형 그리드, 또는 목표된 바와 같이 온도 프로파일을 생성하기 위한 다른 적합한 패턴과 같은 규정된 패턴으로 배치될 수도 있다. 일부 실시 예들에서, 온도 제어 존들은 방위각 불균일성 또는 국부화된 CD 불균일성을 보정하기 위해 정전 척 내에 공간적으로 배치될 수도 있다.
일부 실시 예들에서, 장치는 프로세스 챔버 내로 하나 이상의 가스들을 전달하기 위한 샤워헤드를 더 포함할 수도 있다. 일부 실시 예들에서, 샤워헤드는 샤워헤드 내에서 대체로 분리된 가스들을 유지하는 동안 반응 영역으로 복수의 분리된 가스들을 공급할 수도 있다. 샤워헤드는 복수의 플레넘 볼륨들을 포함할 수도 있다. 이는 다른 화학 물질들 중에서 전구체 가스들, 캐리어 가스들, 현상 가스들, 및 세정 가스들의 분리를 허용한다.
프로세스 챔버로부터 물 또는 수분의 제거는 포토패터닝된 금속-함유 EUV 레지스트와 현상 화학 물질의 반응을 가속화할 수도 있다. 일부 실시 예들에서, 콜드 트랩 (cold trap) 은 부산물 수증기의 제거를 위해 프로세스 챔버에 커플링될 수도 있다. 콜드 트랩은 부산물 수증기를 액체 또는 고체 형태로 응결시킬 수도 있다.
일부 실시 예들에서, 장치는 레지스트 경화 및 탈할로겐화 (dehalogenation) 를 위해 UV 램프와 같은 UV 소스 및/또는 IR 램프와 같은 IR 소스를 더 포함할 수도 있다. UV 소스 및/또는 IR 소스는 EUV 레지스트를 경화시키기 위해 복사선에 대한 노출을 제공할 수도 있다. 부가적으로 또는 대안적으로, UV 소스는 현상 화학 물질들의 광 활성화를 보조할 수도 있다. 부가적으로 또는 대안적으로, UV 소스는 할로겐 제거를 보조할 수도 있다. 할로겐 잔여물들은 UV 노출에 의해 제거될 수 있는 반도체 기판 또는 챔버 표면들 상에 형성될 수도 있다.
도 7은 기술된 건식 현상, 세정, 재작업, 디스컴 및 평활화 실시 예들의 구현에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (702) 를 갖는 프로세스 스테이션 (700) 의 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (700) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 10은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 9 및 도 10을 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 본 명세서에 기술된 바와 같이, 에칭될 층 또는 층 스택 상에 배치된 포토패터닝된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서 포토패터닝에 이어서 건식 현상/에칭 챔버로 수용하고, 포토패터닝된 EUV 레지스트 박막 층을 건식 현상하고, 그리고 이어서 패터닝된 EUV 레지스트를 마스크로서 사용하여 하부 층을 에칭하기 위한 방법들 및 장치에 관한 것이다.
도 7을 다시 참조하면, 프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (701) 과 유체로 연통한다 (fluidly communicate). 반응 물질 전달 시스템 (701) 은 샤워헤드 (706) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (704) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (720) 은 프로세스 가스들의 혼합 용기 (704) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (706) 로 전달될 수도 있고 또는 프로세스 스테이션 (700) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열적 노출이 유리하다.
도 7은 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (703) 을 포함한다. 일부 실시 예들에서, 기화 지점 (703) 의 업스트림의 액체 플로우 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (700) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 비례-적분-미분 (Proportional-Integral-Derivative; PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (706) 는 기판 (712) 을 향해 프로세스 가스들을 분배한다. 도 7에 도시된 실시 예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 그리고 페데스탈 (708) 상에 놓인 (rest) 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 과 샤워헤드 (706) 사이의 볼륨에 기판 (712) 을 노출하도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 샤워헤드 (706) 는 복수의 온도 제어들을 갖는 복수의 플레넘 볼륨들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (708) 은 개시된 실시 예들에 기술된 바와 같이, HBr 또는 HCl와 같은, 수소 할라이드 건식 현상 화학 물질에 대한 포토패터닝된 레지스트의 비플라즈마 열적 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어 약 65 내지 80 ℃와 같은, 50 내지 120 ℃의 온도로 가열될 수도 있다. 일부 실시 예들에서, 페데스탈 (708) 의 히터 (710) 는 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함할 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (700) 에 대한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (1200) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (706) 의 포지션은 기판 (712) 과 샤워헤드 (706) 사이의 볼륨을 가변하도록 페데스탈 (708) 에 대해 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 약한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 HBr 또는 HCl과 같은 건식 현상 화학 물질 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (750) 는 도 8의 시스템 제어기 (850) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는 멀티-스테이션 프로세싱 툴 (800) 의 실시 예의 개략도를 도시하고, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (pod) (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌핑 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (814) 는 건식 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시 예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부, 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 에 하드코딩될 (hard coded) 수도 있다. ASICs (applications specific integrated circuits), PLDs (programmable logic devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의 에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (800) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 할라이드 함유 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따른 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따른 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 이들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들 (subparts) 을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지셔닝 및 동작 설정들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 실시 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (850) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 유도 커플링 플라즈마 (inductively coupled plasma; ICP) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 9는 건식 현상 및/또는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기에 적절한 유도 커플링 플라즈마 장치의 단면도를 개략적으로 도시한다. 다른 실시 예들에서, 본 명세서에 기술된 건식 현상 프로세스 및/또는 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (900) 는 챔버 벽들 (901) 및 윈도우 (911) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (924) 를 포함한다. 챔버 벽들 (901) 은 스테인리스 스틸, 알루미늄 또는 플라스틱으로 제조될 수도 있다. 윈도우 (911) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (950) 가 전체 프로세스 챔버를 상부 서브챔버 (902) 및 하부 서브챔버 (903) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (950) 는 제거될 수도 있고, 이에 따라 서브챔버들 (902 및 903) 로 이루어진 챔버 공간을 활용한다. 척 (917) 이 하단 내측 표면 근방의 하부 서브챔버 (903) 내에 포지셔닝된다. 척 (917) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (919) 를 수용하고 홀딩하도록 구성된다. 척 (917) 은 존재한다면 웨이퍼 (919) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (917) 을 둘러싸고 그리고 척 (917) 위에 존재할 때, 웨이퍼 (919) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (917) 은 또한 웨이퍼 (919) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (917) 으로부터 웨이퍼 (919) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (917) 은 RF 전력 공급부 (923) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (923) 는 연결부 (927) 를 통해 매칭 회로 (921) 에 연결된다. 매칭 회로 (921) 는 연결부 (925) 를 통해 척 (917) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (923) 는 척 (917) 에 연결된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따른 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 Vb 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (911) 위에 포지셔닝된 코일 (933) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (933) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 9에 도시된 코일 (933) 의 예는 3 개의 턴들을 포함한다. 코일 (933) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (933) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (941) 를 포함한다. 일반적으로, RF 전력 공급부 (941) 는 연결부 (945) 를 통해 매칭 회로 (939) 에 연결된다. 매칭 회로 (939) 는 연결부 (943) 를 통해 코일 (933) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (941) 는 코일 (933) 에 연결된다. 선택 가능한 패러데이 차폐부 (949) 가 코일 (933) 과 윈도우 (911) 사이에 포지셔닝된다. 패러데이 차폐부 (949) 는 코일 (933) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (949) 는 윈도우 (911) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (949) 는 윈도우 (911) 와 척 (917) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (949) 는 코일 (933) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (949) 는 갭 없이 윈도우 (911) 바로 아래에 있을 수도 있다. 코일 (933), 패러데이 차폐부 (949), 및 윈도우 (911) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (949) 는 금속 또는 다른 종이 프로세스 챔버 (924) 의 윈도우 (911) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (902) 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입구들 (960) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (970) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (940) 가, 프로세스 챔버 (924) 로부터 프로세스 가스들을 인출하고 (draw) 프로세스 챔버 (924) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (903) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (924) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체적 연결이 또한 채용될 수도 있다.
장치 (900) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (960 및/또는 970) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (960) 를 통해서만, 또는 측면 가스 플로우 유입구 (970) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 더 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (949) 및/또는 선택 가능한 그리드 (950) 는 프로세스 챔버 (924) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (949) 및 선택 가능한 그리드 (950) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (960 및/또는 970) 를 통해 프로세스 챔버 (924) 내로 도입되도록, 프로세스 챔버 (924) 의 업스트림에 놓일 수도 있다.
RF 전류로 하여금 코일 (933) 을 통해 흐르게 하도록 RF 전력 공급부 (941) 로부터 코일 (933) 로 무선 주파수 전력이 공급된다. 코일 (933) 을 통해 흐르는 RF 전류는 코일 (933) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (902) 내에 유도 전류를 생성한다. 웨이퍼 (919) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (919) 의 피처들을 에칭하고 웨이퍼 (919) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (902) 및 하부 서브챔버 (903) 모두가 있도록 플라즈마 그리드 (950) 가 사용된다면, 유도 전류는 상부 서브챔버 (902) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (902) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (1450) 는 하부 서브챔버 (903) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (900) 는 하부 서브챔버 (903) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 양이온들에 대해 더 큰 비의 음이온들을 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (922) 를 통해 하부 서브챔버 (903) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (917) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (900) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (900) 에 커플링된다. 부가적으로, 장치 (900) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (900) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (930) 가 프로세스 챔버 (924) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (930) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (900) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (900) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 실시 예들에서, 시스템 제어기 (930) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 이들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (930) 로 통합될 수도 있다. 프로세싱 파라미터들 및/또는 시스템의 타입에 따라, 시스템 제어기는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (930) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (930) 는, 일부 실시 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (930) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (930) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 더 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 10은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 실시 예들에서 유리할 수도 있다.
도 10은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처 (1000) 를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배치 (arrangement) 는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 모듈 및 패터닝 모듈은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 모듈과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (1538) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (1020a 내지 1020d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (1020a 내지 1020d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (1020a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는 ALD 반응기일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (1042 및 1046) 은 VTM (1038) 및 패터닝 모듈 (1040) 과 인터페이싱한다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 10을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등과 함께 클러스터 아키텍처에 통합된, 더 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (1042) 은 증착 모듈 (1020a) 을 서비스하는 VTM (1038) 으로부터 패터닝 모듈 (1040) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (1046) 은 패터닝 모듈 (1040) 로부터 VTM (1038) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (1046) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (1038) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (1020a) 은 패싯 (1036) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1026) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (1040) 및 에어록들 (1042 및 1046) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (1022) 은 에어록들 (1042 및 1046) 을 포함하는 모듈들 사이에서 웨이퍼 (1026) 를 이송한다. 일 실시 예에서, 로봇 (1022) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (1022) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1026) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (1024) 를 갖는다. 프론트 엔드 로봇 (1044) 은 인출 에어록 (1042) 으로부터 패터닝 모듈 (1040) 내로, 패터닝 모듈 (1040) 로부터 인입 에어록 (1046) 내로 웨이퍼들 (1026) 을 이송하도록 사용된다. 프론트 엔드 로봇 (1044) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (1026) 을 이송할 수도 있다. 인입 에어록 모듈 (1046) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1026) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 더 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 (degas) 하도록 EUVL 툴과 증착 툴 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (1042) 은 패터닝 툴 (1040) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (1040) 내의 압력보다 더 높지 않은, 더 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1050) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 그리고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified resist) 의 피처를 패터닝하고, 포토패터닝된 레지스트를 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다. 할라이드-함유 화학 물질을 사용하여 현상이 수행될 수도 있다.
웨이퍼 이동 (movement) 을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 7, 도 8, 또는 도 9 중 임의의 하나에 대해 상기 기술된 바와 같은 제어기가 도 10의 툴을 사용하여 구현될 수도 있다.
결론
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.

Claims (46)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및
    레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클에 포토패터닝된 금속-함유 레지스트를 노출함으로써 상기 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 에천트의 상기 펄스와 상기 산화제의 상기 펄스는 시간적으로 분리되는, 반도체 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 페데스탈은 상기 에천트의 상기 펄스 동안 제 1 온도에 있고; 그리고 상기 산화제는 제 2 온도에서 상기 프로세스 챔버로 전달되는, 반도체 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 페데스탈은 상기 에천트의 상기 펄스 동안 제 1 온도에 있고, 그리고 상기 페데스탈은 상기 산화제의 상기 펄스 동안 제 2 온도에 있는, 반도체 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 에천트의 상기 펄스의 지속 기간은 약 1 내지 약 120 초이고, 그리고 상기 산화제의 상기 펄스의 지속 기간은 약 1 내지 약 120 초인, 반도체 기판을 프로세싱하는 방법.
  6. 제 3 항에 있어서,
    상기 제 1 온도는 약 -60 ℃ 내지 약 120 ℃인, 반도체 기판을 프로세싱하는 방법.
  7. 제 4 항에 있어서,
    상기 제 2 온도는 약 20 ℃ 내지 약 150 ℃인, 반도체 기판을 프로세싱하는 방법.
  8. 제 3 항에 있어서,
    상기 제 2 온도는 약 50 ℃ 내지 약 250 ℃인, 반도체 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 에천트의 상기 펄스의 비휘발성 부산물들은 상기 포토패터닝된 금속-함유 레지스트로부터 제거되는, 반도체 기판을 프로세싱하는 방법.
  10. 제 1 항에 있어서,
    상기 포토패터닝된 금속-함유 레지스트는 유기-금속 옥사이드, 금속, 금속 옥사이드 또는 유기-금속을 포함하는, 반도체 기판을 프로세싱하는 방법.
  11. 제 10 항에 있어서,
    상기 금속 옥사이드는 주석 옥사이드를 포함하는, 반도체 기판을 프로세싱하는 방법.
  12. 제 1 항에 있어서,
    상기 에천트는 할라이드 에천트인, 반도체 기판을 프로세싱하는 방법.
  13. 제 12 항에 있어서,
    상기 할라이드 에천트는 수소 할라이드, 수소 가스 및 할로겐 가스, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  14. 제 12 항에 있어서,
    상기 할라이드 에천트는 수소 플루오라이드, 수소 클로라이드, 붕소 트리클로라이드, 수소 브로마이드, 수소 아이오다이드 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  15. 제 1 항에 있어서,
    상기 에천트는 에천트 플라즈마를 포함하는, 반도체 기판을 프로세싱하는 방법.
  16. 제 15 항에 있어서,
    상기 에천트 플라즈마는 리모트로 (remotely) 생성되는, 반도체 기판을 프로세싱하는 방법.
  17. 제 1 항에 있어서,
    상기 산화제는 산소, 오존, 과산화수소, 물, 아산화질소, 산화질소, 이산화질소, 질산, 이산화황, 염소, 불소, 브롬, 요오드 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  18. 제 17 항에 있어서,
    상기 산화제는 물 및 산소 또는 염소를 포함하는 가스성 산화제인, 반도체 기판을 프로세싱하는 방법.
  19. 제 1 항에 있어서,
    상기 산화제는 산화제 플라즈마를 포함하는, 반도체 기판을 프로세싱하는 방법.
  20. 제 19 항에 있어서,
    상기 산화제 플라즈마는 리모트로 생성되는, 반도체 기판을 프로세싱하는 방법.
  21. 제 1 항에 있어서,
    상기 포토패터닝된 금속-함유 레지스트를 불활성 플라즈마 가스에 노출하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  22. 제 1 항에 있어서,
    상기 에천트의 상기 펄스와 상기 산화제의 상기 펄스 사이에 또는 상기 에천트의 펄스와 상기 산화제의 펄스의 사이클 후에 상기 프로세스 챔버를 불활성 가스로 퍼지하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  23. 제 1 항에 있어서,
    에천트 및 산화제의 교번하는 펄스들로의 노출에 의해 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계는 상기 포토패터닝된 금속-함유 레지스트를 건식 현상하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  24. 제 1 항에 있어서,
    에천트 및 산화제의 교번하는 펄스들로의 노출에 의해 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계는 상기 포토패터닝된 금속-함유 레지스트를 습식 현상하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  25. 제 1 항에 있어서,
    사이클 각각은 동일한 에천트 펄스 지속 기간을 갖는, 반도체 기판을 프로세싱하는 방법.
  26. 반도체 기판을 프로세싱하는 방법에 있어서,
    프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및
    레지스트 마스크를 형성하기 위해, 상기 포토패터닝된 금속-함유 레지스트를 에천트에 노출하고, 이어서 세정제로의 후속 노출에 의해 상기 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  27. 제 26 항에 있어서,
    상기 세정제는 물, 메탄올, 에탄올, 이소프로판올, 아세톤, 아세토니트릴, 테트라하이드로푸란, 디메틸 설파이드 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  28. 제 26 항에 있어서,
    상기 세정제에 대한 노출 동안 페데스탈 온도는 약 10 ℃ 내지 약 50 ℃인, 반도체 기판을 프로세싱하는 방법.
  29. 제 26 항에 있어서,
    상기 세정제는 초임계 유체를 포함하는, 반도체 기판을 프로세싱하는 방법.
  30. 제 29 항에 있어서,
    상기 초임계 유체는 저 표면 장력 초임계 액체인, 반도체 기판을 프로세싱하는 방법.
  31. 제 30 항에 있어서,
    상기 저 표면 장력 초임계 액체는 이산화탄소, 이산화황, 디메틸 에테르 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  32. 제 29 항에 있어서,
    프로세스 챔버 압력은 상기 세정제에 대한 노출 동안 약 5 psi 내지 약 3,000 psi인, 반도체 기판을 프로세싱하는 방법.
  33. 제 26 항에 있어서,
    에천트 노출로부터 비휘발성 부산물들이 상기 포토패터닝된 금속-함유 레지스트로부터 제거되는, 반도체 기판을 프로세싱하는 방법.
  34. 제 26 항에 있어서,
    상기 포토패터닝된 금속-함유 레지스트는 유기-금속 옥사이드, 금속, 금속 옥사이드 또는 유기-금속을 포함하는, 반도체 기판을 프로세싱하는 방법.
  35. 제 34 항에 있어서,
    상기 금속 옥사이드는 주석 옥사이드를 포함하는, 반도체 기판을 프로세싱하는 방법.
  36. 제 26 항에 있어서,
    상기 에천트는 할라이드 에천트인, 반도체 기판을 프로세싱하는 방법.
  37. 제 36 항에 있어서,
    상기 할라이드 에천트는 수소 할라이드, 수소 가스 및 할로겐 가스, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  38. 제 36 항에 있어서,
    상기 할라이드 에천트는 수소 플루오라이드, 수소 클로라이드, 붕소 트리클로라이드, 수소 브로마이드, 수소 아이오다이드 또는 이들의 조합을 포함하는, 반도체 기판을 프로세싱하는 방법.
  39. 제 26 항에 있어서,
    상기 에천트는 에천트 플라즈마를 포함하는, 반도체 기판을 프로세싱하는 방법.
  40. 제 39 항에 있어서,
    상기 에천트 플라즈마는 리모트로 생성되는, 반도체 기판을 프로세싱하는 방법.
  41. 기판 상의 원자 층 에칭을 촉진하는 방법에 있어서,
    프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및
    레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클에 포토패터닝된 금속-함유 레지스트를 노출함으로써 상기 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 포함하고,
    이 방법에 의해, 에천트 펄스의 비휘발성 부산물들로 인한 에칭 정지부가 제거되는, 원자 층 에칭을 촉진하는 방법.
  42. 반도체 기판을 프로세싱하는 방법에 있어서,
    프로세스 챔버 내 페데스탈 상의 반도체 기판 상에 건식-증착된 포토패터닝된 금속 옥사이드 EUV 레지스트를 제공하는 단계; 및
    레지스트 하드 마스크를 형성하기 위해, 교번하여 전달된 에천트의 펄스 및 산화제의 펄스를 포함하는 적어도 하나의 사이클로의 노출에 의해 상기 포토패터닝된 금속 옥사이드 EUV 레지스트의 EUV 노출되지 않은 부분을 선택적으로 제거함으로써 상기 포토패터닝된 금속 옥사이드 EUV 레지스트를 건식 현상하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  43. 레지스트 현상을 수행하기 위한 장치에 있어서,
    기판 지지부를 갖는 프로세스 챔버;
    상기 프로세스 챔버에 커플링된 진공 라인;
    상기 프로세스 챔버에 커플링된 에천트 및 산화제 라인들; 및
    반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기를 포함하고, 상기 인스트럭션들은,
    프로세스 챔버 내 반도체 기판 상에 포토패터닝된 금속-함유 레지스트를 제공하는 단계; 및
    레지스트 마스크를 형성하기 위해, 교번하여 전달된 에천트 펄스 및 산화제 펄스를 포함하는 적어도 하나의 사이클로의 노출에 의해 상기 포토패터닝된 금속-함유 레지스트의 일부를 선택적으로 제거함으로써 상기 포토패터닝된 금속-함유 레지스트를 현상하는 단계를 위한 코드를 포함하는, 레지스트 현상을 수행하기 위한 장치.
  44. 제 43 항에 있어서,
    상기 포토패터닝된 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트이고, 그리고 상기 포토패터닝된 금속-함유 EUV 레지스트를 현상하기 위한 코드를 포함하는 인스트럭션들로 구성된 상기 제어기는 상기 레지스트 마스크를 형성하기 위해 교번하여 전달된 에천트 펄스 및 산화제 펄스를 포함하는 적어도 하나의 사이클로 EUV 노출된 부분에 대해 상기 EUV 레지스트의 EUV 노출되지 않은 부분을 선택적으로 제거하기 위한 코드를 포함하는, 레지스트 현상을 수행하기 위한 장치.
  45. 제 43 항에 있어서,
    상기 기판 지지부에 커플링된 하나 이상의 히터들을 더 포함하고, 상기 하나 이상의 히터들은 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함하는, 레지스트 현상을 수행하기 위한 장치.
  46. 제 45 항에 있어서,
    가열된 산화제 전달 라인들을 더 포함하는, 레지스트 현상을 수행하기 위한 장치.
KR1020247012307A 2022-07-01 2023-06-29 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상 KR20240056603A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202263367584P 2022-07-01 2022-07-01
US63/367,584 2022-07-01
PCT/US2023/069419 WO2024006938A1 (en) 2022-07-01 2023-06-29 Cyclic development of metal oxide based photoresist for etch stop deterrence

Publications (1)

Publication Number Publication Date
KR20240056603A true KR20240056603A (ko) 2024-04-30

Family

ID=89381516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247012307A KR20240056603A (ko) 2022-07-01 2023-06-29 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상

Country Status (2)

Country Link
KR (1) KR20240056603A (ko)
WO (1) WO2024006938A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP6852566B2 (ja) * 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
EP3931863A4 (en) * 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING
JP2022538040A (ja) * 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像
CN115885376A (zh) * 2020-06-22 2023-03-31 朗姆研究公司 光致抗蚀剂的干式背侧和斜面边缘清洁

Also Published As

Publication number Publication date
WO2024006938A1 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20230416606A1 (en) Photoresist development with organic vapor
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
CN115598943A (zh) 用于干法去除光致抗蚀剂的处理工具
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影
KR20230159895A (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination