KR20230173191A - 에칭 파라미터들을 측정하기 위한 다중 반사 측정법 - Google Patents

에칭 파라미터들을 측정하기 위한 다중 반사 측정법 Download PDF

Info

Publication number
KR20230173191A
KR20230173191A KR1020237040399A KR20237040399A KR20230173191A KR 20230173191 A KR20230173191 A KR 20230173191A KR 1020237040399 A KR1020237040399 A KR 1020237040399A KR 20237040399 A KR20237040399 A KR 20237040399A KR 20230173191 A KR20230173191 A KR 20230173191A
Authority
KR
South Korea
Prior art keywords
etch
recipe
materials
determining
processing device
Prior art date
Application number
KR1020237040399A
Other languages
English (en)
Other versions
KR102675035B1 (ko
Inventor
블레이크 에릭슨
키스 버딩
마이클 커트니
수멘드라 바만
자오자오 주
마이클 산페드로
수레쉬 폴라리 나라야나 라오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230173191A publication Critical patent/KR20230173191A/ko
Application granted granted Critical
Publication of KR102675035B1 publication Critical patent/KR102675035B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/41Refractivity; Phase-affecting properties, e.g. optical path length
    • G01N21/45Refractivity; Phase-affecting properties, e.g. optical path length using interferometric methods; using Schlieren methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N2021/8411Application to online plant, process monitoring
    • G01N2021/8416Application to online plant, process monitoring and process controlling, not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/129Using chemometrical methods
    • G01N2201/1296Using chemometrical methods using neural networks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

시스템은, 메모리, 및 다수의 동작들을 수행함으로써 에칭 레시피 개발 프로세스를 용이하게 하기 위해 메모리에 동작가능하게 커플링되는 적어도 하나의 프로세싱 디바이스를 포함한다. 동작들은, 복수의 반사 측정법 측정 포인트들 중 개개의 측정 포인트에 각각 로케이팅되는 복수의 재료들을 에칭하기 위해 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신하는 동작, 에칭 프로세스의 반복으로부터 유래하는 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 동작, 및 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 동작을 포함한다.

Description

에칭 파라미터들을 측정하기 위한 다중 반사 측정법
[001] 본 개시내용은 일반적으로 전자 디바이스 제조에 관한 것으로, 더 구체적으로는, 에칭 파라미터들을 측정하기 위한 다중 반사 측정법(reflectometry)에 관한 것이다.
[002] 제조 시스템들은 제조 파라미터들에 기초하여 제품들을 생산한다. 예를 들면, 기판 프로세싱 시스템들은 프로세스 레시피들의 많은 파라미터들에 기초하여 기판들을 생산한다. 제품들은 생산 동안 어떤 파라미터들이 사용되었는지에 기초하여 성능 데이터를 갖는다. 에칭 프로세스 기기는, 예를 들면, 화학적 반응 및/또는 물리적 충격을 통해 기판의 영역들로부터 재료를 제거하기 위해 사용될 수 있다. 예를 들면, 진공 에칭 프로세스들은 플라즈마를 사용하여 가스 상(gas-phase) 반응물들을 생성할 수 있다. 에칭 프로세싱 동안, 에칭 레이트는 재료 제거 레이트를 지칭하며, 에칭 선택도는 2개의 재료들에서 관찰되는 에칭 레이트들의 비율을 지칭한다. 에칭 동안 복수의 관심 재료들이 있을 수 있다. 그러한 재료들은: (1) 에칭될 타깃 재료; (2) 타깃 재료 아래의 재료; (3) 마스크 재료; 및 (4) 에칭 프로세싱(예를 들면, 프로세스 가스)에 노출될 수 있는 타깃 재료에 인접한 재료를 포함한다.
[003] 다음은 본 개시내용의 일부 양상들의 기본적인 이해를 제공하기 위한 본 개시내용의 간략화된 개요이다. 본 개요는 본 개시내용의 광범위한 개요는 아니다. 이는 본 개시내용의 핵심 또는 중요 엘리먼트들을 식별하도록 의도되지 않을 뿐만 아니라, 본 개시내용의 특정 구현들의 임의의 범위 또는 청구항들의 임의의 범위를 기술하도록 의도되지도 않는다. 그 유일한 목적은, 이후에 제시되는 보다 상세한 설명에 대한 서두로서 간략화된 형태로 본 개시내용의 일부 개념들을 제시하는 것이다.
[004] 본 개시내용의 일 양상에서, 시스템은, 메모리, 및 메모리에 동작가능하게 커플링되는 프로세싱 디바이스를 포함한다. 프로세싱 디바이스는, 복수의 반사 측정법 측정 포인트들 중 개개의 측정 포인트에 각각 로케이팅되는 복수의 재료들을 에칭하기 위해 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신하는 동작, 에칭 프로세스의 반복으로부터 유래하는 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 동작, 및 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 동작을 포함하는 복수의 동작들을 수행함으로써 에칭 레시피 개발 프로세스를 용이하게 할 것이다.
[005] 본 개시내용의 다른 양상에서, 방법은, 적어도 하나의 프로세싱 디바이스에 의해, 복수의 반사 측정법 측정 포인트들 중 개개의 측정 포인트에 각각 로케이팅되는 복수의 재료들을 에칭하기 위해 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신하는 것을 포함한다. 에칭 프로세스는 에칭 레시피 개발 프로세스와 연관된다. 방법은, 적어도 하나의 프로세싱 디바이스에 의해, 에칭 프로세스의 반복으로부터 유래하는 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 것, 및 프로세싱 디바이스에 의해, 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 것을 더 포함한다.
[006] 본 개시내용의 다른 양상에서, 시스템은 복수의 반사 측정법 측정 포인트들 위에 로케이팅되는 복수의 수집 실린더들에 대응하는 복수의 콜리메이터들을 포함하는 센서 헤드를 포함한다. 복수의 반사 측정법 측정 포인트들은 복수의 재료들의 로케이션들에 대응한다. 시스템은 광학 검출기, 광학 검출기를 이용하여 복수의 반사 측정법 측정 포인트들이 선택적으로 측정되는 것을 가능하게 하기 위해 센서 헤드 및 광학 검출기에 동작가능하게 커플링되는 스위치 디바이스, 및 복수의 반사 측정법 측정 포인트들의 선택적 측정치들을 사용하여 에칭 레시피 개발 프로세스를 용이하게 하기 위해 광학 검출기 및 스위치 디바이스에 동작가능하게 커플링되는 프로세싱 디바이스를 더 포함한다.
[007] 본 개시내용은 유사한 참조들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도해들에서 한정으로서가 아니라 예로서 예시된다. 본 개시내용에서 "하나의" 또는 "일" 실시예에 대한 서로 다른 참조들이 반드시 동일한 실시예에 대한 것은 아니고, 그러한 참조들은 적어도 하나를 의미한다는 점이 주목되어야 한다.
[008] 도 1은 일부 실시예들에 따른, 에칭 파라미터들을 측정하기 위한 다중 반사 측정법을 구현하기 위한 다중 간섭 측정 엔드포인트(multiple interferometric endpoint; MIEP) 시스템의 하이 레벨 개관(overview)의 다이어그램이다.
[009] 도 2는 일부 실시예들에 따른, 도 1의 MIEP 시스템 내에서의 사용을 위한 캐리어 웨이퍼 장치의 평면도(top-down view)이다.
[0010] 도 3은 일부 실시예들에 따른, 콜리메이터 어셈블리의 단면도이다.
[0011] 도 4는 일부 실시예들에 따른, 에칭 레시피 개발 서브-시스템의 다이어그램이다.
[0012] 도 5는 일부 실시예들에 따른, 다수의 샘플들에 적용되는 에칭 레시피에 대해 관찰되는 시간 경과에 따른 두께 변화를 도시하는 그래프이다.
[0013] 도 6은 일부 실시예들에 따른, 에칭 파라미터들을 측정하기 위한 다중 반사 측정법을 구현하는 방법의 흐름도이다.
[0014] 도 7은 특정 실시예들에 따른, 컴퓨터 시스템을 예시하는 블록 다이어그램이다.
[0015] 본원에서 설명되는 실시예들은 에칭 파라미터들을 측정하기 위한 다중 반사 측정법에 관한 것이다. 소망되는 에칭 패턴을 달성하기 위해 에칭 프로세스 레시피들이 개발되고 있기 때문에, 피드백을 획득하는 방법들은 제한된다. 예를 들면, 피드백을 획득하는 방법들: (1) 웨이퍼들을 사용한 외부 계측; (2) 쿠폰(coupon)들을 사용한 외부 계측; (3) 단일 포인트 인시튜 반사 측정법(single point in-situ reflectometry); (4) 타원편광 해석법(ellipsometry)을 포함한다.
[0016] 웨이퍼들을 사용한 외부 계측을 수행하기 위해서, 관심 재료들의 막들을 갖는 웨이퍼들이 타원편광 해석기(ellipsometer) 또는 다른 외부 계측을 사용하여 사전 측정될 수 있다. 웨이퍼들은 제안된 에칭 프로세스를 사용하여 에칭될 수 있고, 그 다음, 외부 계측을 사용하여 재측정될 수 있다. 그 다음, 웨이퍼들을 상이한 재료들의 막들과 비교함으로써 에칭 선택도가 결정될 수 있다.
[0017] 웨이퍼들을 사용한 외부 계측과 유사하게, 쿠폰들을 사용한 외부 계측을 수행하기 위해서, 관심 재료들의 막들을 갖는 쿠폰들이 캐리어 웨이퍼 상에 배치된다. 각각의 쿠폰은 타원편광 해석기 또는 다른 외부 계측을 사용하여 막 두께에 대해 기준이 설정될 수 있다. 선택도는 각각의 재료의 에칭 레이트들을 비교함으로써 결정될 수 있다.
[0018] 단일 포인트 인시튜 반사 측정법을 수행하기 위해, 캐리어 상의 웨이퍼 또는 쿠폰은, 에칭 프로세스 동안 에칭 레이트를 모니터링하기 위해 사용되는 단일 포인트 반사 측정법을 사용하여 인시튜로 측정된다. 그 다음, 각각의 쿠폰/웨이퍼를 개별적으로 측정함으로써 에칭 선택도가 결정될 수 있다. 이 방법은 캐리어 웨이퍼 상의 하나의 웨이퍼 또는 하나의 쿠폰, 및 재료당 하나의 프로세스를 필요로 할 수 있다.
[0019] 타원편광 해석법과 관련하여, 반사 측정법에 의해 측정되는 스팟에 인접한 스팟을 측정하기 위해, 인시튜 타원편광 해석기(in-situ ellipsometer)가 에칭 챔버 상에 추가될 수 있다. 그러나, 타원편광 해석기는 부피가 크고 정렬하기 어려울 수 있고, 챔버 수정을 필요로 할 수 있으며, 측정 방법은 상이하고, 그리고 캘리브레이팅되어야만 한다. 따라서, 타원편광 해석법은 실제로는 단지 하나의 추가적인 측정 포인트만을 허용하도록 제한될 수 있다.
[0020] 본원에서 설명되는 실시예들은 인시튜 에칭 파라미터(예를 들면, 에칭 레이트 및/또는 선택도) 측정들을 위해 다수의 반사 측정법 측정 포인트들이 서로 인접하게 측정되는 것을 허용할 수 있다. 예를 들면, 반사 측정법 측정 포인트들의 수가 특정한 애플리케이션에 의존할 수 있지만(예를 들면, 적어도 7개의 반사 측정법 측정 포인트들이 윈도우 포트에서 적합(fit)할 수 있음), 4개의 반사 측정법 측정 포인트들이 설명될 수 있다. 더 구체적으로, 상이한 막들을 갖는 다수의 쿠폰들(예를 들면, 적어도 4개의 쿠폰들)이 센서 헤드 아래의 캐리어 웨이퍼 상에 배치될 수 있다. 센서 헤드는 다수의 콜리메이터들(예를 들면, 4개의 콜리메이터들)을 포함할 수 있다. 쿠폰들은 웨이퍼 노치를 기준으로 하는 로케이션들에 배치될 수 있다. 웨이퍼 노치를 기준으로 웨이퍼의 쿠폰들을 로케이팅하는 것이 측정 셋업의 일부이기 때문에, 캐리어 웨이퍼 상에 쿠폰들을 정확하게 배치하기 위해 지그(jig) 또는 다른 적절한 디바이스가 사용될 수 있다. 반사 측정법을 수행하기 위해 캐리어 웨이퍼의 표면에서 반사되는 광을 생성하기 위해 적어도 하나의 램프가 사용될 수 있다. 다수의 반사 측정법 측정 포인트들 각각 사이를 스위칭하고, 그에 의해, 다수의 반사 측정법 측정 포인트들이 단일의 광학 검출기를 사용하여 측정되는 것을 가능하게 하기 위해, 스위치 디바이스, 예컨대 광학 멀티플렉서("멀티플렉서")가 사용될 수 있다. 대안적으로, 스위치 디바이스 대신 다수의 광학 검출기들이 사용될 수 있다. 스위치 디바이스는 적어도 하나의 램프에 연결되는 광학 채널들 각각 사이를 스위칭하기 위해 또한 사용될 수 있다.
[0021] 일부 실시예들에서, 시스템은 단일의 램프 및 단일의 광학 검출기를 포함한다. 일부 실시예들에서, 시스템은 다수의 램프들 및 단일의 분광계(spectrometer)를 포함한다. 일부 실시예들에서, 시스템은 단일의 램프 및 다수의 분광계들을 포함한다. 일부 실시예들에서, 시스템은 다수의 램프들 및 다수의 분광계들을 포함한다.
[0022] 또한, 본원에서 설명되는 실시예들은 다중 반사 측정법을 사용하여 에칭 프로세스 레시피 개발 및/또는 최적화를 제공한다. 예시적으로, 한 개인(예를 들면, 프로세스 엔지니어)은 에칭 파라미터들(예를 들면, 에칭 선택도 및/또는 에칭 레이트)을 결정하기 위해 관심 재료들의 개수를 식별할 수 있다. 예를 들면, 관심 재료들의 개수는 적어도 4개일 수 있다. 관심 재료들의 예들은 산화물들, 포토레지스트들, 질화물들, 폴리실리콘 등을 포함한다(그러나 이에 제한되지 않음). 각각의 관심 재료에 대해, 시뮬레이팅된 프로세스에서 그 관심 재료들의 "로딩"을 매치시키기 위해 각각의 쿠폰에 대해 얼마나 많은 영역이 소망되는지가 결정된다. 쿠폰들은 절단되어 캐리어 웨이퍼 상에 (예를 들면, 정확한 쿠폰 배치를 위해 지그를 사용하여) 배치될 수 있고, 그 결과, 측정 로케이션마다 하나의 관심 재료가 존재한다. 적절한 재료 로딩 및/또는 상이한 쿠폰 사이즈들을 시뮬레이팅하기 위해 추가적인 쿠폰들이 추가될 수 있다. 그 다음, 캐리어 웨이퍼는 인클로저(예를 들면, 전면 개방형 통합 포드(front opening unified pod; FOUP))에 배치될 수 있고 로봇을 사용하여 챔버 안으로 로딩될 수 있다. 그 다음, 막 두께를 측정하기 위해 데이터 수집이 개시될 수 있고, 에칭 프로세스의 제1 반복이 수행될 수 있다. 막 두께는 다중 반사 측정법을 사용하여 실시간으로 측정될 수 있다. 에칭 프로세스 레시피가 완료됨에 따라 하나 이상의 에칭 파라미터들(예를 들면, 에칭 레이트 및/또는 에칭 선택도)이 시간의 함수로써 결정될 수 있다. 결과들에 기초하여, 소망되는 에칭 레이트 및/또는 에칭 선택도를 달성하기 위해 에칭 프로세스 레시피의 하나 이상의 파라미터들(예를 들면, 가스 타입, 가스 농도, 압력, 라디오 주파수(radio frequency; RF) 전력, RF 펄싱(pulsing))이 (예를 들면, 프로세스 엔지니어에 의해) 수동으로 수정될 수 있거나 또는 자동적으로 수정될 수 있다. 자동 수정은 다변량 실험 계획법(design of experiment; DoE) 방법들 및/또는 머신 러닝 기법들을 사용할 수 있다.
[0023] 본원에서 설명되는 실시예들은 에칭 파라미터들을 측정하기 위한 다중 반사 측정법 기법들을 구현함으로써 다른 측정 기법들의 한계들을 유리하게 극복한다. 본원에서 설명되는 실시예들은 다른 재료들(예를 들면, 아래의 재료 및 인접한 재료)의 제거 레이트를 제한하면서 타깃 에칭 재료에 대한 양호한 제거 레이트를 제공하는 화학 물질 및 프로세스 기기 파라미터들을 갖는 에칭 프로세스를 달성할 수 있다.
[0024] 도 1은 에칭 파라미터들을 측정하기 위한 다중 반사 측정법을 구현하기 위한 다중 간섭 측정 엔드포인트(MIEP) 시스템("시스템")(100)의 하이 레벨 개관의 다이어그램이다. 더 구체적으로, 하기에서 더욱 상세하게 설명될 바와 같이, 시스템(100)은 에칭 레시피를 사용하여 에칭 챔버에서 수행되는 플라즈마 에칭 프로세스를 분석할 수 있고, 분석의 결과들은 에칭 레시피를 개발 및/또는 최적화하기 위해 사용될 수 있다. 도시되는 바와 같이, 시스템(100)은 캐리어 웨이퍼(110), 센서 헤드(120) 및 에칭 레시피 개발 서브-시스템("서브-시스템")(130)을 포함한다. 캐리어 웨이퍼(110)는 캐리어 웨이퍼(110)를 고정하기 위한 정전 척 또는 다른 적절한 장치(도시되지 않음) 상에 배치될 수 있다.
[0025] 캐리어 웨이퍼(110) 및 센서 헤드(120)는 에칭 챔버 내에 포함된다. 도 2를 참조하여 하기에서 더욱 상세하게 설명될 바와 같이, 다수의 쿠폰들이 캐리어 웨이퍼들(110) 상에 배치될 수 있고 재료 샘플("샘플")이 각각의 쿠폰 상에 배치될 수 있다. 일부 실시예들에서, 특정한 샘플에 각각 대응하는 4개의 쿠폰들이 캐리어 웨이퍼 상에 배치된다. 그러나, 쿠폰들의 수는 제한하는 것으로 간주되어서는 안된다. 각각의 샘플은 하나 이상의 에칭 파라미터들(예를 들면, 에칭 레이트 및/또는 에칭 선택도)을 측정하기 위한 상이한 관심 재료를 포함할 수 있다. 예를 들면, 각각의 샘플은 얇은 막일 수 있다.
[0026] 센서 헤드(120)는 병렬로 배열되는 다수의 콜리메이터들(도시되지 않음)을 수용한다. 일부 실시예들에서, 센서 헤드(120)는 적어도 4개의 콜리메이터들을 수용한다. 각각의 콜리메이터는 대응하는 광학 채널(예를 들면, 광섬유 케이블)에 동작가능하게 커플링될 수 있다. 각각의 광학 채널을 통해 이동하는 광학 신호는 자신의 대응하는 콜리메이터에 의해 수신될 수 있으며, 콜리메이터에 의해 수신되는 광학 신호들은 시준된(collimated) 광학 신호들을 포함하는 시준된 빔으로 콜리메이터의 렌즈에 의해 병렬화될 수 있다. 센서 헤드(120) 내에 수용되는 콜리메이터의 한 예가 도 3을 참조하여 하기에서 설명될 것이다.
[0027] 서브-시스템(130)은 스위치 디바이스(134)에 동작가능하게 커플링되는 광학 검출기(132), 컴포넌트들(132 및 134)에 동작가능하게 커플링되는 프로세싱 디바이스(136), 및 프로세싱 디바이스(136)에 동작가능하게 커플링되는 메모리 디바이스(138)를 포함한다. 일부 실시예들에서, 광학 검출기(132)는 분광계이다. 추가로 도시되는 바와 같이, 램프(133)가 광학 검출기(132)의 서브-컴포넌트로서 제공된다. 대안적으로, 램프(133)는 광학 검출기(132)와는 별개의 또는 독립형 컴포넌트로서 구체화될 수 있다. 램프(133)는 최소 출력(예를 들면, 약 75 % 채도)을 갖는 강한 신호를 생성하도록 구성될 수 있다. 일부 실시예들에서, 램프(133)는 플래시튜브(flashtube) 또는 플래시램프(flashlamp)를 포함한다.
[0028] 이 예시적인 예에서, 시스템(100)은 단일의 램프(133) 및 단일의 광학 검출기(132)를 포함한다. 다른 실시예들에서, 시스템(100)은 다수의 램프들 및 단일의 분광계를 포함한다. 다른 실시예들에서, 시스템(100)은 단일의 램프 및 다수의 분광계들을 포함한다. 다른 실시예들에서, 시스템(100)은 다수의 램프들 및 다수의 분광계들을 포함하고, 그 결과, 스위치 디바이스(134)가 필요하지 않다.
[0029] 시스템(100)은 에칭 프로세스 반복들의 분석에 기초하여 에칭 레시피를 개발 및/또는 최적화하기 위해 사용될 수 있다. 이 예에서, 캐리어 웨이퍼(110) 상에 4개의 쿠폰들이 배치되거나 또는 장착되고, 센서 헤드(120)가 4개의 콜리메이터들을 포함한다는 것이 가정된다. 그러나, 그러한 실시예는 제한하는 것으로 간주되어서는 안된다. 또한, 메모리(138)에 저장되는 에칭 레시피(139)에 기초하여 에칭 프로세스의 반복이 개시되었다는 것이 가정된다. 에칭 레시피(139)는 에칭 프로세스의 반복 동안 재료들에 대한 에칭 효과를 제어하는 에칭 레시피 조건들(예를 들면, 가스 타입, 가스 농도, 압력, 전력, 펄싱 등)을 갖는다. 하기에서 더욱 상세하게 설명될 바와 같이, 하나 이상의 에칭 파라미터들(예를 들면, 에칭 선택도 및/또는 에칭 레이트)이 에칭 프로세스에 대한 에칭 파라미터 목표를 충족하는지를 결정하기 위해, 시간 경과에 따른 각각의 재료의 재료 두께 분석이 사용될 수 있다.
[0030] 에칭 프로세스의 반복을 개시하기 위한 요청이 프로세싱 디바이스(136)에 의해 수신될 수 있다. 프로세싱 디바이스(136)는 에칭 레시피(139)에 기초하여 결정되는 방식으로 에천트들에 대한 캐리어 웨이퍼(110)의 노출을 야기할 수 있다. 에칭 프로세스의 반복 동안 하나 이상의 에칭 파라미터들을 측정하기 위해, 다중 반사 측정법을 사용하여 데이터 수집 프로세스가 수행될 수 있다. 예를 들면, 센서 헤드(120)는 광학 채널들(예를 들면, 광섬유 케이블들의 다발(bundle))을 통해 램프(133)에 의해 생성되는 입력 신호들을 수신할 수 있다. 센서 헤드(120)의 콜리메이터들 각각은 개개의 샘플링 포인트들에 대응하는 캐리어 웨이퍼(110) 위의 상이한 로케이션들에서 반사 측정법 측정치들을 획득하기 위한 수집 실린더에 대응한다. 이 예시적인 예에서는, 캐리어 웨이퍼(110) 상에 배치되는 샘플들 중 3개에 대응하는 개개의 로케이션들을 겨냥한 수집 실린더들(122-1 내지 122-3)이 있다. 도시되지는 않지만, 캐리어 웨이퍼(110) 상의 제4 샘플에 대응하는 제4 수집 실린더가 수집 실린더(122-2)에 의해 폐색된다. 따라서, 4개의 수집 실린더들이 구현될 수 있다.
[0031] 출력 신호들은 그들의 대응하는 재료들로부터의 램프(133)에 의해 생성되는 입력 신호들의 분광 반사율(spectral reflectance)에 기초하여 생성된다. 더 구체적으로, 입력 신호들이 캐리어 웨이퍼(110)의 개개의 로케이션들에서 반사됨에 따라, 입력 신호들 중 일부는 입사 표면으로부터 반사되고 일부는 재료 안으로 투과된다. 이들 투과된 신호들이 재료의 저부에 도달하는 경우 다른 반사가 발생할 수 있다. 입사 광학 신호 반사들 및 투과된 광학 신호 반사들은, 예를 들면, 파장, 이동 거리 등과 같은 요인들에 따라, 서로 보강 및/또는 상쇄 간섭하고, 그에 의해, 출력 신호들을 생성한다.
[0032] 출력 신호들은 광학 채널들을 통해 스위치 디바이스(134)로 라우팅되어 출력 신호들이 광학 검출기(132)로 분배되고 라우팅된다. 일부 실시예들에서, 스위치 디바이스(134)는 멀티플렉서이다. 더 구체적으로, 스위치 디바이스(134)는 램프(133)에 커플링되는 개개의 광학 채널들(예를 들면, 섬유들)을 스위칭할 수 있고, 일련의 출력 신호들에 대한 분석(예를 들면, 분광법)을 수행하기 위해 광학 검출기(132)에 커플링되는 개개의 광학 채널들을 스위칭할 수 있다. 그러나, 대안적인 실시예들에서, 다수의 광학 검출기들 및/또는 램프들이 스위치 디바이스(134) 대신 사용될 수 있고, 그 결과, 각각의 광학 채널 및/또는 램프는 대응하는 광학 검출기에 커플링된다.
[0033] 시간 경과에 따른 캐리어 웨이퍼(110) 상의 각각의 샘플의 두께는 광학 검출기(132)에 의해 획득되는 출력 신호들의 분석에 기초한 (분광) 반사 측정법을 사용하여 측정될 수 있다. 더 구체적으로, 출력 신호들의 강도 및/또는 파장에 기초하여 재료 두께를 결정하기 위해, 각각의 샘플의 두께는 임의의 적절한 모델 또는 수학식을 사용하여 측정될 수 있다. 예를 들면, 두께가 증가됨에 따라 신호들의 수가 증가될 수 있다. 표면에서 수집 실린더들 안으로 반사되는 광의 양을 측정하기 위해, 각각의 반사 측정법 측정치로부터 방출들(예를 들면, 플라즈마 방출들)이 감산될 수 있다.
[0034] 에칭 프로세스의 시간 경과에 따른 두께에서의 변화들은 개개의 재료 샘플들의 하나 이상의 에칭 레이트 파라미터들을 측정하기 위해 프로세싱 디바이스(136)에 의해 사용될 수 있다. 즉, 하나 이상의 에칭 파라미터들은 에칭 레시피가 완료됨에 따라 시간의 함수로써 측정될 수 있다. 에칭 레시피(139)의 단계들은 상이한 에칭 레이트들을 초래할 수 있다. 결과들에 기초하여, 소망되는 에칭 파라미터 목표를 달성하기 위해, 에칭 레시피 조건들(예를 들면, 가스 타입, 가스 농도, 압력, 전력, 펄싱 등) 중 하나 이상이 수정될 수 있다. 일부 실시예들에서, 에칭 레시피 조건들은 수동으로 수정된다(예를 들면, 프로세스 엔지니어가 에칭 레시피 조건들을 수동으로 수정하고 데이터 수집 프로세스를 반복할 수 있다). 일부 실시예들에서, 에칭 레시피 조건들은 프로세싱 디바이스(136)에 의해 자동적으로 수정된다. 예를 들면, 에칭 레시피 조건들은 임의의 적절한 통계적 방법들(예를 들면, 다변량 DoE 방법들) 및/또는 머신 러닝 방법들을 사용하여 프로세싱 디바이스(136)에 의해 자동적으로 수정될 수 있다.
[0035] 도 2는 일부 실시예들에 따른, 캐리어 웨이퍼 장치(200)의 평면도이다. 도시되는 바와 같이, 캐리어 웨이퍼 장치(200)는 캐리어 웨이퍼(210), 예컨대 도 1을 참조하여 상기에서 설명되는 캐리어 웨이퍼(110)를 포함한다. 캐리어 웨이퍼(210)는 웨이퍼 노치(220)를 가질 수 있으며, 다수의 쿠폰들(230-1 내지 230-4)이 캐리어 웨이퍼(210)의 중앙에 배치 또는 장착될 수 있다. 이 예시적인 예에서는 4개의 쿠폰들(230-1 내지 230-4)이 도시되어 있지만, 본원에서 설명되는 실시예들에 따라, 임의의 적절한 수의 쿠폰들이 캐리어 웨이퍼(210) 상에 배치될 수 있다. 쿠폰들(230-1 내지 230-4) 각각은 그 상에 배치되는 개개의 샘플들(240-1 내지 240-4)을 가질 수 있다. 웨이퍼 노치(220)를 기준으로 하는 캐리어 웨이퍼(210) 상의 쿠폰들(230-1 내지 230-4)의 로케이션은 본원에서 설명되는 다중 반사 측정법 측정 프로세스의 일부로서 사용될 수 있다. 예를 들면, 웨이퍼 노치(220)를 기준으로 쿠폰들(230-1 내지 230-4)을 정확하게 배치하기 위해 지그가 사용될 수 있다.
[0036] 각각의 샘플(240-1 내지 240-4)은 하나 이상의 에칭 파라미터들(예를 들면, 에칭 레이트 및/또는 에칭 선택도)을 측정하기 위한 상이한 관심 재료를 포함할 수 있다. 다중 반사 측정법 측정 프로세스를 사용하여 샘플들(240-1 내지 240-4) 중 하나에 대응하는 에칭 레시피가 분석될 수 있으며, 에칭 레시피의 각각의 단계가 수행됨에 따라 시간 경과에 따른 샘플들(240-1 내지 240-4) 각각의 두께가 측정될 수 있다. 샘플들(240-1 내지 240-4) 중 다른 샘플들에 대응하는 다른 에칭 레시피들에 대해 프로세스는 반복될 수 있다. 따라서, 각각의 에칭 레시피에 대한 제거 레이트들이 MIEP 시스템, 예컨대 도 1의 시스템(100)을 사용하여 모니터링될 수 있고, 에칭 파라미터들은 각각의 에칭 레시피들에 대해 결정될 수 있다. 따라서, 최적의 에칭 선택도를 식별하기 위해 다수의 레시피 분석들이 행해질 수 있다. 예를 들면, 다수의 인시튜 측정치들과 함께, 통계적 방법들(예를 들면, 다변량 DoE) 및/또는 머신 러닝이 사용되어 최적의 에칭 레시피 솔루션들을 자동적으로 획득할 수 있다.
[0037] 예시적인 예로서, 샘플(240-1)은 산화물 막 샘플일 수 있고, 샘플(240-2)은 포토레지스트 막 샘플일 수 있고, 샘플(240-3)은 질화물 막 샘플일 수 있고, 그리고 샘플(240-4)은 폴리실리콘 막 샘플일 수 있다. 산화물 막 샘플, 포토레지스트 막 샘플, 질화물 막 샘플, 및 폴리실리콘 막 샘플 각각은 분석될 수 있는 대응하는 에칭 레시피를 가질 수 있다. 이 예에 관한 추가적인 세부사항들이 도 5를 참조하여 하기에서 설명된다.
[0038] 도 3은 예시적인 콜리메이터 어셈블리(300)의 다이어그램이다. 도시되는 바와 같이, 콜리메이터 어셈블리(300)는 렌즈(312)를 포함하는 콜리메이터(310)를 포함한다. 광학 채널(320)(예를 들면, 광섬유 케이블)이 콜리메이터(310)에 커플링된다. 광학 채널(320)은 광학 신호를 반송할(carry) 수 있다. 렌즈(312)는 수신된 광학 신호들을 병렬화하여 시준된 빔들을 생성하도록 설계되었다. 초점 거리(focal length; "FL")는 콜리메이터(310)에 대한 광섬유(320)의 연결부와 렌즈(312) 사이의 거리로서 정의된다. 충분한 시준을 달성하기 위해 광학 채널들의 사이즈, 렌즈 타입, 초점 거리 등과 같은 파라미터들이 조정될 수 있다.
[0039] 콜리메이터(310)는 센서 헤드, 예컨대 도 1을 참조하여 상기에서 설명되는 센서 헤드(120) 내에 수용될 수 있다. 더 구체적으로, 콜리메이터들(310) 중 다수의 콜리메이터들이 센서 헤드 내에 수용될 수 있으며, 콜리메이터들 각각은, 자신에게 커플링되고, 캐리어 웨이퍼 상에 배치되는 샘플의 로케이션을 겨냥하는 수집 실린더와 연관되는 대응하는 광학 채널(320)을 갖는다.
[0040] 도 4는 에칭 레시피 개발 서브-시스템("서브-시스템")(400)의 다이어그램이다. 도시되는 바와 같이, 서브-시스템(400)은 램프(410), 스위치 디바이스(420)(예를 들면, 멀티플렉서), 에칭 챔버(430), 및 광학 검출기(440)(예를 들면, 분광계)를 포함한다.
[0041] 램프(410)는 광학 채널(예를 들면, 광섬유 케이블)을 통해 스위치 디바이스(420)로 전송되는 램프 신호(412)를 생성하도록 구성된다. 램프 신호의 수신시, 스위치 디바이스(420)는 다수의 입력 신호들(422)을 생성할 수 있다. 입력 신호들(422)의 수는 에칭 챔버(430) 내에 로케이팅되는 캐리어 웨이퍼 상에(예를 들면, 개개의 쿠폰들 상에) 배치되는 샘플들의 수와 동일할 수 있다. 이 예시적인 실시예에서, 캐리어 웨이퍼 상에 배치되는 4개의 개개의 샘플들에 대응하는 4개의 입력 신호들(422)이 있다. 출력 신호들(432)은 에칭 챔버(430) 내에서의 에칭 프로세스의 수행 동안 생성되며, 출력 신호들(432) 각각은 콜리메이터와 연관되는 대응하는 수집 실린더에 의해 수신되고 광학 채널들(425) 중 개개의 광학 채널들을 통해 스위치 디바이스(420)로 전송된다. 스위치 디바이스(420)는 출력 신호들(432) 중 하나를, 분석을 위해 광학 채널(435)을 통해 광학 검출기(440)로 전송될 선택된 신호(424)로서, 한 번에 선택할 수 있다. 대안적인 실시예에서, 스위치 디바이스(420)를 갖는 대신, 출력 신호들(432) 각각은 개개의 광학 검출기로 전송될 수 있다. 입력 경로와 출력 경로는 연동하여 스위칭될 수 있다.
[0042] 도 5는 일부 실시예들에 따른, 다수의 샘플들에 적용되는 에칭 레시피에 대해 관찰되는 시간 경과에 따른 두께 변화를 도시하는 그래프(500)이다. 더 구체적으로, "Mux 1"(510-1)로서 표기되는 스위치 디바이스(이 예에서는 멀티플렉서)에 의해 선택되는 제1 샘플, "Mux 2"(510-2)로서 표기되는 스위치 디바이스에 의해 선택되는 제2 샘플, "Mux 3"(510-3)으로서 표기되는 스위치 디바이스에 의해 선택되는 제3 샘플, 및 "Mux 4"(510-4)로서 표기되는 스위치 디바이스에 의해 선택되는 제4 샘플에 대한 시간 경과에 따른 두께 변화가 관찰된다. 그래프(500)의 x 축은 시간(초)을 표시하고, 그래프(500)의 y 축은 두께 변화(500)를 밀리미터(mm) 단위로 표시한다.
[0043] 이 예시적인 실시예에서, Mux 1(510-1)은 산화물일 수 있고, Mux 2(510-2)는 포토레지스트일 수 있고, Mux 3(510-3)은 질화물일 수 있고, 그리고 Mux 4는 폴리실리콘일 수 있으며, 에칭 레시피는 산화물에 대응하는 산화물 에칭 레시피일 수 있다. 산화물 에칭 레시피는 적절한 횟수 반복되는 다수의 단계들을 포함할 수 있다. 예를 들면, 이 예에서 제1 단계("2")는 탄소 4불화물(CF4) - 아르곤(Ar) 단계일 수 있고, 제2 단계("4")는 CF4 - 트리플루오로메탄(CHF3) - Ar 단계일 수 있으며, 제3 단계("6")는 CHF3 - Ar 단계일 수 있으며 세 번 반복된다(즉, 단계들 "8" 및 "14"도 또한 CF4 - Ar 단계들이고, 단계들 "10" 및 "16"도 또한 CF4 - CHF3 - Ar 단계들이며, 그리고 단계들 "12" 및 "18"도 또한 CHF3 - Ar 단계들이다). 그래프(500) 상의 라인들은 각각의 단계의 시작 및 종료 포인트들을 표시한다.
[0044] 그래프(500)에서 도시되는 바와 같이, 이 산화물 에칭 레시피를 사용하여 샘플들(Mux 1(510-1) 내지 Mux 2(510-4)) 각각에 대한 시간 0으로부터의 두께 변화가 관찰되었으며, 산화물 에칭 레시피가 불량한 에칭 선택도를 갖는다는 것을 의미한다. 일부 다른 에칭 레시피들에서, 샘플들(Mux 1(510-1) 내지 Mux 2(510-4)) 중 단지 하나에서 실질적인 두께 변화가 관찰될 수 있고, 그에 의해, 우수한 에칭 선택도를 나타낼 수 있다는 것을 유의한다. 예를 들면, 포토레지스트 에칭 레시피가 Mux 2(510-2)에서만 관찰되는 실질적인 두께 변화를 초래할 수 있으며, 우수한 에칭 선택도를 표시한다.
[0045] 도 6은 일부 실시예들에 따른, 다중 반사 측정법을 사용하여 에칭 레시피 개발 프로세스를 구현하는 방법(600)의 흐름도이다. 방법(600)은 하드웨어(예를 들면, 프로세싱 디바이스, 회로부, 전용 로직, 프로그램가능 로직, 마이크로코드, 디바이스의 하드웨어, 집적 회로 등), 소프트웨어(예를 들면, 프로세싱 디바이스 상에서 처리되는(run) 또는 실행되는(executed) 명령들), 또는 이들의 조합을 포함할 수 있는 프로세싱 로직에 의해 수행될 수 있다. 일부 실시예들에서, 방법(600)은 도 1의 프로세싱 디바이스(136)에 의해 수행된다. 특정 시퀀스 또는 순서로 도시되지만, 달리 명시되지 않는 한, 프로세스들의 순서는 수정될 수 있다. 따라서, 예시된 실시예들은 단지 예들로서 이해되어야 하며, 예시된 프로세스들은 상이한 순서로 수행될 수 있고, 일부 프로세스들은 병렬로 수행될 수 있다. 추가로적으로, 다양한 실시예들에서 하나 이상의 프로세스들이 생략될 수 있다. 따라서, 모든 각각의 실시예에서 모든 프로세스들이 요구되는 것은 아니다. 다른 프로세스 흐름들이 가능하다.
[0046] 동작(610)에서, 프로세싱 로직은, 다수의 반사 측정법 측정 포인트들 중 개개의 측정 포인트들에 로케이팅되는 다수의 재료들을 에칭하기 위해, 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신한다. 다수의 재료들은 에칭 레이트 및/또는 에칭 선택도를 결정하기 위해 관심 재료들로서 사전 결정된다. 다수의 재료들은 캐리어 웨이퍼 상에 배치되는 개개의 쿠폰들에 대응할 수 있다. 더 구체적으로, 측정 포인트마다 상이한 재료가 배치될 수 있다. 적절한 재료 로딩(또는 상이한 쿠폰 사이즈들)을 시뮬레이팅하기 위해 추가적인 쿠폰들이 추가될 수 있다. 그 다음, 캐리어 웨이퍼는 인클로저(예를 들면, 전면 개방형 통합/범용 포드(Front Opening Unified/Universal Pod; FOUP)) 내에 배치되고 그리고 에칭 프로세스에 노출되도록 로봇을 사용하여 에칭 챔버 안으로 로딩될 수 있다.
[0047] 동작(620)에서, 프로세싱 로직은 에칭 프로세스의 반복으로부터 유래하는 재료들 각각에 대한 재료 두께 데이터를 획득한다. 예를 들면, 프로세싱 로직은, 요청을 수신한 이후, 에칭 레시피에 의해 결정되는 바와 같은 에천트들에 대한 다수의 재료들의 노출을 야기할 수 있다. 재료들 중 하나 이상의 재료의 두께는 사용되고 있는 에칭 레시피의 결과로서 시간 경과에 따라 감소될 수 있다.
[0048] 더 구체적으로, 프로세싱 로직은 적어도 하나의 광학 검출기에 의해 수행되는 분석에 기초하여 재료 두께 데이터를 획득할 수 있다. 예를 들면, 적어도 하나의 램프는 에칭 프로세스 동안 캐리어 웨이퍼에서의 반사를 위한 광을 생성할 수 있고, 다수의 콜리메이터들에 대응하는 수집 실린더들은 반사들을 수집하여 출력 신호들을 생성할 수 있고, 그리고 출력 신호들의 파장 및/또는 강도의 분석에 기초하여 결과들을 생성하기 위해 출력 신호들은 적어도 하나의 광학 검출기로 송신될 수 있다. 예를 들면, 적어도 하나의 광학 검출기는 분광계일 수 있고, 다수의 콜리메이터들은 센서 헤드 내에 수용될 수 있다. 프로세싱 로직은 재료 두께 데이터를 획득하기 위해 적어도 하나의 광학 검출기에 의해 생성되는 결과들을 프로세싱할 수 있다. 일부 실시예들에서, 적어도 하나의 광학 검출기는 스위치 디바이스(예를 들면, 멀티플렉서)에 동작가능하게 커플링되는 단일의 광학 검출기이다. 대안적인 실시예들에서, 적어도 하나의 광학 검출기는 출력 신호들 중 개개의 출력 신호를 수신하도록 각각 구성되는 다수의 광학 검출기들을 포함한다.
[0049] 동작(630)에서, 프로세싱 로직은 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정한다. 하나 이상의 에칭 파라미터들은 에칭 레이트 및 에칭 선택도 중 적어도 하나를 포함할 수 있다. 예를 들면, 주어진 재료의 에칭 레이트는 시간 경과에 따른 두께에서의 변화에 기초하여 계산될 수 있다. 에칭 선택도는 재료들 중 적어도 2개 사이의 시간 경과에 따른 두께에서의 변화들을 비교함으로써 계산될 수 있다. 예를 들면, 주어진 재료가 에칭 레시피에 응답하여 높은 제거를 나타내는 반면 다른 재료들이 에칭 레시피에 응답하여 낮은 제거를 나타내는 경우, 주어진 재료는 상대적으로 높은 에칭 선택도를 갖는다.
[0050] 동작(640)에서, 프로세싱 로직은 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족하는지를 결정한다. 예를 들면, 에칭 파라미터 목표는 달성될 임계 에칭 선택도 및/또는 임계 에칭 레이트를 포함할 수 있다. 에칭 파라미터 목표는 하나 이상의 값들(예를 들면, 특정한 에칭 선택도 및/또는 특정한 에칭 레이트)일 수 있거나, 또는 값들의 범위(예를 들면, 에칭 선택도들의 범위 및/또는 에칭 레이트들의 범위)일 수 있다.
[0051] 하나 이상의 에칭 파라미터들이 동작(640)에서 에칭 파라미터 목표를 충족하지 못하는 경우, 프로세싱 로직은 동작(650)에서 수정된 에칭 레시피를 획득하고, 프로세싱 로직은 동작(610)에서 수정된 에칭 레시피를 사용하는 에칭 프로세스의 다른 반복을 개시하기 위한 다른 요청을 수신할 수 있다. 일부 실시예들에서, 수정된 에칭 레시피는 하나 이상의 에칭 레시피 조건들의 수동 수정을 수신함으로써 획득될 수 있다. 에칭 레시피 조건들의 예들은 가스 타입, 가스 농도, 압력, RF 전력 및 RF 펄싱을 포함한다(그러나 이에 제한되지 않음). 일부 실시예들에서, 수정된 에칭 레시피를 획득하는 것은 에칭 레시피를 자동적으로 수정하는 것을 포함한다. 더 구체적으로, 하나 이상의 에칭 레시피 조건들은 에칭 파라미터 목표에 기초하여 자동적으로 수정 또는 조정될 수 있다. 예를 들면, 자동 레시피 수정은, 본원에서 설명되는 실시예들에 따른 임의의 적절한 통계적 방법들(예를 들면, 다변량 DoE 방법들) 및/또는 머신 러닝 방법들을 사용하여 수행될 수 있다.
[0052] 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족하는 경우, 이것은 에칭 레시피 조건들이 적절하다는 것을 의미한다. 따라서, 에칭 레시피 개발 프로세스는 블록(660)에서 종료될 수 있다.
[0053] 도 7은 특정 실시예들에 따른, 컴퓨터 시스템(700)을 예시하는 블록 다이어그램이다. 일부 실시예들에서, 컴퓨터 시스템(700)은 도 1의 서브-시스템(130) 내에 포함된다.
[0054] 일부 실시예들에서, 컴퓨터 시스템(700)은 (예를 들면, 네트워크, 예컨대 로컬 영역 네트워크(Local Area Network; LAN), 인트라넷, 엑스트라넷, 또는 인터넷을 통해) 다른 컴퓨터 시스템들에 연결된다. 일부 실시예들에서, 컴퓨터 시스템(700)은 클라이언트-서버 환경에서 서버 또는 클라이언트 컴퓨터의 자격으로, 또는 피어 투 피어 또는 분산형 네트워크 환경에서 피어 컴퓨터로서 동작한다. 일부 실시예들에서, 컴퓨터 시스템(700)은 퍼스널 컴퓨터(personal computer; PC), 태블릿 PC, 셋톱 박스(Set-Top Box; STB), 개인 휴대형 정보 단말(Personal Digital Assistant; PDA), 셀룰러 전화, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 명령들의 세트를 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 디바이스 ― 명령들의 세트는 그 디바이스에 의해 취해질 액션들을 명시함 ― 에 의해 제공된다. 게다가, 용어 "컴퓨터"는, 본원에서 설명되는 방법들 중 임의의 하나 이상을 수행하기 위해 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 컴퓨터들의 임의의 콜렉션을 포함할 것이다.
[0055] 추가적인 양상에서, 컴퓨터 시스템(700)은 프로세싱 디바이스(702), 휘발성 메모리(704)(예를 들면, 랜덤 액세스 메모리(Random Access Memory; RAM)), 비-휘발성 메모리(706)(예를 들면, 판독 전용 메모리(Read-Only Memory; ROM) 또는 전기적 소거가능 프로그램가능 ROM(Electrically-Erasable Programmable ROM; EEPROM)), 및/또는 데이터 저장 디바이스(716)를 포함하며, 이들은 버스(708)를 통해 서로 통신한다.
[0056] 일부 실시예들에서, 프로세싱 디바이스(702)는, (예컨대, 예를 들면, 복합 명령 세트 컴퓨팅(Complex Instruction Set Computing; CISC) 마이크로프로세서, 축약형 명령 세트 컴퓨팅(Reduced Instruction Set Computing; RISC) 마이크로프로세서, 아주 긴 명령 워드(Very Long Instruction Word; VLIW) 마이크로프로세서, 다른 타입들의 명령 세트들을 구현하는 마이크로프로세서, 또는 명령 세트들의 타입들의 조합을 구현하는 마이크로프로세서와 같은) 범용 프로세서 또는 (예컨대, 예를 들면, 주문형 집적 회로(Application Specific Integrated Circuit; ASIC), 필드 프로그램가능 게이트 어레이(Field Programmable Gate Array; FPGA), 디지털 신호 프로세서(Digital Signal Processor; DSP), 또는 네트워크 프로세서와 같은) 전문화된 프로세서(specialized processor)와 같은 하나 이상의 프로세서들에 의해 제공된다.
[0057] 일부 실시예들에서, 컴퓨터 시스템(700)은 (예를 들면, 네트워크(774)에 커플링되는) 네트워크 인터페이스 디바이스(722)를 더 포함한다. 일부 실시예들에서, 컴퓨터 시스템(700)은 비디오 디스플레이 유닛(710)(예를 들면, LCD), 영숫자 입력 디바이스(712)(예를 들면, 키보드), 커서 제어 디바이스(714)(예를 들면, 마우스), 및 신호 생성 디바이스(720)를 또한 포함한다.
[0058] 일부 구현예들에서, 데이터 저장 디바이스(716)는 본원에서 설명되는 방법들 또는 기능들 중 임의의 하나 이상을 인코딩하는 명령들(726)을 상부에 저장하는 비-일시적 컴퓨터 판독가능 저장 매체(724)를 포함한다. 예를 들면, 명령들(726)은, 실행될 때, 에칭 레시피 개발을 위한 방법, 예컨대 도 6의 방법(600)을 구현할 수 있는 도 1의 에칭 레시피 컴포넌트(139)를 포함할 수 있다.
[0059] 일부 실시예들에서, 명령들(726)은 또한, 컴퓨터 시스템(700)에 의한 그들의 실행 동안 휘발성 메모리(704) 내에서 및/또는 프로세싱 디바이스(702) 내에서, 완전히 또는 부분적으로, 상주하며, 그러므로, 일부 실시예들에서, 휘발성 메모리(704) 및 프로세싱 디바이스(702)는 머신 판독가능 저장 매체들을 또한 구성한다.
[0060] 컴퓨터 판독가능 저장 매체(724)가 예시적인 예들에서 단일의 매체로서 도시되지만, 용어 "컴퓨터 판독가능 저장 매체"는, 실행가능 명령들의 하나 이상의 세트들을 저장하는 단일의 매체 또는 다수의 매체들(예를 들면, 중앙 집중식 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)을 포함할 것이다. 용어 "컴퓨터 판독가능 저장 매체"는, 컴퓨터로 하여금 본원에서 설명되는 방법들 중 임의의 하나 이상을 수행하게 하는, 컴퓨터에 의한 실행을 위한 명령들의 세트를 저장 또는 인코딩할 수 있는 임의의 유형적(tangible) 매체를 또한 포함할 것이다. 용어 "컴퓨터 판독가능 저장 매체"는, 솔리드 스테이트 메모리들, 광학 매체들, 및 자기 매체들을 포함할 것이지만, 이들로 제한되지는 않을 것이다.
[0061] 일부 실시예들에서, 본원에서 설명되는 방법들, 컴포넌트들 및 피처들은 별개의 하드웨어 컴포넌트들에 의해 구현되거나 또는 ASIC들, FPGA들, DSP들 또는 유사한 디바이스들과 같은 다른 하드웨어 컴포넌트들의 기능성에서 통합된다. 일부 실시예들에서, 방법들, 컴포넌트들, 및 피처들은 하드웨어 디바이스들 내의 펌웨어 모듈들 또는 기능성 회로부에 의해 구현된다. 일부 실시예들에서, 방법들, 컴포넌트들, 및 피처들은 하드웨어 디바이스들과 컴퓨터 프로그램 컴포넌트들의 임의의 조합에서, 또는 컴퓨터 프로그램들에서 구현된다.
[0062] 달리 구체적으로 언급되지 않는 한, "트레이닝하는", "식별하는", "추가로 트레이닝하는", "다시 트레이닝하는", "야기하는", "수신하는", "제공하는", "획득하는", "최적화하는", "결정하는", "업데이트하는", "초기화하는", "생성하는", "추가하는" 등과 같은 용어들은, 컴퓨터 시스템 레지스터들 및 메모리들 내에서 물리적(전자적) 수량들로서 표현되는 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 송신 또는 디스플레이 디바이스들 내에서 물리적 수량들로서 유사하게 표현되는 다른 데이터로 조작 및 변환하는 컴퓨터 시스템들에 의해 수행되는 또는 구현되는 액션들 및 프로세스들을 지칭한다. 일부 실시예들에서, 용어들 "제1", "제2", "제3", "제4" 등은, 본원에서 사용될 때, 상이한 엘리먼트들 사이를 구별하기 위한 라벨들로서 의도되며, 그들 수치적 지정에 따른 서수적 의미를 가지지는 않는다.
[0063] 본원에서 설명되는 예들은 또한, 본원에서 설명되는 방법들을 수행하기 위한 장치에 관한 것이다. 일부 실시예들에서, 이 장치는 본원에서 설명되는 방법들을 수행하도록 특별히 구성되거나, 또는 컴퓨터 시스템에 저장된 컴퓨터 프로그램에 의해 선택적으로 프로그래밍된 범용 컴퓨터 시스템을 포함한다. 그러한 컴퓨터 프로그램은 컴퓨터 판독가능 유형적 저장 매체에 저장된다.
[0064] 본원에서 설명된 방법들 및 예시적인 예들은 본질적으로 임의의 특정 컴퓨터 또는 다른 장치와 관련되지 않는다. 일부 실시예들에서, 본원에서 설명되는 교시들에 따라 다양한 범용 시스템들이 사용된다. 일부 실시예들에서, 본원에서 설명된 방법들 및/또는 이들의 개별적인 기능들, 루틴들, 서브루틴들 또는 동작들 각각을 수행하도록 더 특화된 장치가 구성된다. 이러한 다양한 시스템들에 대한 구조의 예들은 위의 설명에서 제시된다.
[0065] 위의 설명은 예시적인 것으로 의도되며 제한적인 것으로 의도되지 않는다. 본 개시내용이 특정 예시적인 예들 및 구현들을 참조하여 설명되었지만, 본 개시내용은 설명된 예들 및 구현들로 제한되지 않는다는 것이 인식될 것이다. 본 개시내용의 범위는, 다음의 청구항들 및 이 청구항들이 권리를 갖는 등가물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (20)

  1. 시스템으로서,
    메모리; 및
    복수의 동작들을 수행함으로써 에칭 레시피 개발 프로세스를 용이하게 하기 위해 상기 메모리에 동작가능하게 커플링되는 적어도 하나의 프로세싱 디바이스를 포함하고,
    상기 복수의 동작들은,
    복수의 반사 측정법(reflectometry) 측정 포인트들 중 개개의 측정 포인트에 각각 로케이팅되는 복수의 재료들을 에칭하기 위해 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신하는 동작;
    상기 에칭 프로세스의 반복으로부터 유래하는 상기 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 동작; 및
    상기 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 동작을 포함하는,
    시스템.
  2. 제1 항에 있어서,
    상기 복수의 재료들의 각각의 재료는 캐리어 웨이퍼 상의 대응하는 쿠폰(coupon) 상에 배치되는,
    시스템.
  3. 제1 항에 있어서,
    상기 복수의 반사 측정법 측정 포인트들은 상기 복수의 반사 측정법 측정 포인트들 위에 로케이팅되는 복수의 콜리메이터들과 연관되는 복수의 수집 실린더들과 관련되는,
    시스템.
  4. 제1 항에 있어서,
    상기 적어도 하나의 프로세싱 디바이스는, 상기 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하기 위한 광학 검출기에 동작가능하게 커플링되는,
    시스템.
  5. 제4 항에 있어서,
    상기 적어도 하나의 프로세싱 디바이스는, 상기 광학 검출기를 이용하여 상기 복수의 반사 측정법 측정 포인트들이 선택적으로 측정되는 것을 가능하게 하기 위해 스위치 디바이스에 동작가능하게 커플링되는,
    시스템.
  6. 제1 항에 있어서,
    상기 하나 이상의 에칭 파라미터들은, 에칭 선택도 또는 에칭 레이트 중 적어도 하나를 포함하는,
    시스템.
  7. 제1 항에 있어서,
    상기 복수의 동작들은,
    상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 동작;
    상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 동작에 응답하여 수정된 에칭 레시피를 획득하는 동작; 및
    상기 복수의 재료들을 에칭하기 위해 상기 수정된 에칭 프로세스의 반복을 개시하는 동작을 더 포함하는,
    시스템.
  8. 제7 항에 있어서,
    상기 수정된 에칭 레시피를 획득하는 동작은 상기 에칭 레시피의 하나 이상의 에칭 레시피 조건들을 자동적으로 수정하는 동작을 더 포함하는,
    시스템.
  9. 제8 항에 있어서,
    상기 하나 이상의 에칭 레시피 조건들은, 가스 타입, 가스 농도, 압력, RF 전력, 또는 RF 펄싱(pulsing) 중 적어도 하나를 포함하는,
    시스템.
  10. 제1 항에 있어서,
    상기 복수의 동작들은,
    상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족한다는 것을 결정하는 동작; 및
    상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족한다는 것을 결정하는 동작에 응답하여 상기 에칭 레시피 개발 프로세스를 종료하는 동작을 더 포함하는,
    시스템.
  11. 방법으로서,
    적어도 하나의 프로세싱 디바이스에 의해, 복수의 반사 측정법 측정 포인트들 중 개개의 측정 포인트에 각각 로케이팅되는 복수의 재료들을 에칭하기 위해 에칭 레시피를 사용하여 에칭 프로세스의 반복을 개시하기 위한 요청을 수신하는 단계 ― 상기 에칭 프로세스는 에칭 레시피 개발 프로세스와 연관됨 ―;
    상기 적어도 하나의 프로세싱 디바이스에 의해, 상기 에칭 프로세스의 반복으로부터 유래하는 상기 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 단계; 및
    상기 프로세싱 디바이스에 의해, 상기 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 단계를 포함하는,
    방법.
  12. 제11 항에 있어서,
    상기 복수의 재료들 각각은 캐리어 웨이퍼 상에 배치되는 복수의 쿠폰들 중 하나에 대응하는,
    방법.
  13. 제11 항에 있어서,
    상기 하나 이상의 에칭 파라미터들은 에칭 선택도 또는 에칭 레이트 중 적어도 하나를 포함하는,
    방법.
  14. 제11 항에 있어서,
    상기 프로세싱 디바이스에 의해, 상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 단계;
    상기 프로세싱 디바이스에 의해, 상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 단계에 응답하여 수정된 에칭 레시피를 획득하는 단계; 및
    상기 프로세싱 디바이스에 의해, 상기 복수의 재료들을 에칭하기 위해 상기 수정된 에칭 프로세스의 반복을 개시하는 단계를 더 포함하는,
    방법.
  15. 제14 항에 있어서,
    상기 하나 이상의 에칭 레시피 조건들은, 가스 타입, 가스 농도, 압력, RF 전력, 또는 RF 펄싱 중 적어도 하나를 포함하는,
    방법.
  16. 제11 항에 있어서,
    상기 적어도 하나의 프로세싱 디바이스에 의해, 상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족한다는 것을 결정하는 단계; 및
    상기 적어도 하나의 프로세싱 디바이스에 의해, 상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족한다는 것을 결정하는 단계에 응답하여 상기 에칭 레시피 개발 프로세스를 종료하는 단계를 더 포함하는,
    방법.
  17. 시스템으로서,
    복수의 반사 측정법 측정 포인트들 위에 로케이팅되는 복수의 수집 실린더들에 대응하는 복수의 콜리메이터들을 포함하는 센서 헤드 ― 상기 복수의 반사 측정법 측정 포인트들은 복수의 재료들의 로케이션들에 대응함 ―;
    광학 검출기;
    상기 광학 검출기를 이용하여 상기 복수의 반사 측정법 측정 포인트들이 선택적으로 측정되는 것을 가능하게 하기 위해 상기 센서 헤드 및 상기 광학 검출기에 동작가능하게 커플링되는 스위치 디바이스; 및
    상기 복수의 반사 측정법 측정 포인트들의 선택적 측정치들을 사용하여 에칭 레시피 개발 프로세스를 용이하게 하기 위해 상기 광학 검출기 및 상기 스위치 디바이스에 동작가능하게 커플링되는 적어도 하나의 프로세싱 디바이스를 포함하는,
    시스템.
  18. 제17 항에 있어서,
    상기 복수의 재료들의 각각의 재료는 캐리어 웨이퍼 상의 쿠폰 상에 배치되는,
    시스템.
  19. 제17 항에 있어서,
    상기 적어도 하나의 프로세싱 디바이스는 복수의 동작들을 수행함으로써 상기 에칭 레시피 개발 프로세스를 용이하게 하고,
    상기 복수의 동작들은,
    에칭 레시피를 사용하여 상기 복수의 재료들을 에칭하기 위한 에칭 프로세스의 반복을 개시하는 동작;
    상기 에칭 프로세스의 반복으로부터 유래하는 상기 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 동작;
    상기 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 동작;
    상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 동작;
    상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족하지 못한다는 것을 결정하는 동작에 응답하여 상기 에칭 레시피의 하나 이상의 에칭 레시피 조건들을 자동적으로 수정함으로써 수정된 에칭 레시피를 획득하는 동작; 및
    상기 복수의 재료들을 에칭하기 위해 상기 수정된 에칭 프로세스의 반복을 개시하는 동작을 포함하는,
    시스템.
  20. 제17 항에 있어서,
    상기 적어도 하나의 프로세싱 디바이스는 복수의 동작들을 수행함으로써 상기 에칭 레시피 개발 프로세스를 용이하게 하고,
    상기 복수의 동작들은,
    에칭 레시피를 사용하여 상기 복수의 재료들을 에칭하기 위한 에칭 프로세스의 반복을 개시하는 동작;
    상기 에칭 프로세스의 반복으로부터 유래하는 상기 복수의 재료들 각각에 대한 재료 두께 데이터를 획득하는 동작;
    상기 재료 두께 데이터에 기초하여 하나 이상의 에칭 파라미터들을 결정하는 동작;
    상기 하나 이상의 에칭 파라미터들이 에칭 파라미터 목표를 충족한다는 것을 결정하는 동작; 및
    상기 하나 이상의 에칭 파라미터들이 상기 에칭 파라미터 목표를 충족한다는 것을 결정하는 동작에 응답하여 상기 에칭 레시피 개발 프로세스를 종료하는 동작을 포함하는,
    시스템.
KR1020237040399A 2021-04-28 2022-04-25 에칭 파라미터들을 측정하기 위한 다중 반사 측정법 KR102675035B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/242,569 2021-04-28
US17/242,569 US11619594B2 (en) 2021-04-28 2021-04-28 Multiple reflectometry for measuring etch parameters
PCT/US2022/026197 WO2022232059A1 (en) 2021-04-28 2022-04-25 Multiple reflectometry for measuring etch parameters

Publications (2)

Publication Number Publication Date
KR20230173191A true KR20230173191A (ko) 2023-12-26
KR102675035B1 KR102675035B1 (ko) 2024-06-12

Family

ID=83809310

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237040399A KR102675035B1 (ko) 2021-04-28 2022-04-25 에칭 파라미터들을 측정하기 위한 다중 반사 측정법

Country Status (7)

Country Link
US (2) US11619594B2 (ko)
EP (1) EP4330623A1 (ko)
JP (1) JP7488971B2 (ko)
KR (1) KR102675035B1 (ko)
CN (1) CN117222860A (ko)
TW (1) TW202307397A (ko)
WO (1) WO2022232059A1 (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0861461A2 (en) * 1995-02-13 1998-09-02 Electronic Publishing Resources, Inc. Systems and methods for secure transaction management and electronic rights protection
KR19980086943A (ko) * 1997-05-12 1998-12-05 조셉 제이. 스위니 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치
KR20130069313A (ko) * 2011-12-16 2013-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-구역 종료점 검출기

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5664033A (en) * 1994-04-05 1997-09-02 Tektronix, Inc. Remote fiber test system using a non-blocking N×N mechanical fiber optical switch
US5982018A (en) * 1997-05-23 1999-11-09 Micron Technology, Inc. Thin film capacitor coupons for memory modules and multi-chip modules
US6576476B1 (en) * 1998-09-02 2003-06-10 Ljl Biosystems, Inc. Chemiluminescence detection method and device
KR100452918B1 (ko) * 2002-04-12 2004-10-14 한국디엔에스 주식회사 두께측정시스템이 구비된 회전식각장치
US20040127030A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
JP4308868B2 (ja) * 2006-08-08 2009-08-05 株式会社渡辺製作所 ファイバセンシングシステム
US7749398B2 (en) 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US8158526B2 (en) * 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US8237919B2 (en) * 2007-08-24 2012-08-07 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method for continuous position measurement of movable body before and after switching between sensor heads
US20090065478A1 (en) 2007-09-11 2009-03-12 Dockery Kevin P Measuring etching rates using low coherence interferometry
US8334986B2 (en) 2010-02-25 2012-12-18 Corning Incorporated Methods and apparatus for the measurement of film thickness
JP6247752B2 (ja) 2013-06-17 2017-12-13 プレシテック オプトロニック ゲーエムベーハーPrecitec Optronik GmbH 距離差を取得するための光学測定装置および光学測定方法
CN104743495B (zh) * 2013-12-30 2017-02-22 中芯国际集成电路制造(上海)有限公司 用于测试刻蚀工艺的测试结构及其形成方法、测试方法
JP2016080668A (ja) 2014-10-22 2016-05-16 株式会社島津製作所 表面処理状況モニタリング装置及び表面処理状況モニタリング方法
US10818561B2 (en) 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles
AU2017294789B2 (en) * 2016-07-14 2022-06-16 Commonwealth Scientific And Industrial Research Organisation Apparatus for measuring spectra
CN106840820B (zh) * 2016-11-29 2020-10-23 信利(惠州)智能显示有限公司 Cvd薄膜及其刻蚀处理方法
US11441893B2 (en) 2018-04-27 2022-09-13 Kla Corporation Multi-spot analysis system with multiple optical probes
US20210348919A1 (en) * 2018-09-24 2021-11-11 Molex, Llc A system for monitoring a thickness of one or more assets using an ultrasonic measurement system, a multiplexer switch module and a two-conductor connection, and a method of performing the same
CN110931399A (zh) * 2019-12-23 2020-03-27 武汉大学 一种多种检测功能的rie半导体材料刻蚀装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0861461A2 (en) * 1995-02-13 1998-09-02 Electronic Publishing Resources, Inc. Systems and methods for secure transaction management and electronic rights protection
KR19980086943A (ko) * 1997-05-12 1998-12-05 조셉 제이. 스위니 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치
KR20130069313A (ko) * 2011-12-16 2013-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-구역 종료점 검출기

Also Published As

Publication number Publication date
EP4330623A1 (en) 2024-03-06
TW202307397A (zh) 2023-02-16
US11927543B2 (en) 2024-03-12
CN117222860A (zh) 2023-12-12
WO2022232059A1 (en) 2022-11-03
JP2024515762A (ja) 2024-04-10
US20230168210A1 (en) 2023-06-01
US20220349833A1 (en) 2022-11-03
KR102675035B1 (ko) 2024-06-12
JP7488971B2 (ja) 2024-05-22
US11619594B2 (en) 2023-04-04

Similar Documents

Publication Publication Date Title
US10365212B2 (en) System and method for calibration of optical signals in semiconductor process systems
US6192287B1 (en) Method and apparatus for fault detection and control
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
US7824931B2 (en) Substrate processing control method and storage medium
CN113661380B (zh) 原位光学腔室表面及处理传感器
KR20140006039A (ko) 광학적 메트롤로지 및 센서 디바이스를 이용한 에칭 프로세스 제어 방법 및 시스템
US10732520B1 (en) Measurement library optimization in semiconductor metrology
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
KR20220097495A (ko) 플라즈마 대면 센서를 갖는 프로세스 센서와 광학 벽
KR102675035B1 (ko) 에칭 파라미터들을 측정하기 위한 다중 반사 측정법
TWI682456B (zh) 電漿處理裝置及解析電漿處理資料的解析方法
US11152237B2 (en) Substitute sample, method for determining control parameter of processing, and measurement system
CN1153056C (zh) 以光学方法测量温度并监控蚀刻率的方法
US7826072B1 (en) Method for optimizing the configuration of a scatterometry measurement system
US11668602B2 (en) Spatial optical emission spectroscopy for etch uniformity
KR100812744B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
WO2022256194A1 (en) In situ sensor and logic for process control
Johnson et al. Comparison of endpoint methods in advanced photomask etch applications
JP2024012193A (ja) 半導体プロセスのための光学機器の障害検出及び動作即応性のためのシステム及び方法
CN118329203A (zh) 原位光学腔室表面及处理传感器

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant