KR20230136217A - 확산 장벽 형성을 위한 방법 및 습식 화학 조성물 - Google Patents

확산 장벽 형성을 위한 방법 및 습식 화학 조성물 Download PDF

Info

Publication number
KR20230136217A
KR20230136217A KR1020237030013A KR20237030013A KR20230136217A KR 20230136217 A KR20230136217 A KR 20230136217A KR 1020237030013 A KR1020237030013 A KR 1020237030013A KR 20237030013 A KR20237030013 A KR 20237030013A KR 20230136217 A KR20230136217 A KR 20230136217A
Authority
KR
South Korea
Prior art keywords
dielectric
manganese
barrier layer
diffusion barrier
semiconductor substrate
Prior art date
Application number
KR1020237030013A
Other languages
English (en)
Inventor
리차드 더블유. 허트유비스
에릭 야콥슨
샤오펭 선
테일러 엘. 윌킨스
엘리에 에이치. 나자르
웬보 샤오
Original Assignee
맥더미드 엔쏜 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맥더미드 엔쏜 인코포레이티드 filed Critical 맥더미드 엔쏜 인코포레이티드
Publication of KR20230136217A publication Critical patent/KR20230136217A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/04Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1295Process of deposition of the inorganic material with after-treatment of the deposited inorganic material
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Chemically Coating (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

습식 프로세스에 의해 유전체 또는 반도체 기판 상에 확산 장벽 층을 형성하는 방법. 본 방법은 확산 장벽 층을 상부에 침착하기 위해 기판을 준비할 수 있는 하나 이상의 흡착 촉진 성분을 포함하는 수성 전처리 용액으로 유전체 또는 반도체 기판을 처리하는 단계; 및 처리된 유전체 또는 반도체 기판을 망간 화합물 및 무기 pH 완충제(선택적으로, 하나 이상의 도핑 금속을 가짐)를 포함하는 침착 용액과 접촉시켜 그 상부에 확산 장벽 층을 형성하는 단계를 포함하며, 확산 장벽 층은 산화망간을 포함한다. 또한 확산 장벽 층을 상부에 형성하기 위해 유전체 또는 반도체 기판을 처리하기 위한 2-파트 키트가 포함된다.

Description

확산 장벽 형성을 위한 방법 및 습식 화학 조성물
본 발명은 일반적으로 상호연결부 제조를 위해 유전체 및 반도체 재료 상에 확산 장벽을 형성하는 습식 방법에 관한 것이다.
집적 회로 디바이스는 전형적으로 반도체 기판 내에 또는 반도체 기판 상에 형성된 트랜지스터, 커패시터 및 저항기와 같은 회로 요소를 포함한다. 상호연결 구조체는 이산 회로 요소를 기능 회로에 전기적으로 결합 또는 연결하는 데 사용된다.
이들 상호연결 구조체는 구리 및 코발트를 포함하는 다양한 금속 및 금속 합금으로부터 제조될 수 있다. 금속으로서의 구리는 은에 이어 두 번째로 우수한 전도체이며, 종종 많은 IC 금속화 응용을 위한 주 전도체 금속으로 가장 가능성 높은 선택이다. 구리는 또한 공극 형성 및 고장으로 이어질 수 있는 확산 및 일렉트로마이그레이션(EM)의 경향이 있는 것으로 잘 알려져 있기 때문에 확산 장벽으로 완전히 캡슐화되어야 한다. 따라서, 이러한 상호연결 구조체는 전형적으로 탄탈륨 또는 탄탈륨 질화물과 같은 장벽 층, 및 이들의 조합(예를 들어, 탄탈륨 질화물/탄탈륨)을 포함한다.
장벽 층의 재료는 주의깊게 선택되어야 한다. 장벽 층이 너무 두꺼우면, 구리 라인의 일부를 차지하고 유효 라인 저항을 증가시킨다. 반면에, 장벽 층이 너무 얇으면, 효율적인 금속 장벽 층으로서 작용하지 않을 것이고, 이는 디바이스 성능에 영향을 미쳐 불량한 신뢰성을 초래할 수 있다. 또한, 디바이스 크기가 수축됨에 따라, 상호연결 구조체를 위한 장벽 층과 캡 층이 또한 수축되지만 (즉, 더 얇아지지만), 장벽 특성을 유지할 필요가 있다.
금속화는 반도체 디바이스의 제조 시퀀스에서 핵심 단계이다. 본 발명은 유전체 또는 반도체 재료, 예컨대 SiO2, Si3N4, SixCyOZ, Si, Ge, GaAs 또는 IC 제조에 사용되는 다른 유전체 또는 반도체 재료 바로 위에 확산 장벽 금속 및/또는 금속 산화물을 침착하는 데 사용될 수 있는 프로세스 및 조성물에 관한 것이다.
통상적으로, 유전체 또는 반도체 재료 상에의 금속 또는 금속 산화물의 침착을 위해, 건식 진공 프로세스, 예컨대 물리 기상 증착(PVD), 화학 기상 증착(CVD), 또는 원자층 증착(ALD) 을 사용하여 확산 장벽 층을 침착시킨다. 그러나, 이러한 건식 진공 프로세싱 단계는 다음을 포함하는 몇몇 제한 및 단점을 겪는다:
진공 프로세스는 습식 침착 방법(예컨대, 전기화학 침착(ECD) 또는 무전해 침착(ELD))보다 상당히 더 비용이 많이 든다. 건식 진공 방법은 이러한 진공 프로세스를 수행하고 유지하기 위해 고도로 전문화되고 정교한 프로세싱 툴, 전용 전달 인프라구조를 갖는 특수 고순도 가스, 전구체 재료 및 고순도 타겟 재료를 요구한다.
진공 금속 침착 속도는 매우 낮을 수 있으므로, 진공 프로세싱 시간이 습식 ECD/ELD 단계보다 진공 단계에서 훨씬 더 길 수 있으며, 이로써 전체 팹 생산성이 감소된다.
IC 기하학적 구조가 모든 새로운 기술 노드에 의해 스케일링 다운됨에 따라, 전도성 시드 층 두께와 조합된 진공 확산 장벽의 균일한 커버리지 및 두께는 과제를 제시하고, 이는 결국 후속 Cu ECD 금속화 갭 충전 단계에 문제가 된다.
Ta 및 Ta 질화물과 같은 진공 확산 장벽은 Cu보다 낮은 전도도를 가지며, 이는 이들 층이 훨씬 더 많은 전도성 Cu에 의해 점유될 수 있었던 부피를 차지하기 때문에 상호연결 금속 스택의 전도도를 감소시킨다.
건식 진공 방법(CVD, PVD 및 ALD)에 의해 Si 상에 침착되고 MnxSiyOz를 형성하도록 열 처리되는 Mn 막은 Cu 확산에 대해 우수한 확산 장벽 특성을 갖는 것으로 보고되었다. 그러나, 이러한 건식 진공 방법은 긴 프로세싱 시간 및 진공 장비의 사용을 요구한다.
따라서, 이러한 건식 진공 방법의 결함을 극복하는 확산 장벽 층을 유전체 또는 반도체 기판 상에 형성하기 위해 망간 막을 침착시키는 방법을 개발하는 것이 바람직할 것이다.
본 발명의 목적은 유전체 또는 반도체 재료 상의 금속 상호연결부에 개선된 장벽 층을 제공하기 위한 프로세스를 제공하는 것이다.
본 발명의 다른 목적은 하나 이상의 금속으로 도핑될 수 있는 유전체 또는 반도체 재료 상의 금속 상호연결부를 위해 산화망간 장벽 층을 준비하기 위한 프로세스를 제공하는 것이다.
본 발명의 또 다른 목적은 유전체 또는 반도체 재료 상의 금속 상호연결부에 산화망간 장벽 층을 침착하기 위한 습식 프로세스를 제공하는 것이다.
본 발명의 또 다른 목적은 금속화된 구리 층과 같은 금속화된 층에 산화망간 장벽 층을 제공하기 위한 습식 프로세스를 제공하는 것이다.
이를 위해, 일 실시형태에서, 본 발명은 일반적으로 유전체 또는 반도체 기판 상에 확산 장벽 층을 침착시키는 방법에 관한 것으로, 이 방법은:
1. 유전체 또는 반도체 기판을 수성 전처리 용액으로 처리하는 단계; 및
2. 처리된 유전체 또는 반도체 기판을 망간 화합물 및 무기 pH 완충제를 포함하는 수성 침착 용액과 접촉시켜 유전체 또는 반도체 기판 상에 확산 장벽을 침착시키는 단계(확산 장벽 층은 산화망간을 포함함); 및
3. 선택적으로, 단계 b) 후에 단계 a)를 반복하여, 후속적으로 침착된 구리에 대한 확산 장벽 층의 접착을 추가로 향상시키는 단계를 포함한다.
이하, 본 발명은 하기의 도면을 참조하여 설명될 것이며, 여기서:
도 1은 실시예 1에 따른 유전체 표면 상의 조밀한 MnO2 막을 나타내는 탑-다운 SEM을 도시한다.
도 2는 실시예 3에 따른 유전체 표면 상의 조밀한 MnO2 막을 나타내는 탑-다운 SEM을 도시한다.
도 3은 실시예 4에 따른 베어 규소 표면 상의 조밀한 MnO2 막을 나타내는 탑-다운 SEM을 도시한다.
본 발명은 습식 프로세스에 기초하여 유전체 및 반도체 재료 상의 금속 상호연결부를 위한 확산 장벽 층의 침착 방법에 관한 것이다. 본 발명의 습식 프로세스는 확산 장벽 층을 형성하는 종래의 건식 진공 프로세스에 비해 몇 가지 상당한 이점을 제공하는데, 이는 상당히 더 짧은 프로세싱 시간 및 연관된 더 높은 생산성, 진공 장비/일회용품에 대한 필요성의 제거, 및 상호연결부의 더 높은 전도도를 포함한다.
본 발명은 진공, 환원 분위기 또는 수소 플라즈마에서의 열 처리의 후속 선택적 단계를 갖는 MnO2 침착을 위한 습식 방법을 기술한다.
망간은 하나 이상의 금속으로 도핑되어 그의 장벽 성능, 접착, 연속성, 핵 형성 밀도 및 균일성을 향상시킬 수 있다. 도핑 금속의 예는 아연, 코발트, 루테늄, 팔라듐, 지르코늄, 크롬, 마그네슘, 티타늄, 텅스텐, 레늄, 탄탈륨 등을 포함한다.
본 명세서에 사용되는 관사("a", "an", 및 "the")는 그 내용이 명백하게 달리 나타내지 않는 한 단수의 지시대상 및 복수의 지시대상 둘 모두를 지칭한다.
본 명세서에 사용되는 바와 같이, 용어 "약"은 파라미터, 양, 지속시간 등과 같은 측정가능한 값을 지칭하며, 변동이 본 명세서에 기재된 본 발명에서 수행하기에 적절한 한, 구체적으로 언급된 값의 그리고 그로부터 +/-15% 이하의 변동, 바람직하게는, +/-10% 이하의 변동, 더욱 바람직하게는, +/-5% 이하의 변동, 더욱 더 바람직하게는, +/-1% 이하의 변동, 그리고 훨씬 더 바람직하게는, +/-0.1% 이하의 변동을 포함함을 의미한다. 또한, 수식어 "약"이 지칭하는 값은 그 자체가 본 명세서에 구체적으로 개시된 것으로 또한 이해되어야 한다.
본 명세서에 사용되는 "바로 아래", "아래", "하부", "위", "상부" 등과 같은 공간적으로 관련된 용어는 설명의 용이함을 위해 도면에 예시된 바와 같이 하나의 요소 또는 특징부의 다른 요소(들) 또는 특징부(들)에 대한 관계에 대해 설명하기 위해 사용된다. 공간적으로 관련된 용어는 도면에 도시된 방향에 더하여, 사용 또는 작동 시에 디바이스의 다른 방향을 포함하도록 의도될 수 있다. 예를 들어, 도면의 디바이스가 뒤집힌 경우, 다른 요소들 또는 특징부들 "아래" 또는 "바로 아래"로 설명된 요소들은 다른 요소들 또는 특징부들 "위"로 향하게 될 것이다. 따라서, 예시적인 용어 "아래"는 위와 아래의 방향 둘 모두를 포함할 수 있다. 디바이스는 다르게 배향될(90도 또는 다른 방향으로 회전될) 수 있으며, 본 명세서에서 사용되는 공간적으로 관련된 용어는 이에 따라 해석될 수 있다. 용어 "전방" 및 "후방"은 제한적인 것으로 의도되지 않고 적절한 경우에 상호교환 가능하도록 의도됨이 추가로 이해된다.
본원에 사용되는 바와 같이, 용어 "포함한다" 및/또는 "포함하는"은 언급된 특징부, 정수, 단계, 작업, 요소, 및/또는 구성요소의 존재를 명시하지만, 하나 이상의 다른 특징부, 정수, 단계, 작업, 요소, 구성요소, 및/또는 이들의 그룹의 존재 또는 추가를 배제하지 않는다.
본 발명은 일반적으로 유전체 또는 반도체 기판 상에 산화망간 장벽 층을 침착시키는 습식 방법에 관한 것이다. 일단 MnxSiyOz가 형성되면, 확산 장벽으로서 작용할 수 있다.
일 실시형태에서, 본 발명은 일반적으로 유전체 또는 반도체 기판 상에 확산 장벽을 침착시키는 방법에 관한 것으로, 이 방법은:
1. 유전체 또는 반도체 기판을 수성 전처리 용액으로 처리하는 단계; 및
2. 처리된 유전체 또는 반도체 기판을 망간 화합물 및 무기 pH 완충제를 포함하는 수성 침착 용액과 접촉시켜 유전체 또는 반도체 기판 상에 확산 장벽을 침착시키는 단계(확산 장벽 층은 산화망간을 포함함); 및
3. 선택적으로, 단계 b) 후에 단계 a)를 반복하여, 후속적으로 침착된 금속(즉, 구리)에 대한 확산 장벽 층의 접착을 추가로 향상시키기 위한 단계를 포함한다.
본원에 기재된 바와 같이, 수성 전처리 용액은 산화망간을 상부에 침착하기 위해 유전체 또는 반도체 기판을 준비할 수 있는 하나 이상의 흡착 촉진 성분을 포함한다. 일 실시형태에서, 하나 이상의 흡착 촉진 성분은 하나 이상의 질소, 규소 및/또는 탄소(N, Si, 및/또는 C) 계의 양이온성 중합체를 포함한다. 이러한 질소, 규소 및/또는 탄소계 양이온성 중합체 또는 올리고머는 전형적으로 질소, 규소, 및/또는 탄소 원자를 함유하는 양이온성 작용기를 갖는다. 질소계 양이온성 작용기의 경우, 이는 일차 질소 원자, 이차 질소 원자, 삼차 질소 원자, 또는 사차 질소 원자일 수 있다. 질소, 규소 및/또는 탄소계 양이온성 중합체 또는 올리고머는 평균 분자량이 약 50 내지 약 1,000,000 Da의 범위일 수 있으며, 더 바람직하게는, 크기 배제 크로마토그래피에 의해 측정한 중량 평균 분자량이 약 400 내지 10,000의 범위일 수 있다. 일 실시형태에서, 양이온성 중합체 또는 올리고머는 사차 질소계 양이온성 중합체 또는 올리고머 또는 규소계 양이온성 중합체 또는 올리고머이다.
중합체는 질소, 규소, 및/또는 탄소계 양이온성 작용기를 함유하는 단량체의 중합에 의해 형성되며, 이러한 단량체는, 예를 들어, 2 내지 12개의 탄소 원자, 더욱 바람직하게는, 2 내지 8개의 탄소 원자를 갖는 알킬렌이민, 알릴아민, 디알릴디메틸암모늄 염, 비닐피리딘, 라이신, 메틸 비닐 피리딘, p-비닐피리딘, 실록산, 및 이들 중 하나 이상의 조합일 수 있다.
질소계 양이온성 중합체 및 올리고머의 예는 아미노-작용성 치환된 폴리실록산 중합체, 폴리에틸렌이민, 메틸아미노에틸 수지, 알킬트리메틸암모늄 염화물 등을 포함한다. 올레핀계 알코올의 에스테르, 아미노알킬 에스테르, 에테르 알코올의 에스테르, 사이클로알킬 에스테르, 및 할로겐화 알코올 및 폴리에틸렌 옥사이드의 에스테르, 예컨대 유니온 카바이드 코포레이션(Union Carbide Corp.)으로부터 상표명 NSR N-10, NSR N3000, 및 NSR 301로 입수가능한 물질도 본 발명의 실시에 사용될 수 있으며, 예를 들어 미국 특허 제6,375,731호(Catano et al.)에 기재되어 있으며 그 청구물이 전체적으로 본원에 참고로 포함된다.
일 실시형태에서, 하나 이상의 흡착 촉진 성분은 아미노-치환된 폴리 실록산, 예컨대 젤레스트 N-(2-아미노에틸)-3-아미노프로필트리메톡스실란-프로필트리메톡시실란, N-[3-(트리메톡시실릴)프로필]에틸렌디아민-(트리메톡시실릴)프로판, 올리고머성 공동-가수분해물, 젤레스트 N-3-[(아미노(폴리프로필렌옥시)]아미노프로필트리메톡시실란, N-[3-(트리메톡시실릴)프로필]폴리프로필렌 옥사이드 디아민 또는 3-아미노프로필트리스(메톡시에톡시에톡시)실란, 3-[트리스(메톡시에톡시에톡시)실릴]프로필아민, 9,9-비스[2-(2-메톡시에톡시)에톡시]-2,5,8-트리옥사-9-실라도데칸-12-아민을 포함하는 폴리실록산; γ-아미노프로필트리스(메톡시에톡시에톡시)실란으로 이루어진 군으로부터, 제한이 아닌 예로서 선택될 수 있다. 아미노-작용성 폴리실록산의 일례는 에보닉 인더스트리즈 아게(Evonik Industries AG)로부터 입수가능한 상표명 다이나실란(Dynasylan)® 하이드로실(Hydrosil) 1151(수성 3-아미노프로필실란 가수분해물)로 입수가능하다.
이러한 흡착 촉진 성분은 단독으로 또는 수용액 중의 다른 성분과 조합하여 사용될 수 있다. 일 실시형태에서, 수성 전처리 용액은 1 내지 500 g/L, 더 바람직하게는, 3 내지 150 g/L, 더욱 바람직하게는, 25 내지 125 g/L의 농도의 하나 이상의 양이온성 질소, 규소 및/또는 탄소계 중합체를 포함한다.
하나 이상의 추가 성분은 하나 이상의 알칼리화제, 흡착 향상제, 접착 촉진제, 분산제, 유화제, 커플링제 및/또는
계면활성제를 포함할 수 있다. 선택적으로, 수성 전처리 용액은 또한 구리 이온 및/또는 염화물 공급원을 포함할 수 있으며,
본 발명에 따른 전처리 용액의 일례는:
하나 이상의 흡착 촉진 성분을 포함하며, 흡착 촉진 성분은 하나 이상의 양이온성 질소, 규소 및/또는 탄소계 중합체 또는 올리고머를, 다음 중 하나 이상과 조합하여 포함한다;
a. 알칼리화제;
b. 흡착 향상제;
c. 계면활성제;
d. 구리 공급원; 및
e. 염화물 공급원.
적합한 알칼리화 공급원은 알칸올아민, 알칼리 금속 수산화물, 알칼리 금속 탄산염(예를 들어, 탄산나트륨, 탄산칼륨, 중탄산나트륨, 중탄산칼륨, 세스퀴탄산나트륨, 세스퀴탄산칼륨 등, 또는 이들의 조합), 알칼리 금속 붕산염(예를 들어, 붕산나트륨, 붕산칼륨 등, 또는 이들의 조합), 알칼리 금속 산화물(예를 들어, 산화나트륨, 산화칼륨 등, 또는 이들의 조합) 등, 또는 이들의 조합을 포함하지만, 이에 제한되지 않는다. 하나의 바람직한 실시형태에서, 알칼리화 공급원은 알칸올아민을 포함한다.
바람직한 실시형태에서, 알칼리화 공급원(들)은 에탄올아민 및/또는 탄산염을 포함할 수 있으며, 이는 단독으로 또는 조합하여 사용될 수 있다. 추가의 바람직한 양태에서, 알칼리화 공급원은 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 2-아미노-2-메틸-1-프로판올, 모노이소프로판올아민, 디이소프로판올아민, 2-(2-아미노에톡실)에탄올(DGA) 및/또는 알칼리 금속 탄산염을 포함한다. 사용되는 경우, 알칼리화제(들)는 0.5 내지 10 g/L의 범위, 더 바람직하게는, 2 내지 8 g/L의 범위의 농도로 전처리 용액에 존재할 수 있다.
흡착 향상제는, 바람직하게는, 트리에탄올아민(TEA), 디에탄올아민(DEA), 3-아미노-1-프로판올, 아미노-2-프로판올 등과 같은 알칸올아민으로 이루어진 군으로부터 선택된다. 바람직한 실시형태에서, 흡착 향상제는 모노에탄올아민 및 트리에탄올아민의 혼합물을 포함한다. 쉽게 명백한 바와 같이, 트리에탄올아민 및 디에탄올아민은 알칸올아민이고, 따라서 조성물에서 알칼리화제 및 흡착 향상제 둘 모두로서 기능할 수 있다. 전처리 용액에 사용되는 경우, 하나 이상의 흡착 향상제의 농도는 약 0.01 내지 약 5 g/L, 더욱 바람직하게는, 약 0.05 내지 약 0.5 g/L의 범위일 수 있다.
일 실시형태에서, 계면활성제는 폴리옥시알킬렌 알킬에테르를 포함한다. 예를 들어, 계면활성제는 하기 일반 화학식 (1)로 표시되는 계면활성제를 포함할 수 있다:
RO(CH2CH(CH3)O)x(CH2CH2O)yH (1)
일반 화학식 (1)에서, R은 3 내지 20개의 탄소 원자를 갖는 알킬기, 바람직하게는, 12개의 탄소 원자를 갖는 알킬기이고; x 및 y는 각각 옥시프로필렌 모이어티 및 옥시에틸렌 모이어티의 중합도를 나타내는 값이다. 일 실시형태에서, x는 약 1 내지 20, 더 바람직하게는, 약 3 내지 10의 값을 갖고, y는 약 1 내지 20, 더 바람직하게는, 약 3 내지 10의 값을 갖는다. 시판용 폴리옥시알킬렌 알킬에테르 화합물은 예를 들어 EMULGEN LS-106, EMULGEN LS-110, EMULGEN LS-114 및 EMULGEN MS-110을 포함하며, 모두 카오 컴퍼니(Kao Co., Ltd.)로부터 입수가능하다.
일 실시형태에서, 계면활성제는 접착 촉진제, 분산제, 유화제, 및/또는 커플링제의 역할을 할 수 있다.
전처리 용액은 또한 선택적으로, 그러나 바람직하게는, 구리 공급원을 함유한다. 일 실시형태에서, 구리 공급원은 황산구리 오수화물 또는 다른 적합한 구리 염이다.
전처리 용액은 또한 선택적으로, 그러나 바람직하게는, 염화물 공급원을 함유한다. 일 실시형태에서, 염화물 공급원은 염화나트륨, 염화칼륨, 염화암모늄, 염화마그네슘, 염화제이구리, 또는 다른 상업적으로 입수가능한 염화물 공급원으로 이루어진 군으로부터 선택된다.
유전체 또는 반도체 기판은 유전체 기판의 표면을 전처리하기에 충분한 시간 동안 유전체 기판을 전처리 용액으로 침지 또는 달리 접촉시킴으로써 전처리 용액과 접촉한다. 흡착은 흡착/탈착 속도 평형을 초래하는 매우 빠른 프로세스이다. 일단 이러한 흡착/탈착 평형점에 도달하면, 유전체 기판은 전처리 용액으로부터 제거될 수 있다. 일 실시형태에서, 유전체 기판은 약 15초 내지 약 10분, 더 바람직하게는, 약 20초 내지 약 6분, 가장 바람직하게는, 약 30초 내지 약 4분 동안 전처리 용액과 접촉된다.
전처리 용액의 온도는 전형적으로 유전체 기판이 전처리 용액과 접촉되는 동안 약 20 내지 약 80℃, 더 바람직하게는, 약 40 내지 약 50℃의 범위로 유지된다. 전처리 용액과의 접촉 후에, 유전체 기판은 바람직하게는, 물, 더 바람직하게는, 탈이온수로 헹궈져서, 유전체 기판으로부터 과량의 전처리 용액을 제거한다.
이러한 전처리 단계는 산화망간 침착을 위해 유전체 또는 반도체 기판의 표면을 준비한다. 본원에 기재된 전처리 단계가 없으면, 산화망간 침착은 일반적으로 훨씬 덜 효과적일 것이다.
일단 유전체 또는 반도체 기판이 전처리 용액과 접촉되고 이어서 헹궈지면, 전처리된 유전체 또는 반도체 기판을 본원에 기재된 산화망간 침착 용액과 접촉시킨다.
산화망간 침착 용액은 바람직하게는, 적합한 망간 화합물 및 무기 pH 완충제를 포함한다.
일 실시형태에서, 망간 화합물은 산화제이다. 예를 들어, 망간 화합물은 망간 염, 예컨대 과망간산나트륨, 과망간산칼륨 또는 과망간산마그네슘 또는 이들의 조합을 포함할 수 있다. 과망간산나트륨은 가장 가용성이지만, 상당한 농도에서의 그 존재는 다마신 프로세스에서 바람직하지 않다. 따라서, 과망간산마그네슘 또는 과망간산아연과 같은 대안적인 과망간산염이 바람직하다.
예를 들어, 과망간산아연의 경우, 농도는 바람직하게는, 약 0.1 내지 약 5 g/L의 범위 내이다.
망간 침착 용액은 또한 붕산, 붕산염, 인산 또는 인산염일 수 있는 무기 pH 완충제를 포함한다. 일 실시형태에서, 무기 pH 완충제는 붕산이며, 이는 고온 과망간산염 용액에서 산화에 매우 안정적이다. 본 발명의 조성물에 사용될 수 있는 다른 무기 pH 완충제는 인산염이다. 본원에 기재된 완충제와는 대조적으로, 많은 종래 기술의 완충제는 유기성이므로 산화에 취약하다. 과망간산염 중의 붕산의 포화점은 약 15 g/L이므로, 용액 중의 붕산의 바람직한 농도는 약 5 내지 15 g/L, 더 바람직하게는, 8 내지 12 g/L의 범위 내이다.
다양한 유전체 기판이 상호연결부 제조를 위해 사용될 수 있다. 그러나, 예를 들어 SiCO-기반 저-k 유전체 기판을 포함하는 그들 중 일부에 대해 높은 접착을 달성하는 것은 어려울 수 있다. 따라서, 일부 실시형태에서, 망간과 함께 도핑 화합물 또는 도핑 금속의 공침착(co-deposition)은 산화망간 막의 접착을 향상시키는 데 유익하다.
이러한 도핑 금속의 예는 아연, 코발트, 니켈, 크롬, 몰리브덴, 텅스텐, 레늄, 팔라듐, 로듐, 백금, 이리듐, 오스뮴, 루테늄, 티타늄, 지르코늄, 탄탈륨, 마그네슘 및 다른 유사한 금속을 포함하지만, 이에 제한되지 않으며, 이들은 단독으로 또는 서로 조합하여 사용될 수 있다. 바람직한 실시형태에서, 공침착된 도핑 금속은 아연, 코발트, 니켈, 크롬, 레늄, 팔라듐, 루테늄, 텅스텐, 티타늄, 지르코늄, 탄탈륨 또는 마그네슘 중 하나 이상을 포함한다.
공침착된 도핑 금속의 성질, 기전열에서의 그의 위치, 및 그의 산화 상태의 상대적 안정성에 따라, 그의 일부 또는 전부는 산화물(들)의 형태일 수 있다. 일부 실시형태에서, 망간 및 도핑 금속 산화물(들) 둘 모두는 형성 가스 또는 수소 플라즈마에서 어닐링함으로써 금속으로 환원될 수 있다.
선택적으로, 도핑 금속은 아미노보란, 하이드라진, 보로하이드라이드, 하이포포스파이트 또는 다른 환원 용액에 기초한 습식 환원 단계 또는 형성 가스 또는 수소 플라즈마를 사용하는 건식 환원 단계를 거칠 수 있는 별도의 후속 단계에서 침착될 수 있다.
망간 침착 용액에 포함될 수 있는 다른 도핑 금속은, 특징부의 기하학적 형상으로 인해 중요한, MnO2 막의 두께를 더 신중하게 제어하기 위해 연속성, 균일성을 개선하고 거칠기를 줄이도록 핵 형성 속도 및 입자 크기를 수정할 수 있는 금속을 포함한다. 이들 도핑 금속은, 예를 들어, 몰리브덴, 게르마늄, 인듐, 하프늄, 및/또는 바나듐을 포함하지만, 이에 제한되지 않는다.
대안적으로, 도핑 금속은 도핑 화합물로서 망간 침착 용액에 첨가될 수 있다. 이러한 도핑 화합물의 예는 염화코발트, 황산코발트, 헥사플루오로지르콘산, 황산지르코늄, 황산크롬, 헥사플루오로티탄산, 술팜산마그네슘, 염화마그네슘, 염화팔라듐, 브롬화팔라듐 황산팔라듐, 텅스텐산암모늄, 술팜산니켈, 염화니켈, 황산니켈, 염화루테늄, 염화탄탈륨, 몰리브덴산암모늄, 황산알루미늄, 질산갈륨, 인듐, 황산염, 아세트산하프늄, 황산바나딜 등을 포함하지만, 이에 제한되지 않는다. 다른 실시형태에서, 도핑 화합물은 불화물 염, 예컨대 티타늄(4+) 이수소 육불화물이다.
선택적으로, 산화망간 침착 용액은 아크릴 블록 공중합체, 예컨대 폴리아크릴레이트/메타크릴레이트 블록 공중합체를 포함할 수 있다.
산화망간 침착 용액의 pH는 망간 산화환원 전위를 제어하고 이에 따라서 망간 산화물 흡수에 결국에 영향을 미치는 다양한 망간 산화 정지 사이의 평형을 결정하기 때문에 매우 중요하다. 일 실시형태에서, 산화망간 침착 용액의 pH는 바람직하게는, 약 2 내지 약 7, 더 바람직하게는, 약 3 내지 약 6, 더 바람직하게는, 약 3.5 내지 약 5.5이다. 침착 시간은 약 0.5 내지 약 10분, 더 바람직하게는, 약 2 내지 6분이다. 산화망간 침착 용액의 온도는 바람직하게는, 약 70 내지 약 100℃, 더 바람직하게는, 약 80 내지 약 90℃의 범위이다.
침착 후에, 산화망간 층은 물로 헹궈진다. 일 실시형태에서, 산화망간 및 제2 공침착된 금속이 별도의 단계에서 침착되는 경우, 산화물 층(들)은 각각의 단계 후에 물로 헹궈질 수 있다.
일 실시형태에서, 기판은 산화규소(SiO2), 질화규소(예를 들어, (Si3N4)), 수소화된 산탄화규소(SiCOH), SiCH, SiCNH, 다른 유전체 및 반도체 재료, 또는 다른 유형의 규소계 저-k 유전체 (예를 들어, k <~4.0), 다공성 유전체, 또는 공지된 ULK(초저~k) 유전체 재료(k <~2.5)를 포함하지만 이에 제한되지 않는, 유전체 재료를 포함하는 유전체 기판이다. 유전체 층의 두께는 유전체 층 내에 형성되는 금속화의 수직 높이(또는 두께)를 한정하며, 이는 응용에 따라 달라질 것이다. 다른 실시형태에서, 기판은 규소, 게르마늄, 및 비화갈륨으로 이루어진 군으로부터 선택될 수 있는 반도체 재료이다. 다른 유전체 및 반도체 재료가 또한 본원에 기재된 습식 프로세스를 사용하여 처리되어 그 위에 확산 장벽 층을 형성할 수 있다.
상기 논의된 바와 같이, 일 실시형태에서, 수성 전처리 용액은 확산 장벽이 침착된 후에 유전체 또는 반도체 기판에 후속적으로 적용되어, 후속적으로 침착된 금속 층에 대한 확산 장벽 층의 접착을 추가로 향상시키며, 이 금속 층은 구리일 수 있다.
일 실시형태에서는, 접착 촉진 조성물을 사용하여 부가적인 접착 촉진 단계가 수행될 수 있다. 사용되는 경우, 이 단계는 전처리 단계 전에, 망간 침착 단계 전에, 또는 망간 침착 단계 후에 수행될 수 있다. 사용되는 경우, 이 접착 촉진 조성물은 폴리아크릴레이트 공중합체 및 계면활성제를 포함한다. 적합한 계면활성제는 폴리에테르 폴리올, 폴리아크릴레이트, 알코올 에톡실레이트, EO/PO 블록 공중합체, 아미노프로피오네이트, 설포석시네이트, 라우라민 옥사이드, 및 다른 유사한 화합물을 포함하지만, 이에 제한되지 않으며, 이들은 단독으로 또는 조합하여 사용될 수 있다. 폴리아크릴레이트 공중합체의 농도는 약 0.5 내지 약 10 g/L, 더 바람직하게는, 약 0.5 내지 약 5 g/L의 범위일 수 있다. 계면활성제의 농도는 약 0.1 내지 약 10 g/L, 더 바람직하게는, 약 0.5 내지 약 3.0 g/L의 범위일 수 있다.
확산 장벽 층은 또한 확산 장벽 층을 열 처리하는 단계로 처리할 수 있으며, 열 처리 단계는 형성 가스에서 어닐링함으로써 수행된다. 이러한 어닐링 단계는 산화망간을 MnxSiyOz로 전환시킨다.
본 발명은 또한 일반적으로 유전체 또는 반도체 기판을 처리하여 상부에 산화망간 장벽 층을 형성하기 위한 2-부품 키트에 관한 것으로, 상기 키트는:
a) 산화망간을 상부에 침착하기 위해 기판을 준비할 수 있는 하나 이상의 흡착 촉진 성분을 포함하는 수성 전처리 용액; 및
b) 망간 화합물 및 무기 pH 완충제를 포함하는 산화망간 침착 용액을 포함한다.
또한, 상기에서 논의된 바와 같이, 산화망간 침착 용액은 또한 하나 이상의 공침착된 도핑 금속 또는 이들의 산화물 또는 하나 이상의 도핑 화합물을 포함할 수 있다.
본 발명은 이제 하기의 비제한적인 실시예에 따라 기재될 것이다.
실시예 1:
전처리 용액을 아래 표 1에 따라 준비하고, 산화망간 침착 용액을 아래 표 2에 따라 준비하였다.
[표 1]
[표 2]
유전체 기판을 하기 프로세스 시퀀스에 따라 프로세싱하였다:
프로세스 시퀀스:
A) 표면 처리 용액 #1, 4분, 50℃
B) 탈이온수(DIW) 헹굼
C) MnO2 침착 용액, 4분, 85℃
D) DIW 헹굼
E) N2 건조
샘플 표면 분석:
5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 0.5300 mg/L의 Mn을 유도 결합 플라즈마(ICP) 원자 방출 분광법에 의해 검출하는 반면, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
따라서, 실시예 1에 기재된 프로세스는 유전체 층의 표면 상에 산화망간 층을 생성하였다. 도 1은 유전체 표면 상의 MnO2 막을 나타내는 탑-다운 SEM 이미지를 도시한다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 2:
전처리 용액을 아래 표 3에 따라 준비하고, 산화망간 침착 용액을 실시예 1의 표 2에 따라 준비하였다.
[표 3]
유전체 기판을 실시예 1에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 0.0500 mg/L의 Mn을 ICP에 의해 검출한 한편, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후, 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 3:
전처리 용액을 아래 표 4에 따라 준비하고, 산화망간 침착 용액을 실시예 1의 표 2에 따라 준비하였다.
[표 4]
유전체 기판을 하기 프로세스 시퀀스에 따라 프로세싱하였다:
프로세스 시퀀스:
A) 표면 처리 용액, 1분, RT
B) 탈이온수(DIW) 헹굼, 20초
C) MnO2 침착 용액, 4분, 85℃
D) 가벼운 DIW 헹굼
E) 경화됨, 10분, 80℃
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 0.1100 mg/L의 Mn을 ICP에 의해 검출한 한편, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
도 2는 유전체 표면 상의 MnO2 막을 나타내는 탑-다운 SEM 이미지를 도시한다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 4:
웨이퍼 쿠폰 샘플을 또한 베어 규소 기판 상에 준비하였다. 실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였고, 0.2300 mg/L의 Mn을 ICP에 의해 검출한 한편, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
기재된 프로세스는 유전체 기판 및 반도체 기판 둘 모두에 대해 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다.
도 3은 베어 Si 기판 상의 MnO2 막을 나타내는 탑-다운 SEM 이미지를 도시한다.
실시예 5:
전처리 용액을 아래 표 5에 따라 준비하고, 산화망간 침착 용액을 실시예 1의 표 2에 따라 준비하였다.
[표 5]
유전체 기판을 실시예 1에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 0.0946 mg/L의 Mn을 ICP에 의해 검출한 한편, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후, 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 6.
웨이퍼 쿠폰 샘플을 실시예 1로부터의 표 1에 기재된 용액으로 전처리한 다음, 공침착된 금속 화합물을 첨가하여 실시예 1로부터의 표 2에 기재된 산화망간 침착 용액으로 처리하였다. 시험된 공침착된 금속은 하기를 포함하였다:
1. Zn;
2. Co;
3. Ti;
4. Zr;
5. Pd;
6. 어떠한 침착도 없는 유전체 제어 샘플 블랭크
유전체 기판을 실시예 1에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
ICP는 Zn, Co, Ti, Zr, 및 Pd 함유물을 갖는 샘플에서 Mn을 검출하였다. 값은 각각 0.171 mg/L, 0.292 mg/L, 0.396 mg/L, 0.458 mg/L, 0.31 mg/L였다. 블랭크 대조군 샘플은 ICP 결과가 0.05 mg/L 미만의 Mn이었다.
4개의 웨이퍼 쿠폰 샘플을 X-선 광전자 분광법(XPS)을 사용하여 분석하였다. 이들 중 2개를 실시예 1로부터의 표 1에 기재된 용액으로 전처리한 다음, Zn을 첨가하여 실시예 1로부터의 표 2에 기재된 망간 침착 용액으로 처리하였고, 다른 2개는 어떠한 침착도 없는 블랭크 유전체 제어 샘플이었다. 공침착된 MnZn 막을 갖는 하나의 샘플 조사는 13.7 at.% Mn 및 0.9 at.% Zn을 보여주었고, 다른 MnZn 샘플은 14.4 at.% Mn 및 1.2 at.% Zn을 보여주었다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후, 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 7:
HS-1151의 농도를 30 g/L에서 15 g/L로 감소시킨 다음 웨이퍼 쿠폰 샘플을 산화망간 침착 용액으로 처리한 것을 제외하고는, 웨이퍼 쿠폰 샘플을 실시예 3으로부터의 표 4에 기재된 용액으로 전처리하였다. 시험된 침착 용액은 아래 표 6 및 표 7에 기재되어 있다.
[표 6]
[표 7]
유전체 기판을 실시예 3에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 공중합체 (1) 및 공중합체 (2)로 처리된 샘플에 대한 Mn의 검출된 ICP 값은 각각 0.2070 mg/L 및 0.1570 mg/L였다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
기재된 프로세스는 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다. 본원에 기재된 습식 프로세스는 유전체/반도체 기판과 산화망간 장벽 층 사이의 접착을 향상시켰다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 8:
HS-1151의 농도를 30 g/L에서 15 g/L로 감소시킨 것을 제외하고는, 웨이퍼 쿠폰 샘플을 실시예 3으로부터의 표 4에 기재된 용액으로 전처리하였다. 그 다음, 웨이퍼 쿠폰 샘플을 아래 표 8에 기재된 산화망간 침착 용액으로 처리하였다.
[표 8]
호환가능한 금속 염은 실시예 6에서 확인될 수 있다.
실시예 3에 기재된 프로세스 시퀀스에 따라 유전체 기판을 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. ICP 분석은 샘플에서 0.156 mg/L의 Mn을 검출하였다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
기재된 프로세스는 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다. 본원에 기재된 습식 프로세스는 유전체/반도체 기판과 산화망간 장벽 층 사이의 접착을 향상시켰다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 9:
HS-1151의 농도를 30 g/L에서 15 g/L로 감소시킨 것을 제외하고는, 웨이퍼 쿠폰 샘플을 실시예 3으로부터의 표 4에 기재된 용액으로 전처리하였다. 그 다음, 웨이퍼 쿠폰 샘플을 표 2에 기재된 산화망간 침착 용액으로 처리하였다. 전처리 전, 침착 단계 전, 또는 침착 단계 후에 적용될 수 있는 추가 단계가 아래 표 9에 기재되어 있다.
[표 9]
이러한 추가적인 접착 촉진 단계를 주위 온도에서 1분 동안 적용하였다. 이러한 추가적인 접착 촉진 단계를 적용한 후에 쿠폰을 탈이온수로 헹궜다.
시험된 계면활성제는 아래에 열거되어 있다.
1. 에틸렌 디아민-개시된 폴리에테르 폴리올
2. 폴리아크릴레이트계 계면활성제
3. 알코올 EO/PO
4. 아미노프로피오네이트
5. 설포석시네이트
6. 라우라민 옥사이드
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. TCP 분석은 샘플에서 0.170 mg/L의 Mn을 검출하였으며, 여기서 이 추가적인 접착 촉진 단계를 전처리 단계 전에 적용하였다. ICP 분석은 샘플에서 0.116 mg/L의 Mn을 검출하였으며, 여기서 이 추가적인 접착 촉진 단계를 침착 단계 전에 적용하였다. ICP 분석은 샘플에서 0.188 mg/L의 Mn을 검출하였으며, 여기서 이 추가적인 접착 촉진 단계를 침착 단계 후에 적용하였다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
기재된 프로세스는 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다. 본원에 기재된 습식 프로세스는 유전체/반도체 기판과 산화망간 장벽 층 사이의 접착을 향상시켰다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후, 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 10:
HS-1151의 농도를 30 g/L에서 15 g/L로 감소시킨 것을 제외하고는, 웨이퍼 쿠폰 샘플을 실시예 3으로부터의 표 4에 기재된 용액으로 전처리하였다. 그 다음, 웨이퍼 쿠폰 샘플을 아래 표 10에 기재된 산화망간 침착 용액으로 처리하였다.
[표 10]
유전체 기판을 실시예 3에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 이 샘플에 대해 Mn의 검출된 ICP 값은 0.1940 mg/L였다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
본원에 기재된 프로세스는 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다. 본원에 기재된 습식 프로세스는 유전체/반도체 기판과 산화망간 장벽 층 사이의 접착을 향상시켰다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후, 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
실시예 11:
HS-1151의 농도를 30 g/L에서 15 g/L로 감소시킨 것을 제외하고는, 웨이퍼 쿠폰 샘플을 실시예 3으로부터의 표 4에 기재된 용액으로 전처리하였다. 그 다음, 웨이퍼 쿠폰 샘플을 아래 표 11에 기재된 산화망간 침착 용액으로 처리하였다.
[표 11]
염산을 사용하여 침착 용액의 pH를 pH 3.5로 감소시켰다.
유전체 기판을 실시예 3에 기재된 프로세스 시퀀스에 따라 프로세싱하였다.
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 0.1912 mg/L의 Mn을 ICP에 의해 검출한 한편, 0.0500 mg/L 미만의 Mn을 블랭크 대조군 샘플로부터 검출하였다.
이 실시예를 유전체 웨이퍼 쿠폰 대신에 베어 규소 쿠폰을 사용하여 반복하였다. 프로세싱 후, 규소 쿠폰을 Cu 침착 및 400℃에서의 어닐링으로 처리하였다. 그 후 쿠폰을 후면 상에서 규화구리 형성에 대해 검사하였다. 산화망간 막은 효과적인 확산 장벽으로서 수행하는 것으로 밝혀졌다.
이어서, 실시예 1 내지 실시예 11에 따라 생성된 샘플을 확산 장벽 성능 평가로 처리하였다. 샘플을 PVD에 의해 침착되고 전기화학 침착(ECD) 구리로 전기도금된 구리로 코팅하였다. 그 후, 500℃ 열 어닐링 후 유전체의 시트 저항(Rs)을 측정함으로써 MnxSiyOz 확산 장벽의 성능을 평가하였다. 불량한 확산 장벽 성능은 시트 저항의 증가를 초래할 것이며, 표면으로부터 유전체의 벌크 내로 구리의 확산을 나타낼 것이다.
결과는 본원에 기재된 프로세스에 의해 침착된 Mn-계 습식 확산 장벽 막이 탁월한 장벽 성능을 나타낼 수 있음을 입증하였다.
비교예 1.
웨이퍼 쿠폰 샘플을 단지 실시예 1의 표 2에 상술된 산화망간 침착 용액으로 처리하였다.
유전체 기판을 하기 프로세스 시퀀스에 따라 프로세싱하였다:
프로세스 시퀀스:
A. MnO2 침착 용액, 4분, 85℃
B. DIW 헹굼
C. N2 건조
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 처리된 샘플에 대해 Mn의 검출된 ICP 값은 0.0500 mg/L 미만이었다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
쿠폰의 후면 상의 규화구리 형성은 침착된 막이 확산 장벽으로서 수행되지 않았음을 나타냈다.
따라서, 전처리 단계의 추가 없이, 프로세스는 효과적인 산화망간 장벽 층을 침착시킬 수 없다.
비교예 2.
전처리 용액을 실시예 3에 나타낸 표 4에 따라 준비하고, 산화망간 침착 용액을 실시예 1의 표 2에 따라 준비하였다.
유전체 기판을 하기 프로세스 시퀀스에 따라 프로세싱하였다:
프로세스 시퀀스:
A) 표면 처리 용액, 1분, RT
B) 탈이온수(DIW) 헹굼, 20초
C) MnO2 침착 용액, 4분, 85℃
D) 가벼운 DIW 헹굼
실시예 1에서와 같이, 5%(v/v) 과산화수소를 갖는 10%(v/v) 황산을 함유하는 10 mL 스트리핑 용액에서 웨이퍼 쿠폰 샘플을 스트립핑하였다. 처리된 샘플에 대해 Mn의 검출된 ICP 값은 0.0500 mg/L 미만이었다. 블랭크 대조군 샘플은 ICP 결과가 0.0500 mg/L 미만의 Mn이었다.
쿠폰의 후면 상의 규화구리 형성은 침착된 막이 확산 장벽으로서 수행되지 않았음을 나타냈다.
프로세스는 효과적인 산화망간 장벽 층을 침착시킬 수 없다. 충분한 망간 장벽 층을 침착하기 위해 산화망간 침착 단계 후에 웨이퍼 쿠폰 샘플을 경화시킬 필요가 있다.
따라서, 본원에 기재된 프로세스는 금속 층을 상부에 침착하기 위해 효과적인 산화망간 장벽 층을 침착시킬 수 있다. 실시예로부터 알 수 있는 바와 같이, 망간은 처리되지 않은 샘플과 비교하여 적어도 0.05 mg/L, 또는 적어도 0.010 mg/L 또는 적어도 0.20 또는 심지어 적어도 0.50 mg/L의 양으로 본원에 기재된 프로세스에 따라 처리된 샘플에서 ICP에 의해 검출되었다. 본원에 기재된 습식 프로세스는 종래의 방법과는 대조적으로 상당히 더 짧은 프로세싱 시간을 필요로 하며, 결과적으로 상호연결부의 더 높은 전도도를 초래한다.
마지막으로, 하기의 청구범위는 본원에 기재된 본 발명의 일반적인 특징 및 구체적인 특징 전부, 및 언어로서 그 사이에 들어갈 수 있는 본 발명의 범주의 모든 진술을 포함하도록 의도된다는 것이 또한 이해되어야 한다.

Claims (23)

  1. 유전체 또는 반도체 기판 상에 확산 장벽 층을 침착시키는 방법으로서,
    a) 상기 유전체 또는 반도체 기판을 수성 전처리 용액으로 처리하는 단계; 및
    b) 처리된 상기 유전체 또는 반도체 기판을 망간 화합물 및 무기 pH 완충제를 포함하는 수성 침착 용액과 접촉시켜 상기 유전체 또는 반도체 기판 상에 상기 확산 장벽 층을 침착시키는 단계를 포함하며, 상기 확산 장벽 층은 산화망간을 포함하는, 방법.
  2. 제1항에 있어서, 상기 유전체 또는 반도체 기판을 상기 수성 전처리 용액으로 처리하여 그 상부에 상기 확산 장벽 층을 침착시키기 위해 상기 기판을 준비하고, 상기 수성 전처리 용액은 하나 이상의 흡착 촉진 성분을 포함하고, 상기 하나 이상의 흡착 촉진 성분은 하나 이상의 양이온성 질소, 규소 및/또는 탄소계 중합체 또는 올리고머를 포함하는, 방법.
  3. 제2항에 있어서, 상기 수성 전처리 용액은 하나 이상의 추가 성분을 포함하고, 상기 하나 이상의 추가 성분은 알칼리화제, 흡착 향상제, 접착 촉진제, 분산제, 유화제, 커플링제, 계면활성제, 구리 공급원, 염화물 공급원, 및 이들의 조합으로 이루어진 군으로부터 선택되는, 방법.
  4. 제1항에 있어서, 상기 확산 장벽 층을 열 처리하는 단계를 더 포함하고, 상기 열 처리 단계는 형성 가스에서의 어닐링에 의해 수행되는, 방법.
  5. 제3항에 있어서, 상기 어닐링 단계는 산화망간을 MnxSiyOz로 전환시키는, 방법.
  6. 제1항에 있어서, 상기 기판은 산화규소, 질화규소, 수소화된 산탄화규소, SiCH, SiCNH, 다른 유전체 및 반도체 재료, 규소계 저-k 유전체, 다공성 유전체, 초저-k 유전체 재료, 규소, 게르마늄, 비화갈륨, 및 이들 중 하나 이상의 조합으로 이루어진 군으로부터 선택되는 유전체 또는 반도체 기판인, 방법.
  7. 제1항에 있어서, 상기 기판은 상기 기판이 상기 수성 침착 용액과 접촉된 후에 물로 헹궈지는, 방법.
  8. 제2항에 있어서, 상기 기판은 상기 기판이 상기 수성 전처리 용액과 접촉된 후에 물로 헹궈지는, 방법.
  9. 제2항에 있어서, 상기 하나 이상의 접착 촉진 성분은 사차 질소계 중합체, 유기작용성 실란, 실록산, 폴리아크릴레이트, 및 이들 중 하나 이상의 조합으로 이루어진 군으로부터 선택되는, 방법.
  10. 제1항에 있어서, 상기 망간 화합물은 망간산염 또는 과망간산염 화합물로 선택되는, 방법.
  11. 제10항에 있어서, 상기 망간 화합물은 과망간산나트륨, 과망간산칼륨, 과망간산마그네슘, 과망간산마그네슘, 과망간산아연, 및 이들의 조합으로 이루어진 군으로부터 선택되는 과망간산염 화합물인, 방법.
  12. 제1항에 있어서, 상기 무기 pH 완충제는 붕산, 붕산염, 인산, 및 인산염으로 이루어진 군으로부터 선택되는, 방법.
  13. 제1항에 있어서, 망간 침착 용액의 pH는 약 2 내지 약 7인, 방법.
  14. 제1항에 있어서, 망간 침착 용액은 상기 확산 장벽 층의 접착, 연속성 및 균일성을 향상시키기 위해 망간과 함께 도핑 공침착된(co-deposited) 금속을 포함하는, 방법.
  15. 제14항에 있어서, 공침착 금속은 아연, 코발트, 니켈, 크롬, 몰리브덴, 텅스텐, 레늄, 팔라듐, 로듐, 백금, 이리듐, 오스뮴, 루테늄, 티타늄, 지르코늄, 탄탈륨, 마그네슘 및 이들 중 하나 이상의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 금속을 포함하는, 방법.
  16. 제1항에 있어서, 상기 확산 장벽 층의 상부에 금속 층을 침착하는 단계를 더 포함하는 방법.
  17. 제16항에 있어서, 상기 금속은 구리 또는 구리 합금을 포함하는, 방법.
  18. 유전체 또는 반도체 기판을 처리하여 그 상부에 확산 장벽 층을 형성하기 위한 2-파트 키트로서, 상기 키트는:
    a) 산화망간을 상부에 침착하기 위해 상기 기판을 준비할 수 있는 하나 이상의 흡착 촉진 성분을 포함하는 수성 전처리 용액; 및
    b) 망간 화합물 및 무기 pH 완충제를 포함하는 망간 침착 용액을 포함하는, 키트.
  19. 제18항에 있어서, 상기 흡착 촉진 성분은 하나 이상의 질소, 규소, 및/또는 탄소계 양이온성 중합체 또는 올리고머를 포함하는, 키트.
  20. 제18항에 있어서, 상기 수성 전처리 용액은 하나 이상의 추가 성분을 포함하고, 상기 하나 이상의 추가 성분은 알칼리화제, 흡착 향상제, 접착 촉진제, 분산제, 유화제, 커플링제, 계면활성제, 구리 공급원, 염화물 공급원, 및 이들의 조합으로 이루어진 군으로부터 선택되는, 키트.
  21. 제18항에 있어서, 상기 망간 침착 용액은 산화망간 막의 접착, 연속성 및 균일성을 향상시키기 위해 망간과 함께 도핑 금속을 포함하고, 공침착된 도핑 금속은 아연, 코발트, 니켈, 크롬, 몰리브덴, 텅스텐, 레늄, 팔라듐, 로듐, 백금, 이리듐, 오스뮴, 루테늄, 티타늄, 지르코늄, 탄탈륨, 마그네슘, 및 이들 중 하나 이상의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 금속을 포함하는, 키트.
  22. 산화망간을 상부에 침착하기 위해 유전체 또는 반도체 기판을 준비하기 위한 수성 전처리 용액으로서, 상기 수성 전처리 용액은 하나 이상의 흡착 촉진 성분을 포함하고, 상기 하나 이상의 흡착 촉진 성분은 하나 이상의 질소, 규소, 및/또는 탄소계 양이온성 중합체 또는 올리고머를 포함하며, 상기 수성 전처리 용액은 하기로부터 선택되는 하나 이상의 성분을 더 포함하는, 수성 전처리 용액:
    a. 알칼리화제;
    b. 흡착 향상제;
    c. 계면활성제;
    d. 구리 공급원; 및
    e. 염화물 공급원.
  23. 제1항의 프로세스에 의해 형성된 확산 장벽 층을 상부에 갖는 유전체 또는 반도체 기판.
KR1020237030013A 2021-02-08 2022-02-07 확산 장벽 형성을 위한 방법 및 습식 화학 조성물 KR20230136217A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163146925P 2021-02-08 2021-02-08
US63/146,925 2021-02-08
PCT/US2022/015447 WO2022170169A1 (en) 2021-02-08 2022-02-07 Method and wet chemical compositions for diffusion barrier formation

Publications (1)

Publication Number Publication Date
KR20230136217A true KR20230136217A (ko) 2023-09-26

Family

ID=82742501

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237030013A KR20230136217A (ko) 2021-02-08 2022-02-07 확산 장벽 형성을 위한 방법 및 습식 화학 조성물

Country Status (7)

Country Link
US (2) US11846018B2 (ko)
EP (1) EP4288999A1 (ko)
JP (1) JP2024506896A (ko)
KR (1) KR20230136217A (ko)
CN (1) CN116941027A (ko)
TW (1) TWI817348B (ko)
WO (1) WO2022170169A1 (ko)

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4140535A (en) 1976-11-11 1979-02-20 Ppg Industries, Inc. Curable compositions comprising aqueous solutions of water-soluble silicate and water-soluble zincate latent insolubilizers
US6080447A (en) 1998-05-14 2000-06-27 Enthone-Omi, Inc. Low etch alkaline zincate composition and process for zincating aluminum
US6083834A (en) 1999-01-19 2000-07-04 Taiwan Semiconductor Manufacturing Company Zincate catalysis electroless metal deposition for via metal interconnection
US6375731B1 (en) 2000-01-06 2002-04-23 Electrochemicals Inc. Conditioning of through holes and glass
US7674785B2 (en) * 2000-06-22 2010-03-09 The Procter & Gamble Company Topical anti-microbial compositions
US20060154071A1 (en) 2002-09-05 2006-07-13 Itaru Homma Carbon fine powder coated with metal oxide, metal nitride or metal carbide, process for producing the sdame, and supercapacitor and secondary battery carbon fine powder
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US20050199587A1 (en) * 2004-03-12 2005-09-15 Jon Bengston Non-chrome plating on plastic
US7488355B2 (en) * 2005-05-31 2009-02-10 L'oreal S.A. Composition for dyeing keratin fibers, comprising a diamino-N,N-dihydropyrazolone compound, a coupler, and a polyol
US8974964B2 (en) * 2005-11-14 2015-03-10 Spectrum Brands, Inc. Metal air cathode: manganese oxide contained in octahedral molecular sieve
US7892972B2 (en) 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
US7772128B2 (en) 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
US7968455B2 (en) 2006-10-17 2011-06-28 Enthone Inc. Copper deposition for filling features in manufacture of microelectronic devices
JP4441658B1 (ja) 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
JP5522979B2 (ja) 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
AU2010310750B2 (en) 2009-10-23 2015-02-26 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
JP5793840B2 (ja) * 2010-08-19 2015-10-14 株式会社リコー 液体組成物、記録方法、及び記録物
JP5796963B2 (ja) 2011-01-25 2015-10-21 東洋鋼鈑株式会社 ハードディスク装置用アルミニウム基板の製造方法
US8895441B2 (en) 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
ES2547808T3 (es) * 2012-05-11 2015-10-08 Omya International Ag Tratamiento de materiales que contienen carbonato de calcio para carga de agente de relleno aumentada en papel
US9273215B2 (en) 2012-10-30 2016-03-01 Rohm And Haas Electronic Materials Llc Adhesion promoter
EP2938322B1 (en) * 2012-12-27 2018-07-18 L'Oréal Composition for caring for keratin fibers and use thereof for cleansing and conditioning the keratin fibers
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9202946B2 (en) 2013-02-08 2015-12-01 OMG Electronic Chemicals, Inc. Methods for metallizing an aluminum paste
US9087881B2 (en) 2013-03-05 2015-07-21 Globalfoundries Inc. Electroless fill of trench in semiconductor structure
US10214823B2 (en) 2013-03-15 2019-02-26 United Technnologies Corporation Bimetallic zincating processing for enhanced adhesion of aluminum on aluminum alloys
KR20140127577A (ko) 2013-04-25 2014-11-04 에스케이하이닉스 주식회사 3차원 저항 가변 메모리 장치 및 그 제조방법
US8932934B2 (en) 2013-05-28 2015-01-13 Global Foundries Inc. Methods of self-forming barrier integration with pore stuffed ULK material
WO2015044091A1 (en) 2013-09-26 2015-04-02 Atotech Deutschland Gmbh Novel adhesion promoting process for metallisation of substrate surfaces
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP5972317B2 (ja) 2014-07-15 2016-08-17 株式会社マテリアル・コンセプト 電子部品およびその製造方法
SG11201610662QA (en) 2014-07-25 2017-01-27 Intel Corp Tungsten alloys in semiconductor devices
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US9583386B2 (en) 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10103057B2 (en) 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
JP6411279B2 (ja) 2015-05-11 2018-10-24 東京エレクトロン株式会社 めっき処理方法および記憶媒体
US9490211B1 (en) 2015-06-23 2016-11-08 Lam Research Corporation Copper interconnect
US9786634B2 (en) 2015-07-17 2017-10-10 National Taiwan University Interconnection structures and methods for making the same
US9842805B2 (en) 2015-09-24 2017-12-12 International Business Machines Corporation Drive-in Mn before copper plating
US10553477B2 (en) 2015-12-04 2020-02-04 Intel Corporation Forming interconnects with self-assembled monolayers
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US9768063B1 (en) 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US10211153B2 (en) 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
US9881833B1 (en) 2016-10-26 2018-01-30 International Business Machines Corporation Barrier planarization for interconnect metallization
NO343382B1 (en) 2017-03-07 2019-02-18 Univ College Of Southeast Norway On-chip supercapacitor With Silicon nanostructure
CN108666261A (zh) 2017-03-29 2018-10-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10163695B1 (en) 2017-06-27 2018-12-25 Lam Research Corporation Self-forming barrier process
US10485111B2 (en) 2017-07-12 2019-11-19 Globalfoundries Inc. Via and skip via structures
US10501846B2 (en) 2017-09-11 2019-12-10 Lam Research Corporation Electrochemical doping of thin metal layers employing underpotential deposition and thermal treatment
US10741748B2 (en) 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures
US10529622B1 (en) 2018-07-10 2020-01-07 International Business Machines Corporation Void-free metallic interconnect structures with self-formed diffusion barrier layers
US10529663B1 (en) 2018-10-14 2020-01-07 International Business Machines Corporation Copper interconnect with filled void

Also Published As

Publication number Publication date
US20230407467A1 (en) 2023-12-21
US20220259724A1 (en) 2022-08-18
CN116941027A (zh) 2023-10-24
EP4288999A1 (en) 2023-12-13
TW202245132A (zh) 2022-11-16
WO2022170169A1 (en) 2022-08-11
TWI817348B (zh) 2023-10-01
JP2024506896A (ja) 2024-02-15
US11846018B2 (en) 2023-12-19

Similar Documents

Publication Publication Date Title
US7659203B2 (en) Electroless deposition process on a silicon contact
JP5366235B2 (ja) 半導体装置の製造方法、半導体製造装置及び記憶媒体
KR20180113632A (ko) 주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단
US7951729B2 (en) Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereor, and material for coupling a dielectric layer and a metal layer in a semiconductor device
JP2009514238A (ja) 半導体接合部に薄膜物質を選択的に堆積させる方法
CN1522313A (zh) 在低介电常数电介质上沉积化学气相沉积膜和原子层沉积膜的方法
US6821324B2 (en) Cobalt tungsten phosphorus electroless deposition process and materials
US20050161338A1 (en) Electroless cobalt alloy deposition process
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
JP4911586B2 (ja) 積層構造、超lsi配線板及びそれらの形成方法
TWI425571B (zh) 含有一耦合介電層及金屬層之半導體裝置,其製造方法及用於半導體裝置之含有多個有機成分的被動耦合材料
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20090301867A1 (en) Integrated system for semiconductor substrate processing using liquid phase metal deposition
EP1925024B1 (en) Capping layer formation onto a dual damescene interconnect
US11846018B2 (en) Method and wet chemical compositions for diffusion barrier formation
TWI633627B (zh) 用於銅金屬化之方法及用於形成鈷或鎳矽化物之方法
WO2023150370A9 (en) Method of metallization by a nickel or cobalt alloy for the manufacture of semiconductor devices
Jousseaume et al. Chemistry in interconnects
US20100200995A1 (en) Coupling layer composition for a semiconductor device, semiconductor device, method of forming the coupling layer, and apparatus for the manufacture of a semiconductor device
EP1022355A2 (en) Deposition of copper on an activated surface of a substrate
JP2007246978A (ja) 無電解めっき液
KR20080093963A (ko) 이중 데임신 인터커넥트 상의 캐핑층 형성 방법
EP1924632A2 (en) Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
JP2007246981A (ja) 無電解めっき液

Legal Events

Date Code Title Description
A201 Request for examination