KR20230107749A - Plasma uniformity control using a static magnetic field - Google Patents

Plasma uniformity control using a static magnetic field Download PDF

Info

Publication number
KR20230107749A
KR20230107749A KR1020227045529A KR20227045529A KR20230107749A KR 20230107749 A KR20230107749 A KR 20230107749A KR 1020227045529 A KR1020227045529 A KR 1020227045529A KR 20227045529 A KR20227045529 A KR 20227045529A KR 20230107749 A KR20230107749 A KR 20230107749A
Authority
KR
South Korea
Prior art keywords
plasma processing
wafer
plasma
magnetic coil
current
Prior art date
Application number
KR1020227045529A
Other languages
Korean (ko)
Inventor
알렉세이 마라크타노브
빙 지
켄 루체시
존 홀랜드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230107749A publication Critical patent/KR20230107749A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • H01F27/2823Wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

웨이퍼 상에서 플라즈마 프로세스를 수행하기 위한 시스템이 제공되고, 이는: 플라즈마 프로세싱을 위해 웨이퍼를 수용하도록 구성되고 그리고 플라즈마가 웨이퍼의 플라즈마 프로세싱을 위해 제공되는 플라즈마 프로세싱 영역을 규정하는 내부를 갖는, 챔버; 챔버 위에 배치되고 (dispose) 그리고 웨이퍼의 표면 평면에 수직인 축을 중심으로 센터링되고 웨이퍼의 대략 중심을 통해 센터링되는, 제 1 자기 코일; 플라즈마 프로세싱 동안 제 1 자기 코일에 제 1 DC 전류를 인가하도록 구성된 제 1 DC 전력 공급부로서, 인가된 제 1 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에서 자기장을 생성하는, 제 1 DC 전력 공급부를 포함한다. A system for performing a plasma process on a wafer is provided, comprising: a chamber configured to receive a wafer for plasma processing and having an interior defining a plasma processing region in which a plasma is provided for plasma processing of the wafer; a first magnetic coil disposed above the chamber and centered about an axis perpendicular to the surface plane of the wafer and centered through approximately the center of the wafer; A first DC power supply configured to apply a first DC current to the first magnetic coil during plasma processing, wherein the applied first DC current creates a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. Including supply.

Description

정적 자기장을 사용하는 플라즈마 균일성 제어Plasma uniformity control using a static magnetic field

본 개시는 반도체 디바이스 제조에 관한 것이다.The present disclosure relates to semiconductor device fabrication.

플라즈마 에칭 프로세스들은 종종 반도체 웨이퍼들 상의 반도체 디바이스들의 제작에 사용된다. 플라즈마 에칭 프로세스에서, 제작 중인 반도체 디바이스들을 포함하는 반도체 웨이퍼는 플라즈마 프로세싱 볼륨 내에서 생성된 플라즈마에 노출된다. 플라즈마는 반도체 웨이퍼로부터 재료(들)를 제거하고 그리고/또는 반도체 웨이퍼로부터 후속 제거를 인에이블하도록 (enable) 재료(들)를 개질하도록 반도체 웨이퍼 상의 재료(들)와 상호 작용한다. 플라즈마는 제거/개질되지 않는 웨이퍼 상의 다른 재료들과 유의하게 (significantly) 상호 작용하지 않고, 플라즈마의 구성 성분들 (constituents) 로 하여금 반도체 웨이퍼로부터 제거/개질될 재료(들)와 상호 작용하게 할 특정한 반응 물질 가스들을 사용하여 생성될 수 있다. 플라즈마는 특정한 반응 물질 가스들을 에너자이징하도록 (energize) 무선 주파수 (radio frequency; RF) 신호들을 사용함으로써 생성된다. 이들 RF 신호들은 반도체 웨이퍼가 플라즈마 프로세싱 볼륨에 노출되어 홀딩된 상태에서 반응 물질 가스들을 담는 플라즈마 프로세싱 볼륨을 통해 송신된다. 플라즈마 프로세싱 볼륨을 통한 RF 신호들의 송신 경로들은 플라즈마가 플라즈마 프로세싱 볼륨 내에서 생성되는 방법에 영향을 줄 수 있다. 예를 들어, 반응 물질 가스들은 더 많은 양들의 RF 신호 전력이 송신되는 플라즈마 프로세싱 볼륨의 영역들에서 더 큰 정도로 에너자이징될수도 있고, 이에 따라 플라즈마 프로세싱 볼륨 전반에 걸쳐 플라즈마 특성들의 공간적 불균일성들을 유발한다. 플라즈마 특성들의 공간적 불균일성들은 다른 플라즈마 특성들 중에서, 이온 밀도, 이온 에너지, 및/또는 반응성 구성 성분 밀도의 공간적 불균일성으로서 나타날 수 있다. 플라즈마 특성들의 공간적 불균일성들은 반도체 웨이퍼 상의 플라즈마 프로세싱 결과들에서 공간적 불균일성들을 대응하게 유발할 수 있다. 따라서, RF 신호들이 플라즈마 프로세싱 볼륨을 통해 송신되는 방식은 반도체 웨이퍼 상의 플라즈마 프로세싱 결과들의 균일성에 영향을 줄 수 있다. 이 맥락에서 본 개시가 발생한다. Plasma etching processes are often used in the fabrication of semiconductor devices on semiconductor wafers. In a plasma etching process, a semiconductor wafer containing semiconductor devices under fabrication is exposed to plasma generated within a plasma processing volume. The plasma interacts with the material(s) on the semiconductor wafer to remove material(s) from the semiconductor wafer and/or to modify the material(s) to enable subsequent removal from the semiconductor wafer. The plasma does not significantly interact with the other materials on the wafer that are not being removed/modified, and the plasma's constituents are certain to interact with the material(s) to be removed/modified from the semiconductor wafer. It can be created using reactant gases. Plasma is created by using radio frequency (RF) signals to energize certain reactant gases. These RF signals are transmitted through the plasma processing volume containing the reactant gases while the semiconductor wafer is held exposed to the plasma processing volume. Transmission paths of RF signals through a plasma processing volume can affect how plasma is generated within the plasma processing volume. For example, reactant gases may be energized to a greater degree in regions of the plasma processing volume where greater amounts of RF signal power are transmitted, thereby causing spatial non-uniformities in plasma characteristics throughout the plasma processing volume. Spatial non-uniformities in plasma properties may manifest as spatial non-uniformities in ion density, ion energy, and/or reactive constituent density, among other plasma properties. Spatial non-uniformities in plasma properties can correspondingly cause spatial non-uniformities in plasma processing results on a semiconductor wafer. Thus, the manner in which RF signals are transmitted through a plasma processing volume can affect the uniformity of plasma processing results on a semiconductor wafer. It is in this context that the present disclosure arises.

일반적으로 말하면, 본 개시의 실시 예들은 정적 자기장을 사용하여 플라즈마 균일성 제어를 위한 방법들 및 시스템들을 제공한다. Generally speaking, embodiments of the present disclosure provide methods and systems for plasma uniformity control using a static magnetic field.

일부 구현 예들에서, 웨이퍼 상에서 플라즈마 프로세스를 수행하기 위한 시스템이 제공되고, 이는: 플라즈마 프로세싱을 위해 웨이퍼를 수용하도록 구성되고 그리고 플라즈마가 웨이퍼의 플라즈마 프로세싱을 위해 제공되는 플라즈마 프로세싱 영역을 규정하는 내부를 갖는, 챔버; 챔버 위에 배치되고 (dispose) 그리고 웨이퍼의 표면 평면에 수직인 축을 중심으로 센터링되고 (center) 웨이퍼의 대략 중심을 통해 센터링되는, 제 1 자기 코일; 플라즈마 프로세싱 동안 제 1 자기 코일에 제 1 DC 전류를 인가하도록 구성된 제 1 DC 전력 공급부로서, 인가된 제 1 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에서 자기장을 생성하는, 제 1 DC 전력 공급부를 포함한다. In some implementations, a system for performing a plasma process on a wafer is provided, comprising: an interior configured to receive a wafer for plasma processing and defining a plasma processing region in which a plasma is provided for plasma processing of the wafer. , chamber; a first magnetic coil disposed above the chamber and centered about an axis perpendicular to the surface plane of the wafer and centered through approximately the center of the wafer; A first DC power supply configured to apply a first DC current to the first magnetic coil during plasma processing, wherein the applied first DC current creates a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. Including supply.

일부 구현 예들에서, 자기장은 플라즈마 프로세싱 영역의 중심 영역을 통해 실질적으로 수직이도록 구성된다. In some implementations, the magnetic field is configured to be substantially perpendicular through a central region of the plasma processing region.

일부 구현 예들에서, 플라즈마 프로세싱 영역의 중심 영역을 통한 자기장은 대략 10 Gauss 미만인 강도를 갖는다. In some implementations, the magnetic field through the central region of the plasma processing region has an intensity less than approximately 10 Gauss.

일부 구현 예들에서, 자기장은 플라즈마 프로세싱에 의해 수행되는 에칭의 방사상 불균일성을 감소시키도록 구성된다. In some implementations, the magnetic field is configured to reduce radial non-uniformity of an etch performed by plasma processing.

일부 구현 예들에서, 제 1 자기 코일은 형상이 실질적으로 환형이다. In some implementations, the first magnetic coil is substantially annular in shape.

일부 구현 예들에서, 제 1 자기 코일은 웨이퍼의 표면 평면에 평행한 수평 평면을 따라 배향된다. In some implementations, the first magnetic coil is oriented along a horizontal plane parallel to the surface plane of the wafer.

일부 구현 예들에서, 제 1 자기 코일의 내경은 대략 15 내지 20 인치의 범위이다. In some implementations, the inner diameter of the first magnetic coil ranges from approximately 15 to 20 inches.

일부 구현 예들에서, 제 1 자기 코일은 복수의 턴들 (turns) 의 자석 와이어를 포함한다. In some implementations, the first magnetic coil includes a plurality of turns of magnet wire.

일부 구현 예들에서, 시스템은: 챔버 위에 배치되고, 제 1 자기 코일과 동심원인, 제 2 자기 코일; 플라즈마 프로세싱 동안 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 제 2 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에서 자기장을 생성하는 데 기여하는, 제 2 DC 전력 공급부를 더 포함한다. In some implementations, the system includes: a second magnetic coil disposed above the chamber and concentric with the first magnetic coil; A second DC power supply configured to apply a second DC current to the second magnetic coil during plasma processing, wherein the applied second DC current serves to create a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. 2 further includes a DC power supply.

일부 구현 예들에서, 제 2 자기 코일은 제 1 자기 코일과 동일한 수평 평면을 따라 실질적으로 배향된다. In some implementations, the second magnetic coil is oriented substantially along the same horizontal plane as the first magnetic coil.

일부 구현 예들에서, 제 1 DC 전류 및 제 2 DC 전류는 동일한 크기 (magnitude) 또는 상이한 크기를 갖도록 구성된다. In some implementations, the first DC current and the second DC current are configured to have the same magnitude or different magnitudes.

일부 구현 예들에서, 제 1 DC 전류 및 제 2 DC 전류는 동일한 방향으로 또는 반대 방향들로 인가되도록 구성된다. In some implementations, the first DC current and the second DC current are configured to be applied in the same direction or in opposite directions.

일부 구현 예들에서, 제 1 자기 코일의 내경은 대략 10 내지 15 인치의 범위이고; 그리고 제 2 자기 코일의 내경은 대략 15 내지 20 인치의 범위이다. In some implementations, the inner diameter of the first magnetic coil ranges from approximately 10 to 15 inches; and the inner diameter of the second magnetic coil ranges from approximately 15 to 20 inches.

일부 구현 예들에서, 시스템은: 플라즈마 프로세싱 영역을 측방향으로 둘러싸도록 구성된 제 2 자기 코일; 플라즈마 프로세싱 동안 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 제 2 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에서 자기장을 생성하는 데 기여하는, 제 2 DC 전력 공급부를 더 포함한다. In some implementations, the system includes: a second magnetic coil configured to laterally surround the plasma processing region; A second DC power supply configured to apply a second DC current to the second magnetic coil during plasma processing, wherein the applied second DC current serves to create a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. 2 further includes a DC power supply.

일부 구현 예들에서, 시스템은: 플라즈마 프로세싱 영역 아래에 배치된 제 2 자기 코일; 플라즈마 프로세싱 동안 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 제 2 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에서 자기장을 생성하는 데 기여하는, 제 2 DC 전력 공급부를 더 포함한다. In some implementations, the system includes: a second magnetic coil disposed below the plasma processing region; A second DC power supply configured to apply a second DC current to the second magnetic coil during plasma processing, wherein the applied second DC current serves to create a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. 2 further includes a DC power supply.

일부 구현 예들에서, 웨이퍼 상에서 플라즈마 프로세스를 수행하기 위한 방법이 제공되고, 이는: 웨이퍼를 플라즈마 프로세싱을 위해 구성된 챔버 내로 이동시키는 단계로서, 챔버의 내부는 플라즈마 프로세싱 영역을 규정하는, 웨이퍼를 이동시키는 단계; 웨이퍼의 플라즈마 프로세싱을 위해 플라즈마 프로세싱 영역 내에 플라즈마를 제공하는 단계; 및 플라즈마 프로세싱 동안 자기 코일에 DC 전류를 인가하는 단계로서, 인가된 DC 전류는 플라즈마의 불균일성을 감소시키는 플라즈마 프로세싱 영역 내에 자기장을 생성하는, DC 전류를 인가하는 단계를 포함하고, 자기 코일은 챔버 위에 배치되고 그리고 웨이퍼의 표면 평면에 수직인 축을 중심으로 센터링되고 웨이퍼의 대략 중심을 통해 센터링된다. In some implementations, a method for performing a plasma process on a wafer is provided, comprising: moving the wafer into a chamber configured for plasma processing, the interior of the chamber defining a plasma processing region. ; providing a plasma within the plasma processing region for plasma processing of the wafer; and applying a DC current to the magnetic coil during plasma processing, wherein the applied DC current creates a magnetic field within the plasma processing region that reduces non-uniformity of the plasma, the magnetic coil being placed over the chamber. disposed and centered about an axis perpendicular to the surface plane of the wafer and centered through approximately the center of the wafer.

일부 구현 예들에서, 자기장은 플라즈마 프로세싱 영역의 중심 영역을 통해 실질적으로 수직이도록 구성된다. In some implementations, the magnetic field is configured to be substantially perpendicular through a central region of the plasma processing region.

일부 구현 예들에서, 플라즈마 프로세싱 영역의 중심 영역을 통한 자기장은 대략 10 Gauss 미만인 강도를 갖는다. In some implementations, the magnetic field through the central region of the plasma processing region has an intensity less than approximately 10 Gauss.

일부 구현 예들에서, 자기장은 플라즈마 프로세싱에 의해 수행되는 에칭의 방사상 불균일성을 감소시키도록 구성된다. In some implementations, the magnetic field is configured to reduce radial non-uniformity of an etch performed by plasma processing.

일부 구현 예들에서, 자기 코일은 형상이 실질적으로 환형이다. In some implementations, the magnetic coil is substantially toroidal in shape.

일부 구현 예들에서, 자기 코일은 웨이퍼의 표면 평면에 평행한 수평 평면을 따라 배향된다. In some implementations, the magnetic coil is oriented along a horizontal plane parallel to the surface plane of the wafer.

일부 구현 예들에서, 제 1 자기 코일의 내경은 대략 15 내지 20 인치의 범위이다. In some implementations, the inner diameter of the first magnetic coil ranges from approximately 15 to 20 inches.

도 1은, 일부 실시 예들에 따른, 반도체 칩 제작에 사용하기 위한 플라즈마 프로세싱 시스템을 통한 수직 단면도를 도시한다.
도 2a는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 단일 자기 코일을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다.
도 2b는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 2 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다.
도 2c는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 3 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다.
도 2d는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 4 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다.
도 3a는, 본 개시의 구현 예들에 따른, 상이한 인가된 B-필드들 (B-fields) 하에서 연속파 플라즈마에 대한 에칭 레이트 결과들을 예시하는 그래프이다.
도 3b는, 도 3a의 구현 예에 따른, 인가된 B-필드에 의해 영향을 받는 에칭 레이트의 변화를 예시하는 그래프이다.
도 4a는, 본 개시의 구현 예들에 따른, 상이한 인가된 B-필드들을 갖는 플라즈마 프로세스에 대한 웨이퍼 반경의 함수로서 에칭 레이트를 예시하는 그래프이다.
도 4b는, 도 4a의 구현 예에 따른, 인가된 B-필드들의 결과로서 에칭 레이트의 변화를 도시하는 그래프이다.
도 5는, 본 개시의 구현 예들에 따른, 에칭된 피처들을 갖는 웨이퍼들의 부분들의 단면 이미지들을 도시하고, 피처 틸팅 (tilting) 에 대한 인가된 B-필드의 효과를 입증한다.
도 6a는, 본 개시의 구현 예들에 따른, 다양한 단일 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다.
도 6b는, 도 6a의 구현 예들에 따른, 다양한 단일 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방사상 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다.
도 7a는, 본 개시의 구현 예들에 따른, 단일 코일 A (12"), 코일 B (14"), 코일 C (17"), 및 코일 D (23") 에 인가된 다양한 양의 전류들 (positive currents) (반시계) 에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션에 대한 열적 옥사이드 에칭 레이트를 예시하는 그래프이다.
도 7b는, 본 개시의 구현 예들에 따른, 단일 코일 A (12"), 코일 B (14"), 코일 C (17"), 및 코일 D (23") 에 인가된 다양한 음의 전류들 (negative currents) (시계) 에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션에 대한 열적 옥사이드 에칭 레이트를 예시하는 그래프이다.
도 8a는, 본 개시의 구현 예들에 따른, 다양한 2 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다.
도 8b는, 도 8a의 구현 예들에 따른, 다양한 2 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방사상 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다.
도 9a는, 본 개시의 구현 예들에 따른, 다양한 3 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다.
도 9b는, 도 9a의 구현 예들에 따른, 다양한 3 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방사상 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다.
도 10a는, 본 개시의 구현 예들에 따른, 2 개의 코일 조합에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션의 함수로서 에칭 레이트를 예시하는 그래프이다.
도 10b는 도 10a의 구현 예들에 따른, 0 전류 조건과 비교하여 에칭 레이트 델타를 예시하는 그래프이다.
도 11은, 본 개시의 구현 예들에 따른, 복수의 자기 코일들로의 전력을 제어하기 위한 시스템의 개념적 개략도이다.
도 12는 일부 실시 예들에 따른, 도 1의 제어 시스템의 예시적인 개략도를 도시한다.
1 shows a vertical cross-section through a plasma processing system for use in semiconductor chip fabrication, in accordance with some embodiments.
2A conceptually illustrates a cross section of a process chamber with a single magnetic coil for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure.
2B conceptually illustrates a cross section of a process chamber with two magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure.
2C conceptually illustrates a cross-section of a process chamber with three magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure.
2D conceptually illustrates a cross-section of a process chamber with four magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure.
3A is a graph illustrating etch rate results for a continuous wave plasma under different applied B-fields, in accordance with implementations of the present disclosure.
3B is a graph illustrating a change in etch rate affected by an applied B-field, in accordance with the implementation of FIG. 3A.
4A is a graph illustrating etch rate as a function of wafer radius for a plasma process with different applied B-fields, in accordance with implementations of the present disclosure.
FIG. 4B is a graph illustrating the change in etch rate as a result of applied B-fields, according to the implementation of FIG. 4A.
5 shows cross-sectional images of portions of wafers with etched features, in accordance with implementation examples of the present disclosure, and demonstrates the effect of an applied B-field on feature tilting.
6A shows the magnetic field at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) for a radial position along a 300 mm diameter wafer, for various single coil current configurations, in accordance with implementations of the present disclosure. exemplify strength.
6B illustrates the magnetic field strength (Gauss) at wafer level in the radial direction for a radial position along a 300 mm diameter wafer, for various single coil current configurations, in accordance with implementations of FIG. 6A.
7A shows various amounts of current ( A graph illustrating thermal oxide etch rate versus radial position along a 300 mm wafer, for positive currents (counterclockwise).
FIG. 7B shows various negative currents ( A graph illustrating thermal oxide etch rate versus radial position along a 300 mm wafer, for negative currents) (field of view).
FIG. 8A is a plot of the flow at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) for a radial position along a 300 mm diameter wafer, for various two coil current configurations, in accordance with implementations of the present disclosure. Illustrate the magnetic field strength.
8B illustrates the magnetic field strength (Gauss) at wafer level in the radial direction for a radial position along a 300 mm diameter wafer, for various two coil current configurations, in accordance with implementations of FIG. 8A.
FIG. 9A is a graph of a plot at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) relative to a radial position along a 300 mm diameter wafer, for various three coil current configurations, in accordance with implementations of the present disclosure. Illustrate the magnetic field strength.
FIG. 9B illustrates magnetic field strength (Gauss) at wafer level in the radial direction for a radial position along a 300 mm diameter wafer, for various three coil current configurations, in accordance with implementations of FIG. 9A .
10A is a graph illustrating etch rate as a function of radial position along a 300 mm wafer, for a two coil combination, in accordance with implementations of the present disclosure.
10B is a graph illustrating etch rate delta compared to a zero current condition, in accordance with the implementation examples of FIG. 10A.
11 is a conceptual schematic diagram of a system for controlling power to a plurality of magnetic coils, in accordance with implementation examples of the present disclosure.
12 shows an example schematic diagram of the control system of FIG. 1, in accordance with some embodiments.

이하의 기술 (description) 에서, 본 개시의 실시 예들의 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. In the following description, numerous specific details are set forth to provide an understanding of embodiments of the present disclosure. However, it will be apparent to those skilled in the art that the present disclosure may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail in order not to unnecessarily obscure the present disclosure.

반도체 웨이퍼 제조를 위한 플라즈마 에칭 시스템들에서, 반도체 웨이퍼에 걸친 에칭 결과들의 공간적 변동은 방사상 에칭 균일성 및 방위각 에칭 균일성을 특징으로 할 수 있다. 방사상 에칭 균일성은 반도체 웨이퍼 상의 미리 결정된 방위각 포지션에서 반도체 웨이퍼의 중심으로부터 반도체 웨이퍼의 에지로 외향으로 연장하는, 반도체 웨이퍼 상의 방사상 포지션의 함수로서의 에칭 레이트의 변동을 특징으로 할 수 있다. 그리고, 방위각 에칭 균일성은 반도체 웨이퍼 상의 미리 결정된 방사상 포지션에서 반도체 웨이퍼의 중심을 중심으로 반도체 웨이퍼 상의 방위각 포지션의 함수로서의 에칭 레이트의 변동을 특징으로 할 수 있다. 일부 플라즈마 프로세싱 시스템들, 예컨대 본 명세서에 기술된 시스템에서, 반도체 웨이퍼는 반도체 웨이퍼 위에 놓인 플라즈마 생성 영역 내에서 플라즈마를 생성하도록 무선 주파수 (radio frequency; RF) 신호들이 방출되는 전극 상에 포지셔닝되고, 플라즈마는 미리 규정된 (prescribe) 에칭 프로세스로 하여금 반도체 웨이퍼 상에서 발생하게 하도록 제어되는 특성들을 갖는다. In plasma etching systems for semiconductor wafer fabrication, the spatial variation of etching results across a semiconductor wafer can be characterized by radial etch uniformity and azimuthal etch uniformity. Radial etch uniformity can be characterized as a variation of the etch rate as a function of radial position on the semiconductor wafer, extending outward from the center of the semiconductor wafer to the edge of the semiconductor wafer at a predetermined azimuthal position on the semiconductor wafer. And, the azimuthal etch uniformity can be characterized as a variation of the etch rate as a function of azimuthal position on the semiconductor wafer about a center of the semiconductor wafer at a predetermined radial position on the semiconductor wafer. In some plasma processing systems, such as the system described herein, a semiconductor wafer is positioned on an electrode from which radio frequency (RF) signals are emitted to create a plasma within a plasma generating region overlying the semiconductor wafer, and the plasma has properties that are controlled to cause a prescribe etching process to occur on the semiconductor wafer.

용량성 커플링 플라즈마 (capacitive coupled plasma; CCP) 시스템에서, 정재파들 (standing waves) 및 양이온 및 음이온의 국부화된 축적으로 인해 중심 플라즈마 불균일성을 나타내는 경향이 있다. 이는 에칭 레이트의 방사상 불균일성을 발생시킨다. 예를 들어, 많은 CCP 툴들은 웨이퍼의 중심을 향한 에칭 레이트의 극적인 상승을 나타낼 수도 있다. In a capacitive coupled plasma (CCP) system, it tends to exhibit central plasma non-uniformity due to standing waves and localized accumulation of positive and negative ions. This causes radial non-uniformity of the etch rate. For example, many CCP tools may exhibit a dramatic increase in etch rate toward the center of the wafer.

더욱이, 방사상 불균일성에 대해 툴-대-툴 (tool-to-tool) 변동이 있다. 일부 툴들은 중심에서 에칭 레이트의 상당한 스파이크들을 나타낼 수도 있지만, 다른 툴들은 그렇지 않을 수도 있다. 종종 이는 툴마다 구성이 가변할 수도 있는 챔버 부품들로부터의 플럭스가 상이하기 때문에, 자기장들의 존재 또는 부재와 상관된다. 또한, 미리 결정된 툴의 국부적 환경 또는 특정한 위치, 및 주변 하드웨어는 존재하는 국부적인 자기장들에 영향을 줄 수도 있고, 이는 결국 에칭 방사상 불균일성에 영향을 준다. Moreover, there is tool-to-tool variation for radial non-uniformity. Some tools may exhibit significant spikes in etch rate in the center, while others may not. Often this correlates with the presence or absence of magnetic fields, as the flux from the chamber parts, which may vary in configuration from tool to tool, is different. Also, the local environment or specific location of the predetermined tool, and the surrounding hardware, may affect the local magnetic fields present, which in turn affects the etch radial non-uniformity.

기존 CCP 시스템들의 전술한 문제들의 관점에서, 본 개시의 일부 구현 예들은 국부적인 대전된 종 축적을 최소화하고 웨이퍼에 걸친 플라즈마/에칭 균일성을 개선하도록 플라즈마에 대한 정적 B-필드 (B-field) 의 인가를 제공한다. In view of the foregoing problems of existing CCP systems, some implementations of the present disclosure provide a static B-field for the plasma to minimize local charged species accumulation and improve plasma/etch uniformity across the wafer. provides authorization.

일부 구현 예들에서, 펄싱된 자기장은 방사상 전자 확산, 따라서 방사상 음이온 음향파 및 양이온 음향파를 제어하기 위해 B-필드의 시변 방사상 경사 (gradient) 를 생성하도록 인가된다. In some implementations, a pulsed magnetic field is applied to create a time-varying radial gradient of the B-field to control radial electron diffusion, and therefore radial negative ion acoustic waves and positive ion acoustic waves.

도 1은 일부 실시 예들에 따른, 반도체 칩 제작에 사용하기 위한 플라즈마 프로세싱 시스템 (100) 을 통한 수직 단면도를 도시한다. 시스템 (100) 은 벽들 (101A), 상단 부재 (member) (101B), 및 하단 부재 (101C) 에 의해 형성된 챔버 (101) 를 포함한다. 벽들 (101A), 상단 부재 (101B), 및 하단 부재 (101C) 는 집합적으로 챔버 (101) 내에 내부 영역 (103) 을 형성한다. 하단 부재 (101C) 는 플라즈마 프로세싱 동작들로부터의 배기 가스들이 지향되는 배기 포트 (105) 를 포함한다. 일부 실시 예들에서, 동작 동안, 챔버 (101) 의 내부 영역 (103) 으로부터 프로세스 배기 가스들을 인출하도록 (draw), 예컨대 터보 펌프 또는 다른 진공 디바이스에 의해, 흡입력이 배기 포트 (105) 에 인가된다. 일부 실시 예들에서, 챔버 (101) 는 알루미늄으로 형성된다. 그러나, 다양한 실시 예들에서, 챔버 (101) 는 본질적으로 충분한 기계적 강도, 용인할 수 있는 열적 성능을 제공하고 챔버 (101) 내에서 플라즈마 프로세싱 동작들 동안 노출되고 인터페이싱하는 다른 재료들과 화학적으로 양립할 수 있는, 특히 스테인리스 스틸과 같은 임의의 재료로 형성될 수 있다. 챔버 (101) 의 적어도 하나의 벽 (101A) 은 반도체 웨이퍼 (W) 가 챔버 (101) 내외로 이송되는 도어 (107) 를 포함한다. 일부 실시 예들에서, 도어 (107) 는 슬릿 밸브 도어로서 구성된다. 1 shows a vertical cross-section through a plasma processing system 100 for use in semiconductor chip fabrication, in accordance with some embodiments. System 100 includes a chamber 101 formed by walls 101A, a top member 101B, and a bottom member 101C. The walls 101A, top member 101B, and bottom member 101C collectively form an interior region 103 within chamber 101 . The bottom member 101C includes an exhaust port 105 through which exhaust gases from plasma processing operations are directed. In some embodiments, during operation, a suction force is applied to the exhaust port 105 to draw process exhaust gases from the interior region 103 of the chamber 101, such as by a turbo pump or other vacuum device. In some embodiments, chamber 101 is formed of aluminum. However, in various embodiments, chamber 101 may inherently provide sufficient mechanical strength, acceptable thermal performance, and be chemically compatible with other materials that interface and are exposed during plasma processing operations within chamber 101. It can be formed of any material that can be formed, in particular stainless steel. At least one wall 101A of the chamber 101 includes a door 107 through which semiconductor wafers W are transferred into and out of the chamber 101 . In some embodiments, door 107 is configured as a slit valve door.

일부 실시 예들에서, 반도체 웨이퍼 (W) 는 제조 절차를 겪는 반도체 웨이퍼이다. 논의의 용이함을 위해, 반도체 웨이퍼 (W) 는 이하 웨이퍼 (W) 로 지칭된다. 그러나, 다양한 실시 예들에서, 웨이퍼 (W) 는 본질적으로 플라즈마-기반 제조 프로세스를 겪는 임의의 타입의 기판일 수 있다는 것이 이해되어야 한다. 예를 들어, 일부 실시 예들에서, 본 명세서에 언급된 웨이퍼 (W) 는 실리콘, 사파이어, GaN, GaAs 또는 SiC, 또는 다른 기판 재료들로 형성된 기판일 수 있고, 그리고 유리 패널들/기판들, 금속 포일들, 금속 시트들, 폴리머 재료들, 등을 포함할 수 있다. 또한, 다양한 실시 예들에서, 본 명세서에 언급된 웨이퍼 (W) 는 형태, 형상, 및/또는 사이즈가 가변할 수도 있다. 예를 들어, 일부 실시 예들에서, 본 명세서에 언급된 웨이퍼 (W) 는 집적 회로 디바이스들이 제작되는 원형-형상 반도체 웨이퍼에 대응할 수도 있다. 다양한 실시 예들에서, 원형-형상 웨이퍼 (W) 는 200 ㎜ (밀리미터), 300 ㎜, 450 ㎜, 또는 또 다른 사이즈의 직경을 가질 수 있다. 또한, 일부 실시 예들에서, 본 명세서에 언급된 웨이퍼 (W) 는 다른 형상들 중에서, 플랫 패널 디스플레이를 위한 직사각형 기판 등과 같은 비-원형 기판에 대응할 수도 있다. In some embodiments, the semiconductor wafer W is a semiconductor wafer that has undergone a manufacturing procedure. For ease of discussion, the semiconductor wafer W is hereinafter referred to as wafer W. However, it should be understood that in various embodiments, wafer W may be essentially any type of substrate that undergoes a plasma-based fabrication process. For example, in some embodiments, the wafer W referred to herein may be a substrate formed of silicon, sapphire, GaN, GaAs or SiC, or other substrate materials, and glass panels/substrates, metal foils, metal sheets, polymeric materials, and the like. Also, in various embodiments, the wafer W referred to herein may vary in shape, shape, and/or size. For example, in some embodiments, the wafer W referred to herein may correspond to a circular-shaped semiconductor wafer on which integrated circuit devices are fabricated. In various embodiments, the circular-shaped wafer W may have a diameter of 200 mm (millimeters), 300 mm, 450 mm, or another size. Also, in some embodiments, the wafer W referred to herein may correspond to a non-circular substrate, such as a rectangular substrate for a flat panel display, among other shapes.

플라즈마 프로세싱 시스템 (100) 은 설비 플레이트 (111) 상에 포지셔닝된 전극 (109) 을 포함한다. 일부 실시 예들에서, 전극 (109) 및 설비 플레이트 (111) 는 알루미늄으로 형성된다. 그러나, 다른 실시 예들에서, 전극 (109) 및 설비 플레이트 (111) 는 충분한 기계적 강도를 갖고 양립 가능한 열적 성능 특성 및 화학적 성능 특성을 갖는 또 다른 전기적으로 전도성인 재료로 형성될 수 있다. 세라믹 층 (110) 이 전극 (109) 의 상단 표면 상에 형성된다. 세라믹 층 (110) 은 웨이퍼 (W) 상에서 플라즈마 프로세싱 동작들의 수행 동안 웨이퍼 (W) 를 수용하고 지지하도록 구성된다. 일부 실시 예들에서, 세라믹 층 (110) 의 방사상으로 외부에 위치되는 전극 (109) 의 상단 표면 및 전극 (109) 의 주변 측면들은 세라믹의 스프레이 코팅으로 커버된다. The plasma processing system 100 includes an electrode 109 positioned on a fixture plate 111 . In some embodiments, electrode 109 and fixture plate 111 are formed of aluminum. However, in other embodiments, electrode 109 and fixture plate 111 may be formed from another electrically conductive material that has sufficient mechanical strength and has compatible thermal and chemical performance characteristics. A ceramic layer 110 is formed on the top surface of electrode 109 . The ceramic layer 110 is configured to receive and support the wafer W during performance of plasma processing operations thereon. In some embodiments, the top surface of the electrode 109 located radially outside of the ceramic layer 110 and the peripheral sides of the electrode 109 are covered with a spray coating of ceramic.

세라믹 층 (110) 은 웨이퍼 (W) 를 세라믹 층 (110) 의 상단 표면에 홀딩하도록 정전력을 생성하기 위한 하나 이상의 클램프 전극들 (112) 의 배열 (arrangement) 을 포함한다. 일부 실시 예들에서, 세라믹 층 (110) 은 웨이퍼 (W) 에 클램핑력 (clamping force) 을 제공하기 위해 바이폴라 (bipolar) 방식으로 동작하는 2 개의 클램프 전극들 (112) 의 배열을 포함한다. 클램프 전극들 (112) 은 세라믹 층 (110) 의 상단 표면에 대고 (against) 웨이퍼 (W) 를 홀딩하도록 제어된 클램핑 전압을 생성하는 직류 (direct current; DC) 공급부 (117) 에 연결된다. 전기 와이어들 (119A, 119B) 은 DC 공급부 (117) 와 설비 플레이트 (111) 사이에 연결된다. 전기 와이어들/전도체들은 와이어들 (119A, 119B) 을 클램프 전극들 (112) 에 전기적으로 접속시키도록 설비 플레이트 (111) 및 전극 (109) 을 통해 라우팅된다 (route). DC 공급부 (117) 는 하나 이상의 신호 전도체들 (121) 을 통해 제어 시스템 (120) 에 연결된다. The ceramic layer 110 includes an arrangement of one or more clamp electrodes 112 for generating electrostatic force to hold the wafer W to the top surface of the ceramic layer 110 . In some embodiments, the ceramic layer 110 includes an array of two clamp electrodes 112 operating in a bipolar manner to provide a clamping force to the wafer W. The clamp electrodes 112 are connected to a direct current (DC) supply 117 that generates a controlled clamping voltage to hold the wafer W against the top surface of the ceramic layer 110 . Electrical wires 119A, 119B are connected between the DC supply 117 and the equipment plate 111 . Electrical wires/conductors are routed through the fixture plate 111 and electrode 109 to electrically connect the wires 119A, 119B to the clamp electrodes 112 . DC supply 117 is connected to control system 120 via one or more signal conductors 121 .

전극 (109) 은 또한 온도 제어 유체가 전극 (109) 의 온도를 제어하고 결국 웨이퍼 (W) 의 온도를 제어하도록 흐르는 온도 제어 유체 채널들 (123) 의 배열을 포함한다. 온도 제어 유체 채널들 (123) 은 설비 플레이트 (111) 상의 포트들에 배관 연결 (plumb) (유체로 연통 (fluidly connect)) 된다. 온도 제어 유체 공급 라인 및 리턴 라인은 화살표 (126) 로 나타낸 바와 같이 설비 플레이트 (111) 상의 이들 포트들 및 온도 제어 유체 순환 시스템 (125) 에 연결된다. 온도 제어 유체 순환 시스템 (125) 은 미리 규정된 웨이퍼 (W) 온도를 획득하고 유지하기 위해 온도 제어 유체의 제어된 플로우를 전극 (109) 을 통해 제공하도록, 다른 디바이스들 중에서, 온도 제어 유체 공급부, 온도 제어 유체 펌프, 및 열 교환기를 포함한다. 온도 제어 유체 순환 시스템 (125) 은 하나 이상의 신호 전도체들 (127) 을 통해 제어 시스템 (120) 에 연결된다. 다양한 실시 예들에서, 다양한 타입들의 온도 제어 유체, 예컨대 물 또는 냉매 액체/가스가 사용될 수 있다. 또한, 일부 실시 예들에서, 온도 제어 유체 채널들 (123) 은 웨이퍼 (W) 에 걸친 2 차원들 (x 및 y) 에서와 같이, 웨이퍼 (W) 의 온도의 공간적으로 가변하는 제어를 인에이블하도록 (enable) 구성된다. The electrode 109 also includes an array of temperature control fluid channels 123 through which a temperature control fluid flows to control the temperature of the electrode 109 and in turn to control the temperature of the wafer W. The temperature control fluid channels 123 are plumbed (fluidly connect) to the ports on the fixture plate 111 . The temperature control fluid supply and return lines are connected to these ports on the equipment plate 111 and to the temperature control fluid circulation system 125 as indicated by arrows 126 . The temperature control fluid circulation system 125 includes, among other devices, a temperature control fluid supply, temperature controlled fluid pump, and heat exchanger. The temperature control fluid circulation system 125 is coupled to the control system 120 via one or more signal conductors 127 . In various embodiments, various types of temperature control fluid may be used, such as water or refrigerant liquid/gas. Also, in some embodiments, the temperature control fluid channels 123 are configured to enable spatially varying control of the temperature of the wafer W, such as in two dimensions (x and y) across the wafer W. (enable) is configured.

세라믹 층 (110) 은 또한 전극 (109) 내의 대응하는 후면 가스 공급 채널들에 유체로 연통된 후면 가스 공급 포트들의 배열 (미도시) 을 포함한다. 전극 (109) 내의 후면 가스 공급 채널들은 전극 (109) 을 통해 전극 (109) 과 설비 플레이트 (111) 사이의 계면으로 라우팅된다. 하나 이상의 후면 가스 공급 라인(들)은 화살표 (130) 로 나타낸 바와 같이 설비 플레이트 (111) 상의 포트들에 그리고 후면 가스 공급 시스템 (129) 에 연결된다. 설비 플레이트 (111) 는 하나 이상의 후면 가스 공급 라인(들)으로부터 전극 (109) 내의 후면 가스 공급 채널들로 후면 가스(들)를 공급하도록 구성된다. 후면 가스 공급 시스템 (129) 은 세라믹 층 (110) 내의 후면 가스 공급 포트들의 배열을 통해 후면 가스의 제어된 플로우를 제공하기 위해, 다른 디바이스들 중에서, 후면 가스 공급부, 질량 유량 제어기 (mass flow controller; MFC), 및 플로우 제어 밸브를 포함한다. 일부 실시 예들에서, 후면 가스 공급 시스템 (129) 은 또한 후면 가스의 온도를 제어하기 위한 하나 이상의 컴포넌트들을 포함한다. 일부 실시 예들에서, 후면 가스는 헬륨이다. 또한, 일부 실시 예들에서, 후면 가스 공급 시스템 (129) 은 세라믹 층 (110) 내의 후면 가스 공급 포트들의 배열로 청정 건조 공기 (clean dry air; CDA) 를 공급하도록 사용될 수 있다. 후면 가스 공급 시스템 (129) 은 하나 이상의 신호 전도체들 (131) 을 통해 제어 시스템 (120) 에 연결된다. Ceramic layer 110 also includes an array of backside gas supply ports (not shown) in fluid communication with corresponding backside gas supply channels in electrode 109 . The rear gas supply channels in the electrode 109 are routed through the electrode 109 to the interface between the electrode 109 and the equipment plate 111 . One or more backside gas supply line(s) are connected to ports on the equipment plate 111 and to the backside gas supply system 129 as indicated by arrows 130 . Facility plate 111 is configured to supply backside gas(es) from one or more backside gas supply line(s) to backside gas supply channels in electrode 109 . The backside gas supply system 129 includes, among other devices, a backside gas supply, a mass flow controller; MFC), and a flow control valve. In some embodiments, backside gas supply system 129 also includes one or more components for controlling the temperature of the backside gas. In some embodiments, the back gas is helium. Also, in some embodiments, backside gas supply system 129 can be used to supply clean dry air (CDA) to the array of backside gas supply ports in ceramic layer 110 . The back gas supply system 129 is connected to the control system 120 via one or more signal conductors 131 .

3 개의 리프트 핀들 (132) 은 세라믹 층 (110) 의 상단 표면에 대해 웨이퍼 (W) 의 수직 운동을 제공하도록 설비 플레이트 (111), 전극 (109), 및 세라믹 층 (110) 을 통해 연장한다. 일부 실시 예들에서, 리프트 핀들 (132) 의 수직 운동은 설비 플레이트 (111) 에 연결된 각각의 전기 기계 및/또는 공압 리프팅 디바이스 (133) 에 의해 제어된다. 3 개의 리프팅 디바이스들 (133) 은 하나 이상의 신호 전도체들 (134) 을 통해 제어 시스템 (120) 에 연결된다. 일부 실시 예들에서, 3 개의 리프트 핀들 (132) 은 세라믹 층 (110) 의 상단 표면에 수직으로 연장하는 전극 (109)/세라믹 층 (110) 의 수직 중심선을 중심으로 실질적으로 동일한 방위각 간격을 갖도록 포지셔닝된다. 리프트 핀들 (132) 은 챔버 (101) 내로 웨이퍼 (W) 를 수용하고 챔버 (101) 로부터 웨이퍼 (W) 를 제거하도록 상승된다는 것이 이해되어야 한다. 또한, 리프트 핀들 (132) 은 웨이퍼 (W) 의 프로세싱 동안 웨이퍼 (W) 로 하여금 세라믹 층 (110) 의 상단 표면 상에 놓이게 하도록 하강된다. Three lift pins 132 extend through the fixture plate 111 , electrode 109 , and ceramic layer 110 to provide vertical motion of the wafer W relative to the top surface of the ceramic layer 110 . In some embodiments, the vertical movement of the lift pins 132 is controlled by a respective electrical mechanical and/or pneumatic lifting device 133 connected to the fixture plate 111 . The three lifting devices 133 are connected to the control system 120 via one or more signal conductors 134 . In some embodiments, the three lift pins 132 are positioned to have substantially equal azimuthal spacing about the vertical centerline of the electrode 109/ceramic layer 110 extending perpendicular to the top surface of the ceramic layer 110. do. It should be understood that the lift pins 132 are raised to receive the wafer W into the chamber 101 and remove the wafer W from the chamber 101 . Also, the lift pins 132 are lowered to allow the wafer W to rest on the top surface of the ceramic layer 110 during processing of the wafer W.

또한, 다양한 실시 예들에서, 전극 (109), 설비 플레이트 (111), 세라믹 층 (110), 클램프 전극들 (112), 리프트 핀들 (132), 또는 본질적으로 이와 연관된 임의의 다른 컴포넌트 중 특히 온도 측정, 전압 측정, 및 전류 측정을 위한 센서들과 같은 하나 이상의 센서들을 포함하도록 구비될 수 있다. 전극 (109), 설비 플레이트 (111), 세라믹 층 (110), 클램프 전극들 (112), 리프트 핀들 (132), 또는 본질적으로 이와 연관된 임의의 다른 컴포넌트 내에 배치된 (dispose) 임의의 센서는 전기 와이어들, 광섬유에 의해, 또는 무선 연결을 통해 제어 시스템 (120) 에 연결된다. Also, in various embodiments, the temperature measurement of the electrode 109, fixture plate 111, ceramic layer 110, clamp electrodes 112, lift pins 132, or essentially any other component associated therewith. , voltage measurement, and current measurement sensors. Any sensor that disposes within the electrode 109, fixture plate 111, ceramic layer 110, clamp electrodes 112, lift pins 132, or essentially any other component associated therewith, may It is connected to the control system 120 by wires, optical fiber, or via a wireless connection.

설비 플레이트 (111) 는 세라믹 지지부 (113) 의 개구부 내에 셋팅되고, 세라믹 지지부 (113) 에 의해 지지된다. 세라믹 지지부 (113) 는 캔틸레버 암 (cantilever arm) 어셈블리 (115) 의 지지 표면 (114) 상에 포지셔닝된다. 일부 실시 예들에서, 세라믹 지지부 (113) 는, 세라믹 지지부 (113) 가 설비 플레이트 (111) 의 외측 방사상 둘레를 실질적으로 둘러싸는 (circumscribe) 한편, 설비 플레이트 (111) 의 하단 외측 주변 표면이 상부에 놓이는 지지 표면 (116) 을 또한 제공하도록, 실질적으로 환형 형상을 갖는다. 캔틸레버 암 어셈블리 (115) 는 챔버 (101) 의 벽 (101A) 을 통해 연장한다. 일부 실시 예들에서, 캔틸레버 암 어셈블리 (115) 가 제어된 방식으로 z-방향으로 상향 및 하향 이동하게 하는 한편, 시일링 메커니즘 (135) 은 챔버 (101) 의 내부 영역 (103) 의 시일링을 제공하도록 캔틸레버 암 어셈블리 (115) 가 위치되는 챔버 (101) 의 벽 (101A) 내에 제공된다. The equipment plate 111 is set in the opening of the ceramic support 113 and is supported by the ceramic support 113 . A ceramic support 113 is positioned on a support surface 114 of a cantilever arm assembly 115 . In some embodiments, the ceramic support 113 is such that the ceramic support 113 substantially circumscribes an outer radial circumference of the equipment plate 111 while the bottom outer peripheral surface of the equipment plate 111 is at the top. It has a substantially annular shape, so as to also provide a resting support surface 116 . A cantilever arm assembly 115 extends through the wall 101A of the chamber 101 . In some embodiments, the sealing mechanism 135 provides sealing of the interior region 103 of the chamber 101 while causing the cantilever arm assembly 115 to move up and down in the z-direction in a controlled manner. A cantilever arm assembly 115 is provided in the wall 101A of the chamber 101 to be positioned.

캔틸레버 암 어셈블리 (115) 는 다양한 디바이스들, 와이어들, 케이블들 및 튜브가 시스템 (100) 의 동작들을 지지하도록 라우팅되는 개방 영역 (118) 을 갖는다. 캔틸레버 암 어셈블리 내의 개방 영역 (118) 은 챔버 (101) 외부의 주변 대기 (ambient atmospheric) 조건들, 예를 들어, 공기 조성, 온도, 압력, 및 상대 습도에 노출된다. 또한, RF 신호 공급 로드 (rod) (137) 가 캔틸레버 암 어셈블리 (115) 의 내부에 포지셔닝된다. 더 구체적으로, RF 신호 공급 로드 (137) 는 RF 신호 공급 로드 (137) 가 튜브 (139) 의 내측 벽으로부터 이격되도록 전기적으로 전도성인 튜브 (139) 의 내부에 포지셔닝된다. RF 신호 공급 로드 (137) 및 튜브 (139) 의 사이즈들은 가변할 수도 있다. 튜브 (139) 의 내측 벽과 RF 신호 공급 로드 (137) 사이의 튜브 (139) 의 내부 영역은 튜브 (139) 의 전체 길이를 따라 공기에 의해 점유된다. Cantilever arm assembly 115 has an open area 118 through which various devices, wires, cables and tubes are routed to support the operations of system 100. The open area 118 in the cantilever arm assembly is exposed to ambient atmospheric conditions external to the chamber 101, such as air composition, temperature, pressure, and relative humidity. Also, an RF signal supply rod 137 is positioned inside the cantilever arm assembly 115. More specifically, the RF signal supply rod 137 is positioned inside the electrically conductive tube 139 such that the RF signal supply rod 137 is spaced from the inner wall of the tube 139 . The sizes of the RF signal supply rod 137 and tube 139 may vary. The inner region of the tube 139 between the inner wall of the tube 139 and the RF signal supply rod 137 is occupied by air along the entire length of the tube 139.

일부 실시 예들에서, RF 신호 공급 로드 (137) 는 튜브 (139) 의 길이를 따라, RF 신호 공급 로드 (137) 와 튜브 (139) 의 내측 벽 사이에 공기의 실질적으로 균일한 방사상 두께가 존재하도록 튜브 (139) 내에 실질적으로 센터링된다 (center). 그러나, 일부 실시 예들에서, RF 신호 공급 로드 (137) 는 튜브 (139) 내에 센터링되지 않지만, 튜브 (139) 내의 에어 갭은 튜브 (139) 의 길이를 따라, RF 신호 공급 로드 (137) 와 튜브 (139) 의 내측 벽 사이의 모든 위치들에 존재한다. RF 신호 공급 로드 (137) 의 전달 단부는 RF 신호 공급 샤프트 (shaft) (141) 의 하부 단부에 전기적으로 그리고 물리적으로 연결된다. 일부 실시 예들에서, RF 신호 공급 로드 (137) 의 전달 단부는 RF 신호 공급 샤프트 (141) 의 하부 단부에 볼트로 고정된다 (bolt). RF 신호 공급 샤프트 (141) 의 상부 단부는 설비 플레이트 (111) 의 하단부에 전기적으로 그리고 물리적으로 연결된다. 일부 실시 예들에서, RF 신호 공급 샤프트 (141) 의 상부 단부는 설비 플레이트 (111) 의 하단부에 볼트로 고정된다. 일부 실시 예들에서, RF 신호 공급 로드 (137) 및 RF 신호 공급 샤프트 (141) 모두는 구리로 형성된다. 일부 실시 예들에서, RF 신호 공급 로드 (137) 는 구리, 또는 알루미늄, 또는 양극 산화된 (anodize) 알루미늄으로 형성된다. 일부 실시 예들에서, RF 신호 공급 샤프트 (141) 는 구리, 또는 알루미늄, 또는 양극 산화된 알루미늄으로 형성된다. 다른 실시 예들에서, RF 신호 공급 로드 (137) 및/또는 RF 신호 공급 샤프트 (141) 는 RF 전기 신호들의 송신을 제공하는 또 다른 전기적으로 전도성인 재료로 형성된다. 일부 실시 예들에서, RF 신호 공급 로드 (137) 및/또는 RF 신호 공급 샤프트 (141) 는 RF 전기 신호들의 송신을 제공하는 (은 (silver) 또는 또 다른 전기적으로 전도성인 재료와 같은) 전기적으로 전도성인 재료로 코팅된다. 또한, 일부 실시 예들에서, RF 신호 공급 로드 (137) 는 중실형 (solid) 로드이다. 그러나, 다른 실시 예들에서, RF 신호 공급 로드 (137) 는 튜브이다. 또한, RF 신호 공급 로드 (137) 와 RF 신호 공급 샤프트 (141) 사이의 연결부를 둘러싸는 영역 (140) 이 공기에 의해 점유된다는 것이 이해되어야 한다. In some embodiments, the RF signal supply rod 137 is such that there is a substantially uniform radial thickness of air between the RF signal supply rod 137 and the inner wall of the tube 139 along the length of the tube 139. It is substantially centered within the tube 139. However, in some embodiments, the RF signal supply rod 137 is not centered within the tube 139, but the air gap within the tube 139 is, along the length of the tube 139, the RF signal supply rod 137 and the tube. (139) at all locations between the inner wall. The transmission end of the RF signal supply rod 137 is electrically and physically connected to the lower end of the RF signal supply shaft 141 . In some embodiments, the transmission end of the RF signal supply rod 137 is bolted to the lower end of the RF signal supply shaft 141. The upper end of the RF signal supply shaft 141 is electrically and physically connected to the lower end of the equipment plate 111 . In some embodiments, the upper end of the RF signal supply shaft 141 is bolted to the lower end of the equipment plate 111 . In some embodiments, both the RF signal supply rod 137 and the RF signal supply shaft 141 are formed of copper. In some embodiments, the RF signal supply rod 137 is formed of copper, or aluminum, or anodized aluminum. In some embodiments, the RF signal supply shaft 141 is formed of copper, or aluminum, or anodized aluminum. In other embodiments, the RF signal supply rod 137 and/or the RF signal supply shaft 141 are formed from another electrically conductive material that provides transmission of RF electrical signals. In some embodiments, the RF signal supply rod 137 and/or the RF signal supply shaft 141 is an electrically conductive material (such as silver or another electrically conductive material) that provides transmission of RF electrical signals. coated with a phosphorus material. Also, in some embodiments, the RF signal supply rod 137 is a solid rod. However, in other embodiments, the RF signal supply rod 137 is a tube. It should also be understood that the area 140 surrounding the connection between the RF signal supply rod 137 and the RF signal supply shaft 141 is occupied by air.

RF 신호 공급 로드 (137) 의 공급 단부는 임피던스 매칭 시스템 (143) 에 전기적으로 그리고 물리적으로 연결된다. 임피던스 매칭 시스템 (143) 은 제 1 RF 신호 생성기 (147) 및 제 2 RF 신호 생성기 (149) 에 연결된다. 임피던스 매칭 시스템 (143) 은 또한 하나 이상의 신호 전도체들 (144) 을 통해 제어 시스템 (120) 에 연결된다. 제 1 RF 신호 생성기 (147) 는 또한 하나 이상의 신호 전도체들 (148) 을 통해 제어 시스템 (120) 에 연결된다. 제 2 RF 신호 생성기 (149) 는 또한 하나 이상의 신호 전도체들 (150) 을 통해 제어 시스템 (120) 에 연결된다. 임피던스 매칭 시스템 (143) 은 RF 전력이 RF 신호 공급 로드 (137) 를 따라, RF 신호 공급 샤프트 (141) 를 따라, 설비 플레이트 (111) 를 통해, 전극 (109) 을 통해, 그리고 세라믹 층 (110) 위의 플라즈마 프로세싱 영역 (182) 내로 송신될 수 있도록, 임피던스 매칭을 제공하도록 사이징되고 (size) 연결된 인덕터들 및 커패시터들의 배열을 포함한다. 일부 실시 예들에서, 제 1 RF 신호 생성기 (147) 는 고 주파수 RF 신호 생성기이고, 그리고 제 2 RF 신호 생성기 (149) 는 저 주파수 RF 신호 생성기이다. 일부 실시 예들에서, 제 1 RF 신호 생성기 (147) 는 약 50 ㎒ (MegaHertz) 로부터 약 70 ㎒로 연장하는 범위 내, 또는 약 54 ㎒로부터 약 63 ㎒로 연장하는 범위 내, 또는 약 60 ㎒에서 RF 신호들을 생성한다. 일부 실시 예들에서, 제 1 RF 신호 생성기 (147) 는 약 5 ㎾ (kiloWatts) 로부터 약 25 ㎾로 연장하는 범위 내, 또는 약 10 ㎾로부터 약 20 ㎾로 연장하는 범위 내, 또는 약 15 ㎾로부터 약 20 ㎾로 연장하는 범위 내, 또는 약 10 ㎾, 또는 약 16 ㎾의 RF 전력을 공급한다. 일부 실시 예들에서, 제 2 RF 신호 생성기 (149) 는 약 50 ㎑ (kiloHertz) 로부터 약 500 ㎑로 연장하는 범위 내, 또는 약 330 ㎑로부터 약 440 ㎑로 연장하는 범위 내, 또는 약 400 ㎑에서 RF 신호들을 생성한다. 일부 실시 예들에서, 제 2 RF 신호 생성기 (149) 는 약 15 ㎾로부터 약 100 ㎾로 연장하는 범위 내, 또는 약 30 ㎾로부터 약 50 ㎾로 연장하는 범위 내, 또는 약 34 ㎾, 또는 약 50 ㎾의 RF 전력을 공급한다. 예시적인 실시 예에서, 제 1 RF 신호 생성기 (147) 는 약 60 ㎒의 주파수로 RF 신호들을 생성하도록 설정되고, 제 2 RF 신호 생성기 (149) 는 약 400 ㎑의 주파수로 RF 신호들을 생성하도록 설정된다. The supply end of the RF signal supply rod 137 is electrically and physically connected to the impedance matching system 143. The impedance matching system 143 is coupled to a first RF signal generator 147 and a second RF signal generator 149 . Impedance matching system 143 is also coupled to control system 120 via one or more signal conductors 144 . The first RF signal generator 147 is also coupled to the control system 120 via one or more signal conductors 148 . The second RF signal generator 149 is also coupled to the control system 120 via one or more signal conductors 150 . Impedance matching system 143 directs RF power along RF signal supply rod 137 , along RF signal supply shaft 141 , through fixture plate 111 , through electrode 109 , and ceramic layer 110 ) and an arrangement of inductors and capacitors sized and connected to provide impedance matching so that they can be transmitted into the plasma processing region 182 above. In some embodiments, the first RF signal generator 147 is a high frequency RF signal generator and the second RF signal generator 149 is a low frequency RF signal generator. In some embodiments, the first RF signal generator 147 generates an RF signal within a range extending from about 50 MHz (MegaHertz) to about 70 MHz, or within a range extending from about 54 MHz to about 63 MHz, or at about 60 MHz. generate signals. In some embodiments, the first RF signal generator 147 generates a signal within a range extending from about 5 kiloWatts (kiloWatts) to about 25 kW, or from about 10 kW to about 20 kW, or from about 15 kW to about 25 kW. Supply RF power within a range extending to 20 kW, or about 10 kW, or about 16 kW. In some embodiments, the second RF signal generator 149 generates an RF signal within a range extending from about 50 kHz (kiloHertz) to about 500 kHz, or within a range extending from about 330 kHz to about 440 kHz, or at about 400 kHz. generate signals. In some embodiments, the second RF signal generator 149 is in a range extending from about 15 kW to about 100 kW, or in a range extending from about 30 kW to about 50 kW, or about 34 kW, or about 50 kW. of RF power. In an exemplary embodiment, the first RF signal generator 147 is set to generate RF signals at a frequency of about 60 MHz and the second RF signal generator 149 is set to generate RF signals at a frequency of about 400 kHz. do.

커플링 링 (161) 은 전극 (109) 의 외측 방사상 주변부 둘레로 연장하도록 구성되고 포지셔닝된다. 일부 실시 예들에서, 커플링 링 (161) 은 세라믹 재료로 형성된다. 석영 링 (163) 은 커플링 링 (161) 및 세라믹 지지부 (113) 모두의 외측 방사상 주변부들 둘레로 연장하도록 구성되고 포지셔닝된다. 일부 실시 예들에서, 커플링 링 (161) 및 석영 링 (163) 은 석영 링 (163) 이 커플링 링 (161) 및 세라믹 지지부 (113) 모두의 둘레에 포지셔닝될 때 실질적으로 정렬된 상단 표면들을 갖도록 구성된다. 또한, 일부 실시 예들에서, 커플링 링 (161) 및 석영 링 (163) 의 실질적으로 정렬된 상단 표면들은 전극 (109) 의 상단 표면과 실질적으로 정렬되고, 상기 상단 표면은 세라믹 층 (110) 의 방사상 주변부 외부에 존재한다. 또한, 일부 실시 예들에서, 커버 링 (165) 은 석영 링 (163) 의 상단 표면의 외측 방사상 주변부 둘레로 연장하도록 구성되고 포지셔닝된다. 일부 실시 예들에서, 커버 링 (165) 은 석영으로 형성된다. 일부 실시 예들에서, 커버 링 (165) 은 석영 링 (163) 의 상단 표면 위로 수직으로 연장하도록 구성된다. 이러한 방식으로, 커버 링 (165) 은 에지 링 (167) 이 포지셔닝되는 주변 경계를 제공한다. Coupling ring 161 is configured and positioned to extend around an outer radial periphery of electrode 109 . In some embodiments, coupling ring 161 is formed of a ceramic material. The quartz ring 163 is configured and positioned to extend around the outer radial peripheries of both the coupling ring 161 and the ceramic support 113 . In some embodiments, coupling ring 161 and quartz ring 163 have substantially aligned top surfaces when quartz ring 163 is positioned around both coupling ring 161 and ceramic support 113. configured to have Also, in some embodiments, the substantially aligned top surfaces of the coupling ring 161 and the quartz ring 163 are substantially aligned with the top surface of the electrode 109, the top surface of the ceramic layer 110 present outside the radial periphery. Also, in some embodiments, cover ring 165 is configured and positioned to extend around an outer radial periphery of the top surface of quartz ring 163 . In some embodiments, cover ring 165 is formed of quartz. In some embodiments, cover ring 165 is configured to extend vertically above the top surface of quartz ring 163 . In this way, cover ring 165 provides a perimeter boundary around which edge ring 167 is positioned.

에지 링 (167) 은 웨이퍼 (W) 의 주변부 근방에서 프로세스 결과들의 개선을 제공하도록 웨이퍼 (W) 의 주변 에지를 넘어 방사상으로 외향으로 플라즈마 시스 (sheath) 의 연장을 용이하게 하도록 구성된다. 다양한 실시 예들에서, 에지 링 (167) 은 다른 재료들 중에서, 전도성 재료, 예컨대 결정질 실리콘, 다결정 실리콘 (polysilicon), 붕소 도핑된 단결정 실리콘, 알루미늄 옥사이드, 석영, 알루미늄 나이트라이드, 실리콘 나이트라이드, 실리콘 카바이드, 또는 알루미늄 옥사이드 층의 상단 상의 실리콘 카바이드 층, 또는 실리콘의 합금, 또는 이들의 조합으로 형성된다. 에지 링 (167) 은 환형 구조체, 예를 들어, 링 형상 구조체로서 형성된다는 것이 이해되어야 한다. 에지 링 (167) 은 플라즈마 프로세싱 영역 (182) 내에 형성된 플라즈마 (180) 의 이온들에 의해 손상되는 것으로부터 에지 링 (167) 아래에 놓인 컴포넌트들을 차폐하는 것을 포함하여 많은 기능들을 수행할 수 있다. 또한, 에지 링 (167) 은 웨이퍼 (W) 의 외측 주변 영역에서 그리고 웨이퍼 (W) 의 외측 주변 영역을 따라 플라즈마 (180) 의 균일성을 개선한다. Edge ring 167 is configured to facilitate extension of the plasma sheath radially outward beyond the peripheral edge of wafer W to provide improved process results near the periphery of wafer W. In various embodiments, edge ring 167 may be made of a conductive material such as crystalline silicon, polysilicon, boron doped monocrystalline silicon, aluminum oxide, quartz, aluminum nitride, silicon nitride, silicon carbide, among other materials. , or a layer of silicon carbide on top of a layer of aluminum oxide, or an alloy of silicon, or a combination thereof. It should be understood that the edge ring 167 is formed as an annular structure, for example a ring-shaped structure. Edge ring 167 can perform many functions, including shielding components underlying edge ring 167 from being damaged by ions of plasma 180 formed within plasma processing region 182 . Edge ring 167 also improves the uniformity of plasma 180 at and along the outer periphery of wafer W.

고정된 외측 지지 플랜지 (169) 가 캔틸레버 암 어셈블리 (115) 에 부착된다. 고정된 외측 지지 플랜지 (169) 는 세라믹 지지부 (113) 의 외측 수직 측면 표면 둘레, 그리고 석영 링 (163) 의 외측 수직 측면 표면 둘레, 그리고 커버 링 (165) 의 하부 외측 수직 측면 표면 둘레로 연장하도록 구성된다. 고정된 외측 지지 플랜지 (169) 는 세라믹 지지부 (113), 석영 링 (163), 및 커버 링 (165) 의 어셈블리를 둘러싸는 환형 형상을 갖는다. 고정된 외측 지지 플랜지 (169) 는 수직 부분 및 수평 부분을 포함하는 L-형상 수직 단면을 갖는다. 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분은 세라믹 지지부 (113) 의 외측 수직 측면 표면에 대고, 그리고 석영 링 (163) 의 외측 수직 측면 표면에 대고, 그리고 커버 링 (165) 의 하부 외측 수직 측면 표면에 대고 포지셔닝된 내측 수직 표면을 갖는다. 일부 실시 예들에서, 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분은 세라믹 지지부 (113) 의 외측 수직 측면 표면의 전체에 걸쳐, 그리고 석영 링 (163) 의 외측 수직 측면 표면에 걸쳐, 그리고 커버 링 (165) 의 하부 외측 수직 측면 표면에 걸쳐 연장한다. 일부 실시 예들에서, 커버 링 (165) 은 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분의 상단 표면 위로 방사상으로 외향으로 연장한다. 그리고, 일부 실시 예들에서, (고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분의 상단 표면 위에 위치된) 커버 링 (165) 의 상부 외측 수직 측면 표면은 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분의 외측 수직 표면에 실질적으로 수직으로 정렬된다. 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수평 부분은 캔틸레버 암 어셈블리 (115) 의 지지 표면 (114) 상에 포지셔닝되고 고정된다. 고정된 외측 지지 플랜지 (169) 는 전기적으로 전도성인 재료로 형성된다. 일부 실시 예들에서, 고정된 외측 지지 플랜지 (169) 는 알루미늄 또는 양극 산화된 알루미늄으로 형성된다. 그러나, 다른 실시 예들에서, 고정된 외측 지지 플랜지 (169) 는 구리 또는 스테인리스 스틸과 같은 또 다른 전기적으로 전도성인 재료로 형성될 수 있다. 일부 실시 예들에서, 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수평 부분은 캔틸레버 암 어셈블리 (115) 의 지지 표면 (114) 에 볼트로 고정된다. A fixed outer support flange 169 is attached to the cantilever arm assembly 115 . The fixed outer support flange 169 extends around the outer vertical side surface of the ceramic support 113, around the outer vertical side surface of the quartz ring 163, and around the lower outer vertical side surface of the cover ring 165. It consists of The fixed outer support flange 169 has an annular shape surrounding the assembly of the ceramic support 113 , the quartz ring 163 , and the cover ring 165 . The fixed outer support flange 169 has an L-shaped vertical cross section including a vertical portion and a horizontal portion. The vertical part of the L-shaped cross section of the fixed outer support flange 169 is against the outer vertical side surface of the ceramic support 113, and against the outer vertical side surface of the quartz ring 163, and the cover ring 165 has an inner vertical surface positioned against a lower outer vertical side surface of the In some embodiments, the vertical portion of the L-shaped cross section of the fixed outer support flange 169 spans the entirety of the outer vertical side surface of the ceramic support 113 and the outer vertical side surface of the quartz ring 163. , and extends over the lower outer vertical side surface of the cover ring 165 . In some embodiments, the cover ring 165 extends radially outwardly over the top surface of the vertical portion of the L-shaped cross section of the fixed outer support flange 169 . And, in some embodiments, the upper outer vertical side surface of the cover ring 165 (located above the top surface of the vertical portion of the L-shaped cross-section of the fixed outer support flange 169) is the fixed outer support flange 169 ) is aligned substantially perpendicular to the outer vertical surface of the vertical portion of the L-shaped cross section of . The horizontal part of the L-shaped cross section of the fixed outer support flange 169 is positioned and fixed on the support surface 114 of the cantilever arm assembly 115. The fixed outer support flange 169 is formed of an electrically conductive material. In some embodiments, the fixed outer support flange 169 is formed of aluminum or anodized aluminum. However, in other embodiments, the fixed outer support flange 169 may be formed from another electrically conductive material such as copper or stainless steel. In some embodiments, the horizontal portion of the L-shaped cross section of the fixed outer support flange 169 is bolted to the support surface 114 of the cantilever arm assembly 115.

관절형 (articulate) 외측 지지 플랜지 (171) 는 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수직 부분의 외측 수직 표면 (169D) 둘레로 연장하고, 그리고 커버 링 (165) 의 상부 외측 수직 측면 표면 둘레로 연장하도록 구성되고 포지셔닝된다. 관절형 외측 지지 플랜지 (171) 는 고정된 외측 지지 플랜지 (169) 의 L-형상 수직 단면의 수직 부분 및 커버 링 (165) 의 상부 외측 수직 측면 표면 모두를 둘러싸는 환형 형상을 갖는다. 관절형 외측 지지 플랜지 (171) 는 수직 부분 및 수평 부분을 포함하는 L-형상 수직 단면을 갖는다. 관절형 외측 지지 플랜지 (171) 의 L-형상 단면의 수직 부분은 고정된 외측 지지 플랜지 (169) 의 L-형상 수직 단면의 수직 부분의 외측 수직 측면 표면 및 커버 링 (165) 의 상부 외측 수직 측면 표면 모두에 근접하게 포지셔닝되고 모두로부터 이격된 내측 수직 표면을 갖는다. 이러한 방식으로, 관절형 외측 지지 플랜지 (171) 는 고정된 외측 지지 플랜지 (169) 의 L-형상 수직 단면의 수직 부분 및 커버 링 (165) 의 상부 외측 수직 측면 모두를 따라 수직 방향 (z-방향) 으로 이동 가능하다. 관절형 외측 지지 플랜지 (171) 는 전기적으로 전도성인 재료로 형성된다. 일부 실시 예들에서, 관절형 외측 지지 플랜지 (171) 는 알루미늄 또는 양극 산화된 알루미늄으로 형성된다. 그러나, 다른 실시 예들에서, 관절형 외측 지지 플랜지 (171) 는 구리 또는 스테인리스 스틸과 같은 또 다른 전기적으로 전도성인 재료로 형성될 수 있다. An articulate outer support flange 171 extends around the outer vertical surface 169D of the vertical portion of the L-shaped cross section of the fixed outer support flange 169, and the upper outer vertical of the cover ring 165. It is configured and positioned to extend around the side surface. The articulating outer support flange 171 has an annular shape surrounding both the vertical portion of the L-shaped vertical section of the fixed outer support flange 169 and the upper outer vertical side surface of the cover ring 165 . The articulating outer support flange 171 has an L-shaped vertical cross section including a vertical portion and a horizontal portion. The vertical portion of the L-shaped cross section of the articulated outer support flange 171 is the outer vertical side surface of the vertical portion of the L-shaped vertical section of the fixed outer support flange 169 and the upper outer vertical side surface of the cover ring 165 It has an inner vertical surface positioned proximate to both surfaces and spaced apart from both. In this way, the articulated outer support flange 171 is in the vertical direction (z-direction) along both the upper outer vertical side of the cover ring 165 and the vertical portion of the L-shaped vertical section of the fixed outer support flange 169. ) can be moved to Articulating outer support flange 171 is formed from an electrically conductive material. In some embodiments, the articulated outer support flange 171 is formed of aluminum or anodized aluminum. However, in other embodiments, the articulated outer support flange 171 may be formed from another electrically conductive material such as copper or stainless steel.

다수의 전기적으로 전도성인 스트랩들 (173) 이 관절형 외측 지지 플랜지 (171) 와 고정된 외측 지지 플랜지 (169) 사이에, 관절형 외측 지지 플랜지 (171) 및 고정된 외측 지지 플랜지 (169) 모두의 외측 방사상 주변부들 둘레에 연결된다. 예시적인 실시 예에서, 전기적으로 전도성인 스트랩들 (173) 은 전기적으로 전도성인 스트랩들 (173) 이 고정된 외측 지지 플랜지 (169) 로부터 외향으로 벤딩된다는 (bend) 점에서, "외향" 구성을 갖는 것으로 도시된다. 일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 은 스테인리스 스틸로 형성된다. 그러나, 다른 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 은 특히, 알루미늄 또는 구리와 같은 또 다른 전기적으로 전도성인 재료로 형성될 수 있다. A plurality of electrically conductive straps 173 are placed between the articulated outer support flange 171 and the fixed outer support flange 169, both of the articulated outer support flange 171 and the fixed outer support flange 169. connected around the outer radial peripheries of In an exemplary embodiment, the electrically conductive straps 173 have an “outward” configuration in that the electrically conductive straps 173 bend outward from the fixed outer support flange 169. is shown to have In some embodiments, electrically conductive straps 173 are formed of stainless steel. However, in other embodiments, the electrically conductive straps 173 may be formed from another electrically conductive material, such as aluminum or copper, among others.

일부 실시 예들에서, 48 개의 전기적으로 전도성인 스트랩들 (173) 은 관절형 외측 지지 플랜지 (171) 및 고정된 외측 지지 플랜지 (169) 의 외측 방사상 주변부들 둘레에 실질적으로 동일하게 이격된 방식으로 분포된다. 그러나, 전기적으로 전도성인 스트랩들 (173) 의 수는 상이한 실시 예들에서 가변할 수 있다는 것이 이해되어야 한다. 일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 의 수는 약 24 개로부터 약 80 개로 연장하는 범위 내, 또는 약 36 개로부터 약 60 개로 연장하는 범위 내, 또는 약 40 개로부터 약 56 개로 연장하는 범위 내이다. 일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 의 수는 24 개보다 더 적다. 일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 의 수는 80 개보다 더 많다. 전기적으로 전도성인 스트랩들 (173) 의 수는 플라즈마 프로세싱 영역 (182) 의 주변부 둘레의 RF 신호들을 위한 접지 리턴 경로들에 영향을 주기 때문에, 전기적으로 전도성인 스트랩들 (173) 의 수는 웨이퍼 (W) 에 걸친 프로세스 결과들의 균일성에 영향을 줄 수 있다. 또한, 전기적으로 전도성인 스트랩들 (173) 의 사이즈는 상이한 실시 예들에서 가변할 수 있다. In some embodiments, the 48 electrically conductive straps 173 are distributed in a substantially equally spaced manner around the outer radial peripheries of the articulated outer support flange 171 and the fixed outer support flange 169 do. However, it should be understood that the number of electrically conductive straps 173 can vary in different embodiments. In some embodiments, the number of electrically conductive straps 173 ranges from about 24 to about 80, or from about 36 to about 60, or from about 40 to about 56. within the scope of extension. In some embodiments, the number of electrically conductive straps 173 is less than twenty-four. In some embodiments, the number of electrically conductive straps 173 is greater than 80. Since the number of electrically conductive straps 173 affects the ground return paths for RF signals around the periphery of the plasma processing region 182, the number of electrically conductive straps 173 may affect the wafer ( W) can affect the uniformity of process results across . Also, the size of the electrically conductive straps 173 can vary in different embodiments.

일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 은 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수평 부분의 상단 표면에 클램프 링 (175) 을 고정함으로써 인가된 클램핑력에 의해 고정된 외측 지지 플랜지 (169) 에 연결된다. 일부 실시 예들에서, 클램프 링 (175) 은 고정된 외측 지지 플랜지 (169) 에 볼트로 고정된다. 일부 실시 예들에서, 클램프 링 (175) 을 고정된 외측 지지 플랜지 (169) 에 고정하는 볼트들은 전기적으로 전도성인 스트랩들 (173) 사이의 위치들에 포지셔닝된다. 그러나, 일부 실시 예들에서, 고정된 외측 지지 플랜지 (169) 에 클램프 링 (175) 을 고정하는 하나 이상의 볼트들은 전기적으로 전도성인 스트랩들 (173) 을 통해 연장하도록 포지셔닝될 수 있다. 일부 실시 예들에서, 클램프 링 (175) 은 고정된 외측 지지 플랜지 (169) 와 동일한 재료로 형성된다. 그러나, 다른 실시 예들에서, 클램프 링 (175) 및 고정된 외측 지지 플랜지 (169) 는 상이한 재료들로 형성될 수 있다. In some embodiments, the electrically conductive straps 173 are secured by a clamping force applied by fixing the clamp ring 175 to the top surface of the horizontal portion of the L-shaped cross section of the fixed outer support flange 169. connected to the outer support flange 169. In some embodiments, the clamp ring 175 is bolted to the fixed outer support flange 169. In some embodiments, bolts securing clamp ring 175 to fixed outer support flange 169 are positioned at locations between electrically conductive straps 173 . However, in some embodiments, one or more bolts securing clamp ring 175 to fixed outer support flange 169 may be positioned to extend through electrically conductive straps 173 . In some embodiments, clamp ring 175 is formed of the same material as fixed outer support flange 169 . However, in other embodiments, clamp ring 175 and fixed outer support flange 169 may be formed of different materials.

일부 실시 예들에서, 전기적으로 전도성인 스트랩들 (173) 은 관절형 외측 지지 플랜지 (171) 의 L-형상 단면의 수평 부분의 하단 표면에 클램프 링 (177) 을 고정함으로써 인가된 클램핑력에 의해 관절형 외측 지지 플랜지 (171) 에 연결된다. 대안적으로, 일부 실시 예들에서, 복수의 전기적으로 전도성인 스트랩들 (173) 각각의 제 1 단부 부분은 클램프 링 (177) 에 의해 관절형 외측 지지 플랜지 (171) 의 수평 부분의 상부 표면에 연결된다. 일부 실시 예들에서, 클램프 링 (177) 은 관절형 외측 지지 플랜지 (171) 에 볼트로 고정된다. 일부 실시 예들에서, 클램프 링 (177) 을 관절형 외측 지지 플랜지 (171) 에 고정하는 볼트들은 전기적으로 전도성인 스트랩들 (173) 사이의 위치들에 포지셔닝된다. 그러나, 일부 실시 예들에서, 클램프 링 (177) 을 관절형 외측 지지 플랜지 (171) 에 고정하는 하나 이상의 볼트들은 전기적으로 전도성인 스트랩들 (173) 을 통해 연장하도록 포지셔닝될 수 있다. 일부 실시 예들에서, 클램프 링 (177) 은 관절형 외측 지지 플랜지 (171) 와 동일한 재료로 형성된다. 그러나, 다른 실시 예들에서, 클램프 링 (177) 및 관절형 외측 지지 플랜지 (171) 는 상이한 재료들로 형성될 수 있다. In some embodiments, the electrically conductive straps 173 are articulated by a clamping force applied by securing the clamp ring 177 to the bottom surface of the horizontal portion of the L-shaped cross section of the articulated outer support flange 171. It is connected to the mold outer support flange 171. Alternatively, in some embodiments, a first end portion of each of the plurality of electrically conductive straps 173 is connected to an upper surface of a horizontal portion of the articulated outer support flange 171 by a clamp ring 177. do. In some embodiments, the clamp ring 177 is bolted to the articulated outer support flange 171 . In some embodiments, bolts securing clamp ring 177 to articulating outer support flange 171 are positioned at locations between electrically conductive straps 173 . However, in some embodiments, one or more bolts securing clamp ring 177 to articulating outer support flange 171 can be positioned to extend through electrically conductive straps 173 . In some embodiments, clamp ring 177 is formed from the same material as articulating outer support flange 171 . However, in other embodiments, clamp ring 177 and articulating outer support flange 171 may be formed of different materials.

지지 로드들 (201) 의 세트는 고정된 외측 지지 플랜지 (169) 의 L-형상 단면의 수평 부분 (169B) 을 통해 수직으로 연장하도록 캔틸레버 암 어셈블리 (115) 둘레에 포지셔닝된다. 지지 로드들 (201) 의 상부 단부는 관절형 외측 지지 플랜지 (171) 의 L-형상 단면의 수평 부분의 하단 표면과 인게이지하도록 (engage) 구성된다. 일부 실시 예들에서, 지지 로드들 (201) 각각의 하부 단부는 저항 메커니즘 (203) 과 인게이지된다. 저항 메커니즘 (203) 은 지지 로드 (201) 의 하향 운동에 저항할 대응하는 지지 로드 (201) 에 상향 힘을 제공하는 한편, 지지 로드 (201) 의 일부 하향 운동을 허용하도록 구성된다. 일부 실시 예들에서, 저항 메커니즘 (203) 은 대응하는 지지 로드 (201) 에 상향 힘을 제공하기 위한 스프링을 포함한다. 일부 실시 예들에서, 저항 메커니즘 (203) 은 대응하는 지지 로드 (201) 에 상향 힘을 제공하기 충분한 스프링 상수를 갖는 재료, 예를 들어, 스프링 및/또는 고무를 포함한다. 관절형 외측 지지 플랜지 (171) 가 지지 로드들 (201) 의 세트와 인게이지하도록 하향으로 이동함에 따라, 지지 로드들 (201) 의 세트 및 대응하는 저항 메커니즘들 (203) 은 관절형 외측 지지 플랜지 (171) 에 상향 힘을 제공한다는 것이 이해되어야 한다. 일부 실시 예들에서, 지지 로드들 (201) 의 세트는 3 개의 지지 로드들 (201) 및 대응하는 저항 메커니즘들 (203) 을 포함한다. 일부 실시 예들에서, 지지 로드들 (201) 은 전극 (109) 의 수직 중심선에 대해 실질적으로 동일한 방위각 간격을 갖도록 포지셔닝된다. 그러나, 다른 실시 예들에서, 지지 로드들 (201) 은 전극 (109) 의 수직 중심선에 대해 동일하지 않은 방위각 간격을 갖도록 포지셔닝된다. 또한, 일부 실시 예들에서, 3 개보다 더 많은 지지 로드들 (201) 및 대응하는 저항 메커니즘들 (203) 이 관절형 외측 지지 플랜지 (171) 를 지지하도록 제공된다. A set of support rods 201 are positioned around the cantilever arm assembly 115 to extend vertically through the horizontal portion 169B of the L-shaped cross section of the fixed outer support flange 169 . The upper ends of the support rods 201 are configured to engage with the bottom surface of the horizontal portion of the L-shaped cross section of the articulating outer support flange 171 . In some embodiments, the lower end of each of the support rods 201 is engaged with a resistance mechanism 203 . The resistance mechanism 203 is configured to allow some downward movement of the support rod 201 while providing an upward force to the corresponding support rod 201 that will resist the downward movement of the support rod 201 . In some embodiments, the resistance mechanism 203 includes a spring to provide an upward force to the corresponding support rod 201 . In some embodiments, the resistance mechanism 203 includes a material having a spring constant sufficient to provide an upward force to the corresponding support rod 201 , eg, a spring and/or rubber. As the articulated outer support flange 171 moves downward to engage the set of support rods 201, the set of support rods 201 and corresponding resistance mechanisms 203 move the articulated outer support flange It should be understood that 171 provides an upward force. In some embodiments, the set of support rods 201 includes three support rods 201 and corresponding resistance mechanisms 203 . In some embodiments, the support rods 201 are positioned to have substantially equal azimuthal spacing relative to the vertical centerline of the electrode 109 . However, in other embodiments, the support rods 201 are positioned to have unequal azimuthal spacing relative to the vertical centerline of the electrode 109 . Also, in some embodiments, more than three support rods 201 and corresponding resistance mechanisms 203 are provided to support the articulating outer support flange 171 .

계속해서 도 1을 참조하면, 플라즈마 프로세싱 시스템 (100) 은 전극 (109) 위에 포지셔닝된 C-슈라우드 부재 (185) 를 더 포함한다. C-슈라우드 부재 (185) 는 관절형 외측 지지 플랜지 (171) 와 인터페이싱하도록 구성된다. 구체적으로, 시일 (179) 이 관절형 외측 지지 플랜지 (171) 가 C-슈라우드 부재 (185) 를 향해 상향으로 이동될 때 C-슈라우드 부재 (185) 에 의해 인게이지되도록, 시일 (179) 은 관절형 외측 지지 플랜지 (171) 의 L-형상 단면의 수평 부분의 상단 표면 상에 배치된다. 일부 실시 예들에서, 시일 (179) 은 C-슈라우드 부재 (185) 와 관절형 외측 지지 플랜지 (171) 사이의 전기적 전도를 확립하는 것을 보조하도록 전기적으로 전도성이다. 일부 실시 예들에서, C-슈라우드 부재 (185) 는 폴리실리콘으로 형성된다. 그러나, 다른 실시 예들에서, C-슈라우드 부재 (185) 는 플라즈마 프로세싱 영역 (182) 내에서 형성될 프로세스들과 화학적으로 양립 가능하고, 충분한 기계적 강도를 갖는 또 다른 타입의 전기적으로 전도성인 재료로 형성된다. With continuing reference to FIG. 1 , the plasma processing system 100 further includes a C-shroud member 185 positioned over the electrode 109 . The C-shroud member 185 is configured to interface with the articulated outer support flange 171 . Specifically, the seal 179 is articulated such that the seal 179 is engaged by the C-shroud member 185 when the articulated outer support flange 171 is moved upwardly toward the C-shroud member 185. It is disposed on the top surface of the horizontal part of the L-shaped cross section of the molded outer support flange 171. In some embodiments, seal 179 is electrically conductive to help establish electrical conduction between C-shroud member 185 and articulated outer support flange 171 . In some embodiments, the C-shroud member 185 is formed of polysilicon. However, in other embodiments, the C-shroud member 185 is formed from another type of electrically conductive material that is chemically compatible with the processes to be formed within the plasma processing region 182 and has sufficient mechanical strength. do.

C-슈라우드는 플라즈마 프로세싱 영역 (182) 둘레로 연장하고 C-슈라우드 부재 (185) 내에 규정된 영역 내로 플라즈마 프로세싱 영역 (182) 볼륨의 방사상 연장을 제공하도록 구성된다. C-슈라우드 부재 (185) 는 하부 벽 (185A), 외측 수직 벽 (185B), 및 상부 벽 (185C) 을 포함한다. 일부 실시 예들에서, C-슈라우드 부재 (185) 의 외측 수직 벽 (185B) 및 상부 벽 (185C) 은 중실형, 천공되지 않은 (non-perforated) 부재들이고, 그리고 C-슈라우드 부재 (185) 의 하부 벽 (185A) 은 프로세스 가스들이 플라즈마 프로세싱 영역 (182) 내로부터 흐르는 다수의 벤트들 (186) 을 포함한다. 일부 실시 예들에서, 쓰로틀 (throttle) 부재 (196) 는 벤트들 (186) 을 통한 프로세스 가스의 플로우를 제어하도록 C-슈라우드 부재 (185) 의 벤트들 (186) 아래에 배치된다. 더 구체적으로, 일부 실시 예들에서, 쓰로틀 부재 (196) 는 벤트들 (186) 을 통한 프로세스 가스의 플로우를 제어하기 위해 C-슈라우드 부재 (185) 에 대해 z-방향으로 수직으로 위아래로 이동하도록 구성된다. 일부 실시 예들에서, 쓰로틀 부재 (196) 는 벤트들 (186) 과 인게이지하고 그리고/또는 벤트들 (186) 로 들어가도록 구성된다. The C-shroud extends around the plasma processing region 182 and is configured to provide a radial extension of the volume of the plasma processing region 182 into an area defined within the C-shroud member 185 . C-shroud member 185 includes a lower wall 185A, an outer vertical wall 185B, and an upper wall 185C. In some embodiments, the outer vertical wall 185B and the upper wall 185C of the C-shroud member 185 are solid, non-perforated members, and the lower part of the C-shroud member 185 The wall 185A includes a number of vents 186 through which process gases flow from within the plasma processing region 182 . In some embodiments, a throttle member 196 is disposed below the vents 186 of the C-shroud member 185 to control the flow of process gas through the vents 186 . More specifically, in some embodiments, the throttle member 196 is configured to move vertically up and down in the z-direction relative to the C-shroud member 185 to control the flow of process gas through the vents 186. do. In some embodiments, the throttle member 196 is configured to engage and/or enter the vents 186 .

C-슈라우드 부재 (185) 의 상부 벽 (185C) 은 상부 전극 (187A/187B) 을 지지하도록 구성된다. 일부 실시 예들에서, 상부 전극 (187A/187B) 은 내측 상부 전극 (187A) 및 외측 상부 전극 (187B) 을 포함한다. 대안적으로, 일부 실시 예들에서, 내측 상부 전극 (187A) 이 존재하고 외측 상부 전극 (187B) 은 존재하지 않고, 내측 상부 전극 (187A) 은 외측 상부 전극 (187B) 에 의해 점유될 위치를 커버하도록 방사상으로 연장한다. 일부 실시 예들에서, 내측 상부 전극 (187A) 은 단결정 실리콘으로 형성되고 외측 상부 전극 (187B) 은 폴리실리콘으로 형성된다. 그러나, 다른 실시 예들에서, 내측 상부 전극 (187A) 및 외측 상부 전극 (187B) 은 플라즈마 프로세싱 영역 (182) 내에서 수행될 프로세스들과 구조적으로, 화학적으로, 전기적으로, 그리고 기계적으로 양립 가능한 다른 재료들로 형성될 수 있다. 내측 상부 전극 (187A) 은 내측 상부 전극 (187A) 의 전체 수직 두께를 통해 연장하는 홀들로 규정된 다수의 쓰루포트들 (throughports) (197) 을 포함한다. 쓰루포트들 (197) 은 상부 전극 (187A/187B) 위의 플레넘 영역 (188) 으로부터 상부 전극 (187A/187B) 아래의 플라즈마 프로세싱 영역 (182) 으로 프로세스 가스(들)의 플로우를 제공하도록, x-y 평면에 대해 내측 상부 전극 (187A) 에 걸쳐 분포된다. Upper wall 185C of C-shroud member 185 is configured to support upper electrodes 187A/187B. In some embodiments, the upper electrode 187A/187B includes an inner upper electrode 187A and an outer upper electrode 187B. Alternatively, in some embodiments, the inner upper electrode 187A is present and the outer upper electrode 187B is not present, so that the inner upper electrode 187A covers the position to be occupied by the outer upper electrode 187B. extend radially. In some embodiments, the inner upper electrode 187A is formed of monocrystalline silicon and the outer upper electrode 187B is formed of polysilicon. However, in other embodiments, inner upper electrode 187A and outer upper electrode 187B may be other materials that are structurally, chemically, electrically, and mechanically compatible with the processes to be performed within plasma processing region 182. can be formed with The inner upper electrode 187A includes a number of throughports 197 defined as holes extending through the entire vertical thickness of the inner upper electrode 187A. the throughports 197 to provide flow of process gas(es) from the plenum region 188 above the upper electrode 187A/187B to the plasma processing region 182 below the upper electrode 187A/187B; distributed over the inner upper electrode 187A with respect to the x-y plane.

내측 상부 전극 (187A) 에 걸친 쓰루포트들 (197) 의 분포는 상이한 실시 예들에 대해 상이한 방식들로 구성될 수 있다는 것이 이해되어야 한다. 예를 들어, 내측 상부 전극 (187A) 내의 쓰루포트들 (197) 의 총 수 및/또는 내측 상부 전극 (187A) 내의 쓰루포트들 (197) 의 공간적 분포는 상이한 실시 예들 사이에서 가변할 수 있다. 또한, 쓰루포트들 (197) 의 직경은 상이한 실시 예들 사이에서 가변할 수 있다. 일반적으로, 쓰루포트들 (197) 의 직경을 플라즈마 프로세싱 영역 (182) 으로부터 쓰루포트들 (197) 내로 플라즈마 (180) 의 침입 (intrusion) 을 방지하기에 충분히 작은 사이즈로 감소시키는 것이 중요하다. 일부 실시 예들에서, 쓰루포트들 (197) 의 직경이 감소됨에 따라, 내측 상부 전극 (187A) 내 쓰루포트들 (197) 의 총 수는 프로세스 가스 플레넘 영역 (188) 으로부터 내측 상부 전극 (187A) 을 통해 플라즈마 프로세싱 영역 (182) 으로의 프로세스 가스(들)의 미리 규정된 전체 플로우 레이트를 유지하도록 증가된다. 또한, 일부 실시 예들에서, 상부 전극 (187A/187B) 은 기준 접지 전위에 전기적으로 접속된다. 그러나, 다른 실시 예들에서, 내측 상부 전극 (187A) 및/또는 외측 상부 전극 (187B) 은 대응하는 임피던스 매칭 회로에 의해 각각의 DC 전기 공급부 또는 각각의 RF 전력 공급부에 전기적으로 접속된다. It should be understood that the distribution of throughports 197 across the inner upper electrode 187A can be configured in different ways for different embodiments. For example, the total number of through ports 197 within the inner upper electrode 187A and/or the spatial distribution of the through ports 197 within the inner upper electrode 187A may vary between different embodiments. Also, the diameter of the throughports 197 can vary between different embodiments. In general, it is important to reduce the diameter of the throughports 197 to a size small enough to prevent intrusion of the plasma 180 into the throughports 197 from the plasma processing region 182. In some embodiments, as the diameter of the throughports 197 is reduced, the total number of throughports 197 in the inner upper electrode 187A increases from the process gas plenum region 188 to the inner upper electrode 187A. is increased to maintain a predefined overall flow rate of the process gas(es) into the plasma processing region 182 via . Also, in some embodiments, the upper electrodes 187A/187B are electrically connected to a reference ground potential. However, in other embodiments, the inner upper electrode 187A and/or the outer upper electrode 187B are electrically connected to a respective DC electrical supply or a respective RF power supply by a corresponding impedance matching circuit.

플레넘 영역 (188) 은 상부 부재 (189) 에 의해 규정된다. 하나 이상의 가스 공급 포트들 (192) 이 플레넘 영역 (188) 과 유체로 연통하도록 (in fluid communication) 챔버 (101) 및 상부 부재 (189) 를 통해 형성된다. 하나 이상의 가스 공급 포트들 (192) 은 프로세스 가스 공급 시스템 (191) 에 유체로 연통 (배관 연결) 된다. 프로세스 가스 공급 시스템 (191) 은 화살표 (193) 로 나타낸 바와 같이, 하나 이상의 가스 공급 포트들 (192) 을 통해 플레넘 영역 (188) 으로 하나 이상의 프로세스 가스(들)의 제어된 플로우를 제공하기 위해, 다른 디바이스들 중에서, 하나 이상의 프로세스 가스 공급부들, 하나 이상의 질량 유량 제어기(들), 하나 이상의 플로우 제어 밸브(들)를 포함한다. 일부 실시 예들에서, 프로세스 가스 공급 시스템 (191) 은 또한 프로세스 가스(들)의 온도를 제어하기 위한 하나 이상의 컴포넌트들을 포함한다. 프로세스 가스 공급 시스템 (191) 은 하나 이상의 신호 전도체들 (194) 을 통해 제어 시스템 (120) 에 연결된다. A plenum area 188 is defined by a top member 189 . One or more gas supply ports 192 are formed through the chamber 101 and upper member 189 in fluid communication with the plenum area 188 . One or more gas supply ports 192 are fluidly communicated (piping connected) to the process gas supply system 191 . Process gas supply system 191 is configured to provide a controlled flow of one or more process gas(es) through one or more gas supply ports 192 to plenum region 188, as indicated by arrow 193. , among other devices, one or more process gas supplies, one or more mass flow controller(s), one or more flow control valve(s). In some embodiments, process gas supply system 191 also includes one or more components for controlling the temperature of the process gas(es). Process gas supply system 191 is coupled to control system 120 via one or more signal conductors 194 .

프로세싱 갭 (g1) 은 세라믹 층 (110) 의 상단 표면과 내측 상부 전극 (187A) 의 하단 표면 사이에서 측정될 때 수직 (z-방향) 거리로서 규정된다. 프로세싱 갭 (g1) 의 사이즈는 캔틸레버 암 어셈블리 (115) 를 수직 방향 (z-방향) 으로 이동시킴으로써 조정될 수 있다. 캔틸레버 암 어셈블리 (115) 가 상향으로 이동함에 따라, 관절형 외측 지지 플랜지 (171) 는 결국 C-슈라우드 부재 (185) 의 하부 벽 (185A) 과 인게이지하고, 이 지점에서 지지 로드들 (201) 의 세트가 관절형 외측 지지 플랜지 (171) 와 인게이지하고 미리 규정된 프로세싱 갭 (g1) 사이즈가 달성될 때까지 캔틸레버 암 어셈블리 (115) 는 계속해서 상향으로 이동함에 따라 관절형 외측 지지 플랜지 (171) 는 고정된 외측 지지 플랜지 (169) 를 따라 이동한다. 이어서, 챔버로부터 웨이퍼 (W) 의 제거를 위한 이 운동을 역전시키기 위해, 관절형 외측 지지 플랜지 (171) 가 C-슈라우드 부재 (185) 의 하부 벽 (185A) 으로부터 멀어질 때까지 캔틸레버 암 어셈블리 (115) 가 하향으로 이동된다. 도 1은 플라즈마 프로세싱을 위해 세라믹 층 (110) 상에 웨이퍼 (W) 포지션을 갖는 폐쇄된 구성의 시스템 (100) 을 도시한다는 것이 이해되어야 한다. The processing gap g1 is defined as the vertical (z-direction) distance as measured between the top surface of the ceramic layer 110 and the bottom surface of the inner upper electrode 187A. The size of the processing gap g1 can be adjusted by moving the cantilever arm assembly 115 in the vertical direction (z-direction). As the cantilever arm assembly 115 moves upward, the articulated outer support flange 171 eventually engages the lower wall 185A of the C-shroud member 185, at which point the support rods 201 The set of articulated outer support flange 171 engages and the cantilever arm assembly 115 continues to move upward until a predefined processing gap g1 size is achieved. ) moves along the fixed outer support flange 169. Then, to reverse this motion for removal of the wafer W from the chamber, the cantilever arm assembly ( 115) is moved downward. It should be understood that FIG. 1 shows the system 100 in a closed configuration with a wafer (W) position on a ceramic layer 110 for plasma processing.

플라즈마 프로세싱 시스템 (100) 내에서 플라즈마 프로세싱 동작들 동안, 하나 이상의 프로세스 가스(들)는 프로세스 가스 공급 시스템 (191), 플레넘 영역 (188), 및 내측 상부 전극 내의 쓰루포트들 (197) 에 의해 플라즈마 프로세싱 영역 (182) 에 공급된다. 또한, RF 신호들은 제 1 RF 신호 생성기 (147) 및 제 2 RF 신호 생성기 (149), 임피던스 매칭 시스템 (143), RF 신호 공급 로드 (137), RF 신호 공급 샤프트 (141), 설비 플레이트 (111), 전극 (109), 및 세라믹 층 (110) 에 의해 플라즈마 프로세싱 영역 (182) 내로 송신된다. RF 신호들은 플라즈마 프로세싱 영역 (182) 내에서 플라즈마 (180) 로 프로세스 가스(들)를 변환한다. 플라즈마의 이온들 및/또는 반응성 구성 성분들 (constituents) 은 웨이퍼 (W) 상에 존재하는 특정한 재료(들)의 조성 및/또는 형상의 변화를 유발하도록 웨이퍼 (W) 상의 하나 이상의 재료들과 상호 작용한다. 플라즈마 프로세싱 영역 (182) 으로부터의 배기 가스들은 화살표들 (195) 로 나타낸 바와 같이, 배기 포트 (105) 에 인가된 흡입력의 영향 하에 C-슈라우드 부재 (185) 내의 벤트들 (186) 을 통해 그리고 챔버 (101) 내의 내부 영역 (103) 을 통해 배기 포트 (105) 로 흐른다. During plasma processing operations within the plasma processing system 100, one or more process gas(es) are supplied by the process gas supply system 191, the plenum region 188, and through-ports 197 in the inner upper electrode. supplied to the plasma processing region 182 . In addition, the RF signals are transmitted through the first RF signal generator 147 and the second RF signal generator 149, the impedance matching system 143, the RF signal supply rod 137, the RF signal supply shaft 141, the equipment plate 111 ), the electrode 109, and the ceramic layer 110 into the plasma processing region 182. The RF signals convert the process gas(es) into a plasma 180 within the plasma processing region 182 . The ions and/or reactive constituents of the plasma interact with one or more materials on the wafer (W) to cause a change in the composition and/or shape of the particular material(s) present on the wafer (W). It works. Exhaust gases from the plasma processing region 182 flow through the vents 186 in the C-shroud member 185 and into the chamber under the influence of the suction force applied to the exhaust port 105, as indicated by arrows 195. It flows through the inner region 103 in 101 to the exhaust port 105.

다양한 실시 예들에서, 전극 (109) 은 상이한 직경들을 갖도록 구성될 수 있다. 그러나, 일부 실시 예들에서, 에지 링 (167) 이 놓이는 전극 (109) 의 표면을 증가시키기 위해, 전극 (109) 의 직경이 연장된다. 일부 실시 예들에서, 전기적으로 전도성인 겔 (226) 은 에지 링 (167) 의 하단부와 전극 (109) 의 상단부 사이 그리고/또는 에지 링 (167) 의 하단부와 커플링 링 (161) 의 상단부 사이에 배치된다. 이들 실시 예들에서, 전극 (109) 의 증가된 직경은 전도성 겔이 에지 링 (167) 과 전극 (109) 사이에 배치되는 더 큰 표면적을 제공한다. In various embodiments, electrode 109 can be configured to have different diameters. However, in some embodiments, the diameter of electrode 109 is extended to increase the surface of electrode 109 on which edge ring 167 rests. In some embodiments, the electrically conductive gel 226 is between the lower end of the edge ring 167 and the upper end of the electrode 109 and/or between the lower end of the edge ring 167 and the upper end of the coupling ring 161. are placed In these embodiments, the increased diameter of electrode 109 provides a larger surface area for the conductive gel to be disposed between edge ring 167 and electrode 109 .

관절형 외측 지지 플랜지 (171), 전기적으로 전도성인 스트랩들 (173), 및 고정된 외측 지지 플랜지 (169) 의 조합은 전기적으로 기준 접지 전위에 있고, 그리고 집합적으로 전극 (109) 으로부터 세라믹 층 (110) 을 통해 플라즈마 프로세싱 영역 (182) 내로 송신된 RF 신호들을 위한 접지 리턴 경로를 형성한다는 것이 이해되어야 한다. 전극 (109) 의 주변부 둘레의 이 접지 리턴 경로의 방위각 균일성은 웨이퍼 (W) 상의 프로세스 결과들의 균일성에 영향을 줄 수 있다. 예를 들어, 일부 실시 예들에서, 웨이퍼 (W) 에 걸친 에칭 레이트의 균일성은 전극 (109) 의 주변부 둘레의 접지 리턴 경로의 방위각 균일성에 의해 영향을 받을 수 있다. 이를 위해, 전극 (109) 의 주변부 둘레의 전기적으로 전도성인 스트랩들 (173) 의 수, 구성 및 배열이 웨이퍼 (W) 에 걸친 프로세스 결과들의 균일성에 영향을 줄 수 있다는 것이 이해되어야 한다. The combination of the articulated outer support flange 171 , the electrically conductive straps 173 , and the fixed outer support flange 169 are electrically at a reference ground potential, and collectively the ceramic layer from the electrode 109 . It should be appreciated that via 110 forms a ground return path for RF signals transmitted into the plasma processing region 182 . The azimuthal uniformity of this ground return path around the periphery of electrode 109 can affect the uniformity of process results on wafer W. For example, in some embodiments, the uniformity of the etch rate across the wafer W can be affected by the azimuthal uniformity of the ground return path around the periphery of the electrode 109 . To this end, it should be understood that the number, configuration and arrangement of electrically conductive straps 173 around the periphery of electrode 109 can affect the uniformity of process results across wafer W.

다시 도 1을 참조하면, 튜닝가능한 에지 시스 (tunable edge sheath; TES) 시스템은 커플링 링 (161) 내에 배치된 (임베딩된 (embed)) TES 전극 (225) 을 포함하도록 구현된다. TES 시스템은 또한 TES 전극 (225) 과 물리적으로 그리고 전기적으로 접속된 다수의 TES RF 신호 공급 핀들 (223) 을 포함한다. TES RF 신호 공급 핀 (223) 각각은 세라믹 지지부 (113) 및 캔틸레버 암 어셈블리 (115) 구조체와 같은 주변 구조체들로부터 TES RF 신호 공급 핀 (223) 을 전기적으로 분리하도록 구성된 대응하는 절연체 피드스루 부재 (insulator feedthrough member) (231) 를 통해 연장한다. 일부 실시 예들에서, O-링들 (227 및 229) 은 절연체 피드스루 부재 (231) 의 내부 영역이 플라즈마 프로세싱 영역 (182) 내에 존재하는 임의의 재료들/가스들에 노출되지 않는 것을 보장하도록 배치된다. 일부 실시 예들에서, TES RF 신호 공급 핀들 (223) 은 특히 구리, 또는 알루미늄, 또는 양극 산화된 알루미늄으로 형성된다. Referring again to FIG. 1 , a tunable edge sheath (TES) system is implemented to include a TES electrode 225 disposed (embedded) within a coupling ring 161 . The TES system also includes a number of TES RF signal supply pins 223 physically and electrically connected with the TES electrode 225. Each of the TES RF signal supply pins 223 has a corresponding insulator feedthrough member configured to electrically isolate the TES RF signal supply pin 223 from surrounding structures such as the ceramic support 113 and the cantilever arm assembly 115 structure ( extends through the insulator feedthrough member (231). In some embodiments, O-rings 227 and 229 are positioned to ensure that the inner region of the insulator feedthrough member 231 is not exposed to any materials/gases present in the plasma processing region 182. . In some embodiments, the TES RF signal supply pins 223 are formed of copper, or aluminum, or anodized aluminum, among others.

TES RF 신호 공급 핀들 (223) 은 캔틸레버 암 어셈블리 (115) 내부의 개방 영역 (118) 내로 연장하고, TES RF 신호 공급 핀들 (223) 각각은 대응하는 TES RF 신호 필터 (221) 를 통해 TES RF 신호 공급 전도체 (219) 에 전기적으로 접속된다. 일부 실시 예들에서, 3 개의 TES RF 신호 공급 핀들 (223) 은 전극 (109) 의 중심선을 중심으로 실질적으로 동일하게 이격된 방위각 포지션들에서 TES 전극 (225) 과 물리적으로 그리고 전기적으로 접속하도록 포지셔닝된다. 그러나, 다른 실시 예들은 TES 전극 (225) 과 물리적 및 전기적 접속에서 3 개보다 더 많은 TES RF 신호 공급 핀들 (223) 을 가질 수 있다는 것이 이해되어야 한다. 또한, 일부 실시 예들은 TES 전극 (225) 과 물리적으로 그리고 전기적으로 접속된 하나 또는 두 개의 TES RF 신호 공급 핀들 (223) 을 가질 수 있다. TES RF 신호 공급 핀 (223) 각각은 대응하는 TES RF 신호 필터 (221) 에 전기적으로 접속되고, TES RF 신호 필터 (221) 각각은 TES RF 신호 공급 전도체 (219) 에 전기적으로 접속된다. 일부 실시 예들에서, TES RF 신호 필터 (221) 각각은 인덕터로서 구성된다. 예를 들어, 일부 실시 예들에서, TES RF 신호 필터 (221) 각각은 유전체 코어 구조체 둘레에 랩핑된 금속 코일과 같은 코일형 전도체로서 구성된다. 다양한 실시 예들에서, 금속 코일은 특히 고체 구리 로드, 구리 튜브, 알루미늄 로드, 또는 알루미늄 튜브로 형성될 수 있다. 또한, 일부 실시 예들에서, TES RF 신호 필터 (221) 각각은 유도성 구조체 및 용량성 구조체의 조합으로서 구성될 수 있다. 웨이퍼 (W) 에 걸친 플라즈마 프로세싱 결과 균일성을 개선하기 위해, TES RF 신호 필터들 (221) 각각은 실질적으로 동일한 구성을 갖는다. The TES RF signal supply pins 223 extend into the open area 118 inside the cantilever arm assembly 115, and each of the TES RF signal supply pins 223 passes the TES RF signal through a corresponding TES RF signal filter 221. It is electrically connected to the supply conductor 219. In some embodiments, the three TES RF signal supply pins 223 are positioned to physically and electrically connect with the TES electrode 225 at substantially equally spaced azimuthal positions about the centerline of the electrode 109. . However, it should be understood that other embodiments may have more than three TES RF signal supply pins 223 in physical and electrical connection with the TES electrode 225. Also, some embodiments may have one or two TES RF signal supply pins 223 physically and electrically connected with the TES electrode 225. Each of the TES RF signal supply pins 223 is electrically connected to a corresponding TES RF signal filter 221, and each of the TES RF signal filters 221 is electrically connected to a TES RF signal supply conductor 219. In some embodiments, each of the TES RF signal filters 221 is configured as an inductor. For example, in some embodiments, each of the TES RF signal filters 221 is configured as a coiled conductor, such as a metal coil wrapped around a dielectric core structure. In various embodiments, the metal coil may be formed of a solid copper rod, copper tube, aluminum rod, or aluminum tube, among others. Additionally, in some embodiments, each of the TES RF signal filters 221 may be constructed as a combination of an inductive structure and a capacitive structure. To improve plasma processing result uniformity across the wafer W, each of the TES RF signal filters 221 has substantially the same configuration.

일부 실시 예들에서, TES RF 신호 공급 전도체 (219) 는 TES RF 신호 공급 전도체 (219) 와 방위각으로 분산된 TES RF 신호 필터들 (221) 의 물리적 및 전기적 접속을 인에이블하도록 캔틸레버 암 어셈블리 (115) 내부의 개방 영역 (118) 둘레로 연장하도록, 링-형상 (환형-형상) 구조체로서 형성된다. 일부 실시 예들에서, TES RF 신호 공급 전도체 (219) 는 중실형 (비-튜브형 (non-tubular)) 구조체로서 형성된다. 대안적으로, 일부 실시 예들에서, TES RF 신호 공급 전도체 (219) 는 튜브형 구조체로서 형성된다. 일부 실시 예들에서, TES RF 신호 공급 전도체 (219) 는 특히 구리, 또는 알루미늄, 또는 양극 산화된 알루미늄으로 형성된다. In some embodiments, the TES RF signal supply conductor 219 is a cantilever arm assembly 115 to enable physical and electrical connection of the TES RF signal supply conductor 219 and the azimuthally distributed TES RF signal filters 221. It is formed as a ring-shaped (annular-shaped) structure so as to extend around the inner open area 118 . In some embodiments, the TES RF signal supply conductor 219 is formed as a solid (non-tubular) structure. Alternatively, in some embodiments, the TES RF signal supply conductor 219 is formed as a tubular structure. In some embodiments, the TES RF signal supply conductor 219 is formed of copper, or aluminum, or anodized aluminum, among others.

TES RF 신호 공급 전도체 (219) 는 TES RF 공급 케이블 (217) 에 전기적으로 접속된다. 또한, 커패시터 (218) 는 TES RF 신호 공급 전도체 (219) 와 기준 접지 전위, 예컨대 캔틸레버 암 어셈블리 (115) 의 구조체 사이에 연결된다. 더 구체적으로, 커패시터 (218) 는 TES RF 공급 케이블 (217) 및 TES RF 신호 공급 전도체 (219) 모두에 전기적으로 접속된 제 1 단자를 갖고, 커패시터 (218) 는 기준 접지 전위에 전기적으로 접속된 제 2 단자를 갖는다. 일부 실시 예들에서, 커패시터 (218) 는 가변 커패시터이다. 일부 실시 예들에서, 커패시터 (218) 는 고정 커패시터이다. 일부 실시 예들에서, 커패시터 (218) 는 약 10 피코 패럿 (picoFarad) 으로부터 약 100 피코 패럿으로 연장하는 범위 내의 커패시턴스를 갖도록 설정된다. TES RF 공급 케이블 (217) 은 TES 임피던스 매칭 시스템 (211) 에 연결된다. TES 임피던스 매칭 시스템 (211) 은 TES RF 신호 생성기 (213) 에 연결된다. TES RF 신호 생성기 (213) 에 의해 생성된 RF 신호들은 TES 임피던스 매칭 시스템 (211) 을 통해 TES RF 공급 케이블 (217) 로, 이어서 TES RF 신호 공급 전도체 (219) 로, 이어서 TES RF 신호 필터들 (221) 을 통해 TES RF 신호 공급 핀들 (223) 각각으로, 그리고 커플링 링 (161) 내의 TES 전극 (225) 으로 송신된다. 일부 실시 예들에서, TES RF 신호 생성기 (213) 는 약 50 ㎑로부터 약 27 ㎒로 연장하는 주파수 범위 내에서 RF 신호들을 생성하도록 구성되고 동작된다. 일부 실시 예들에서, TES RF 신호 생성기 (213) 는 약 50 W로부터 약 10 ㎾까지 연장하는 범위 내에서 RF 전력을 공급한다. TES RF 신호 생성기 (213) 는 또한 하나 이상의 신호 전도체들 (215) 을 통해 제어 시스템 (120) 에 연결된다. TES RF signal feed conductor 219 is electrically connected to TES RF feed cable 217. A capacitor 218 is also coupled between the TES RF signal supply conductor 219 and a reference ground potential, such as the structure of the cantilever arm assembly 115 . More specifically, the capacitor 218 has a first terminal electrically connected to both the TES RF supply cable 217 and the TES RF signal supply conductor 219, and the capacitor 218 is electrically connected to a reference ground potential. It has a second terminal. In some embodiments, capacitor 218 is a variable capacitor. In some embodiments, capacitor 218 is a fixed capacitor. In some embodiments, capacitor 218 is set to have a capacitance within a range extending from about 10 picofarads to about 100 picofarads. A TES RF supply cable 217 is connected to the TES impedance matching system 211. The TES impedance matching system 211 is coupled to the TES RF signal generator 213. The RF signals generated by the TES RF signal generator 213 pass through the TES impedance matching system 211 to the TES RF supply cable 217, then to the TES RF signal supply conductor 219, and then to the TES RF signal filters ( 221) to each of the TES RF signal supply pins 223 and to the TES electrode 225 in the coupling ring 161. In some embodiments, the TES RF signal generator 213 is configured and operated to generate RF signals within a frequency range extending from about 50 kHz to about 27 MHz. In some embodiments, the TES RF signal generator 213 supplies RF power within a range extending from about 50 W to about 10 kW. TES RF signal generator 213 is also coupled to control system 120 via one or more signal conductors 215 .

TES 임피던스 매칭 시스템 (211) 은 RF 전력이 TES RF 신호 생성기 (213) 로부터 TES RF 공급 케이블 (217) 을 따라 TES RF 신호 공급 전도체 (219) 를 따라, TES RF 신호 필터들 (221) 을 통해, 각각의 TES RF 신호 공급 핀들 (223) 을 통해, 커플링 링 (161) 내의 TES 전극 (225) 으로, 그리고 에지 링 (167) 위의 플라즈마 프로세싱 영역 (182) 내로 송신될 수 있도록 임피던스 매칭을 제공하기 위해 사이징되고 연결된 인덕터들 및 커패시터들의 배열을 포함한다. TES 임피던스 매칭 시스템 (211) 은 또한 하나 이상의 신호 도전체들 (214) 을 통해 제어 시스템 (120) 에 연결된다. The TES impedance matching system 211 converts RF power from the TES RF signal generator 213 along the TES RF supply cable 217 along the TES RF signal supply conductor 219, through the TES RF signal filters 221, Provides impedance matching so that it can be transmitted through each of the TES RF signal supply pins 223, to the TES electrode 225 in the coupling ring 161, and into the plasma processing region 182 above the edge ring 167 It includes an array of inductors and capacitors sized and connected to TES impedance matching system 211 is also coupled to control system 120 via one or more signal conductors 214 .

커플링 링 (161) 내에 배치된 (임베딩된) TES 전극 (225) 을 통해 RF 신호들/전력을 송신함으로써, TES 시스템은 웨이퍼 (W) 의 주변 에지 근방에서 플라즈마 (180) 의 특성들을 제어할 수 있다. 예를 들어, 일부 실시 예들에서, TES 시스템은 플라즈마 (180) 시스의 형상을 제어함으로써 그리고/또는 사이즈 (시스 두께의 증가 또는 시스 두께의 감소) 를 제어함으로써, 에지 링 (167) 근방의 플라즈마 (180) 시스 특성들을 제어하도록 동작된다. 또한, 일부 실시 예들에서, 에지 링 (167) 근방의 플라즈마 (180) 시스의 형상을 제어함으로써, 웨이퍼 (W) 위의 벌크 플라즈마 (180) 의 다양한 특성들을 제어하는 것이 가능하다. 또한, 일부 실시 예들에서, TES 시스템은 에지 링 (167) 근방의 플라즈마 (180) 의 밀도를 제어하도록 동작된다. 예를 들어, 일부 실시 예들에서, TES 시스템은 에지 링 (167) 근방에서 플라즈마 (180) 의 밀도를 상승시키거나 감소시키도록 동작된다. 또한, 일부 실시 예들에서, TES 시스템은 에지 링 (167) 상에 존재하는 바이어스 전압을 제어하도록 동작되고, 이는 결국 에지 링 (167) 근방의 플라즈마 (180) 내에서 이온들 및 다른 대전된 구성 성분들의 운동을 제어하고/영향을 준다. 예를 들어, 일부 실시 예들에서, TES 시스템은 웨이퍼 (W) 의 에지를 향해 플라즈마 (180) 로부터 보다 많은 이온들을 끌어 당기기 위해 에지 링 (167) 상에 존재하는 바이어스 전압을 제어하도록 동작된다. 그리고, 일부 실시 예들에서, TES 시스템은 웨이퍼 (W) 의 에지로부터 멀어지게 플라즈마 (180) 로부터 이온들을 밀어 내도록 에지 링 (167) 상에 존재하는 바이어스 전압을 제어하도록 동작된다. TES 시스템은 특히, 상기 언급된 것과 같은 다양한 상이한 기능들을 개별적으로 또는 조합하여 수행하도록 동작될 수 있다는 것이 이해되어야 한다. By transmitting RF signals/power through a TES electrode 225 disposed (embedded) in the coupling ring 161, the TES system can control the characteristics of the plasma 180 near the peripheral edge of the wafer W. can For example, in some embodiments, the TES system may control the shape and/or size (increase or decrease of sheath thickness) of the plasma 180 sheath to reduce the plasma near the edge ring 167 ( 180) is operated to control cis characteristics. Also, in some embodiments, by controlling the shape of the sheath of the plasma 180 near the edge ring 167, it is possible to control various characteristics of the bulk plasma 180 above the wafer W. Also, in some embodiments, the TES system is operated to control the density of the plasma 180 near the edge ring 167. For example, in some embodiments, the TES system is operated to increase or decrease the density of plasma 180 near edge ring 167 . Additionally, in some embodiments, the TES system is operated to control the bias voltage present on the edge ring 167, which in turn causes ions and other charged constituents within the plasma 180 near the edge ring 167 to control/influence their movement. For example, in some embodiments, the TES system is operated to control the bias voltage present on the edge ring 167 to attract more ions from the plasma 180 towards the edge of the wafer W. And, in some embodiments, the TES system is operated to control the bias voltage present on the edge ring 167 to push ions from the plasma 180 away from the edge of the wafer W. It should be understood that a TES system may be operated to perform a variety of different functions, individually or in combination, particularly as noted above.

일부 실시 예들에서, 커플링 링 (161) 은 특히 석영, 또는 세라믹, 또는 알루미나 (Al2O3), 또는 폴리머와 같은 유전체 재료로 형성된다. In some embodiments, the coupling ring 161 is formed of a dielectric material such as quartz, or ceramic, or alumina (Al 2 O 3 ), or a polymer, among others.

에지 링 (167) 의 하단 표면은 커플링 링 (161) 을 에지 링 (167) 에 열적으로 싱크하도록 (sink) 열적으로 그리고 전기적으로 전도성인 겔의 층을 통해 커플링 링 (161) 의 상부 표면에 커플링되는 부분을 갖는다. 또한, 에지 링 (167) 의 하단 표면은 열적으로 그리고 전기적으로 전도성인 겔 층을 통해 전극 (109) 의 상부 표면에 커플링되는 또 다른 부분을 갖는다. 열적 및 전기적으로 전도성인 겔의 예들은 특히 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 설폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머들 (copolymers), 셀룰로오스, 트리아세테이트들 및 실리콘을 포함한다. 일부 실시 예들에서, 열적으로 그리고 전기적으로 전도성인 겔은 양면 테이프로서 형성된다. 일부 실시 예들에서, 에지 링 (167) 은 세라믹 층 (110) 의 외경에 근접하도록 사이징된 내경을 갖는다. The bottom surface of the edge ring 167 is coupled to the top surface of the coupling ring 161 through a layer of thermally and electrically conductive gel to thermally sink the coupling ring 161 into the edge ring 167. It has a part that is coupled to. The bottom surface of edge ring 167 also has another portion coupled to the top surface of electrode 109 via a thermally and electrically conductive gel layer. Examples of thermally and electrically conductive gels include polyimide, polyketone, polyetherketone, polyether sulfone, polyethylene terephthalate, fluoroethylene propylene copolymers, cellulose, triacetates and silicones, among others. . In some embodiments, the thermally and electrically conductive gel is formed as a double sided tape. In some embodiments, edge ring 167 has an inner diameter sized to approximate the outer diameter of ceramic layer 110 .

다양한 실시 예들에서, TES 전극 (225) 은 특히 백금, 스틸, 알루미늄, 또는 구리와 같은 전기적으로 전도성인 재료로 형성된다. 동작 동안, TES 전극 (225) 과 에지 링 (167) 사이에 용량성 커플링이 발생하여, 에지 링 (167) 이 웨이퍼 (W) 의 외측 주변부 근방의 웨이퍼 (W) 의 프로세싱에 영향을 주도록 전기적으로 전력 공급된다 (power). In various embodiments, the TES electrode 225 is formed of an electrically conductive material such as platinum, steel, aluminum, or copper, among others. During operation, capacitive coupling occurs between the TES electrode 225 and the edge ring 167 so that the edge ring 167 affects processing of the wafer W near the outer periphery of the wafer W. is supplied with power.

일반적으로 말하면, 본 개시의 구현 예들은 챔버 외부에 포지셔닝된 적어도 하나의 자기 코일을 갖는 CCP 챔버를 제공한다. 일부 구현 예들에서, 단일 자기 코일 또는 복수의 자기 코일들이 챔버의 위에 또는 챔버의 상단에 포지셔닝된다. DC 전류는 자기장 (B-필드) 을 생성하도록 자기 코일에 인가된다. 이들 전류들로부터의 B-필드를 사용하여, 중심 불균일성에 대한 제어가 달성된다. 일부 구현 예들에서, 상이한 코일들의 조합을 사용하는 것은 전체 균일성에 대해 더 많은 제어를 인에이블하도록 상이한 자기장들을 제공한다. Generally speaking, implementations of the present disclosure provide a CCP chamber having at least one magnetic coil positioned outside the chamber. In some implementations, a single magnetic coil or a plurality of magnetic coils are positioned above the chamber or at the top of the chamber. A DC current is applied to the magnetic coil to create a magnetic field (B-field). Using the B-field from these currents, control over central non-uniformity is achieved. In some implementations, using a combination of different coils provides different magnetic fields to enable more control over overall uniformity.

표준 플라즈마 시스템들은 그 밀도들이 적어도 부분적으로 전자 밀도에 의해 그리고 또한 온도에 기초하여 제어되기 때문에, 양이온 및 음이온의 축적이 있는 불균일성들이 발생하기 쉽다. 이러한 불균일성들을 해결하기 위해, 본 개시의 구현 예들은 국부적인 대전된 종 축적을 최소화하고 그리고 이에 따라 균일성을 개선하도록 플라즈마에 대한 정적 B-필드의 인가를 고려한다. Standard plasma systems are prone to non-uniformities with accumulation of positive and negative ions because their densities are controlled at least in part by electron density and also based on temperature. To address these non-uniformities, implementations of the present disclosure contemplate application of a static B-field to the plasma to minimize local charged species accumulation and thus improve uniformity.

어떠한 특정한 동작 이론에 얽매이지 않고, 본 개시의 구현 예들에 따라, 플라즈마를 완전히 자화하지 (magnetize) 않도록, B-필드가 상대적으로 약하게 구성된다고 여겨진다. 그러나, B-필드에 민감한 전자들은 영향을 받는다. 따라서, B-필드는 전자들이 대략 필드 라인들을 따라 이동하도록 전자들의 확산의 방향을 변화시키도록 사용된다고 여겨진다. 이러한 방식으로, B-필드는 방전하는 중간에 있는 전자들의 양에 영향을 주고 제어하도록 활용될 수 있다. 플라즈마의 중심 부분에, 상단으로부터 하단으로 대략 수직 B-필드를 제공함으로써, 중간에 수집되는 경향이 있는 전자들은 전자들이 대략 B-필드 라인들을 따라 이동하도록 자화될 수 있다. 따라서, 상부 전자 및 하부 전자에 더 많은 손실들이 있을 것이고, 따라서 중앙 부분의 전자들의 양의 결과적인 감소가 있을 것이다. Without being bound by any particular theory of operation, it is believed that the B-field is configured relatively weakly, in accordance with implementations of the present disclosure, so as not to fully magnetize the plasma. However, electrons sensitive to the B-field are affected. Thus, it is believed that the B-field is used to change the direction of diffusion of electrons so that they travel approximately along the field lines. In this way, the B-field can be utilized to influence and control the amount of electrons in the middle of discharging. By providing an approximately vertical B-field from top to bottom in the central portion of the plasma, electrons that tend to collect in the middle can be magnetized such that the electrons travel approximately along the B-field lines. Thus, there will be more losses in the top and bottom electrons, and hence a consequent decrease in the amount of electrons in the central portion.

도 2a는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 단일 자기 코일을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다. 도시된 바와 같이, 단일 자기 코일 (200) 이 챔버 (101) 위에 배치된다. 자기 코일 (200) 은 형상이 실질적으로 원형이거나 링 형상 또는 환형 형상이라는 것이 인식될 것이다. 또한, 자기 코일 (200) 은 웨이퍼의 표면 평면에 평행한 평면을 따라 배치된다. 즉, 자기 코일의 권선들 (windings)/턴들은, 자기 코일 자체가 수평으로 배향되고, 웨이퍼의 중심을 통해 수직인 축을 중심으로 센터링되도록 실질적으로 웨이퍼의 평면에 평행한 수평 평면을 따른다. 일부 구현 예들에서, 자기 코일 (200) 은 300 ㎜ 웨이퍼를 프로세싱하도록 구성된 챔버에 대해 대략 15 내지 20 인치 (대략 38 내지 51 ㎝) 범위의 직경 (중심 대 중심 직경, 또는 내경, 또는 외경) 을 갖고; 일부 구현 예들에서, 직경은 대략 16 내지 18 인치 (대략 41 내지 46 ㎝) 의 범위이다. 2A conceptually illustrates a cross-section of a process chamber with a single magnetic coil for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure. As shown, a single magnetic coil 200 is disposed above the chamber 101 . It will be appreciated that the magnetic coil 200 is substantially circular or ring-shaped or annular in shape. Also, the magnetic coil 200 is disposed along a plane parallel to the surface plane of the wafer. That is, the windings/turns of the magnetic coil follow a horizontal plane substantially parallel to the plane of the wafer such that the magnetic coil itself is oriented horizontally and is centered about an axis perpendicular through the center of the wafer. In some implementations, the magnetic coil 200 has a diameter (center-to-center diameter, or inner diameter, or outer diameter) in the range of approximately 15 to 20 inches (approximately 38 to 51 cm) for a chamber configured to process 300 mm wafers, and ; In some implementations, the diameter ranges from approximately 16 to 18 inches (approximately 41 to 46 cm).

일부 구현 예들에서, 웨이퍼의 표면 레벨 위의 자기 코일 (200) 의 높이는 대략 3 내지 15 인치 (대략 8 내지 38 ㎝) 의 범위이고; 일부 구현 예들에서, 대략 5 내지 12 인치 (대략 13 내지 30 ㎝) 의 범위; 일부 구현 예들에서, 대략 7 내지 8 인치 (대략 18 내지 20 ㎝) 이다. In some implementations, the height of the magnetic coil 200 above the surface level of the wafer ranges from approximately 3 to 15 inches (approximately 8 to 38 cm); in some implementations, in the range of approximately 5 to 12 inches (approximately 13 to 30 cm); In some embodiments, approximately 7 to 8 inches (approximately 18 to 20 cm).

본 개시의 구현 예들에 따라, DC 전류는 챔버 (101) 내에서 정적 B-필드를 생성하도록 자기 코일 (200) 에 인가된다. According to implementations of the present disclosure, a DC current is applied to the magnetic coil 200 to create a static B-field within the chamber 101 .

도 2b는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 2 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다. 도시된 바와 같이, 제 1 자기 코일 (200) 및 제 2 자기 코일 (202) 은 챔버 (101) 위에 배치된 동심 코일들이다. 일부 구현 예들에서, 제 1 자기 코일 (200) 및 제 2 자기 코일 (202) 은 대략 동일 평면 상에 있다. 일부 구현 예들에서, 제 1 자기 코일 (200) 및 제 2 자기 코일 (202) 은 동일 평면 상에 있지 않고, 평행한 평면들에 포지셔닝되지만, 동일한 중심 축을 중심으로 동심이다. 일부 구현 예들에서, 제 1 자기 코일 (200) 은 도 2a에 대해 상기 기술된 바와 같은 직경을 갖는다. 2B conceptually illustrates a cross section of a process chamber with two magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure. As shown, the first magnetic coil 200 and the second magnetic coil 202 are concentric coils disposed above the chamber 101 . In some implementations, the first magnetic coil 200 and the second magnetic coil 202 are approximately coplanar. In some implementations, the first magnetic coil 200 and the second magnetic coil 202 are not coplanar, positioned in parallel planes, but concentric about the same central axis. In some implementations, the first magnetic coil 200 has a diameter as described above with respect to FIG. 2A.

일부 구현 예들에서, 제 2 자기 코일 (202) 은 300 ㎜ 웨이퍼를 프로세싱하도록 구성된 챔버에 대해 대략 20 내지 25 인치 (대략 51 내지 63 ㎝) 범위의 직경 (중심 대 중심 직경, 또는 내경, 또는 외경) 을 갖고; 일부 구현 예들에서, 제 2 자기 코일 (202) 의 직경은 대략 22 내지 24 인치 (대략 56 내지 61 ㎝) 의 범위이다. In some implementations, the second magnetic coil 202 has a diameter (center-to-center diameter, or inner diameter, or outer diameter) ranging from approximately 20 to 25 inches (approximately 51 to 63 cm) for a chamber configured to process 300 mm wafers. have; In some implementations, the diameter of the second magnetic coil 202 ranges from approximately 22 to 24 inches (approximately 56 to 61 cm).

본 개시의 구현 예들에 따라, DC 전류들은 챔버 (101) 내에서 정적 B-필드를 생성하도록 자기 코일들 (200 및 202) 에 인가된다. 다양한 구현 예들에서, 코일들 각각에 인가된 DC 전류들은 대략 동일하거나 상이할 수 있고, 동일한 방향 또는 반대 방향들에 있을 수 있다. According to implementation examples of the present disclosure, DC currents are applied to magnetic coils 200 and 202 to create a static B-field within chamber 101 . In various implementations, the DC currents applied to each of the coils can be approximately the same or different and can be in the same or opposite directions.

구체적으로 도시되지 않지만, 다른 구현 예들에서, 챔버 (101) 위에 배치된 부가적인 자기 코일들이 있을 수 있다는 것이 인식될 것이다. 예를 들어, 일부 구현 예들에서, 제 3 자기 코일이 제공되고, 또한 챔버 (101) 위에 배치되고, 제 1 자기 코일 (200) 보다 더 작은 직경을 갖는다. 일부 구현 예들에서, 이러한 제 3 자기 코일은 300 ㎜ 웨이퍼를 프로세싱하도록 구성된 챔버에 대해 대략 10 내지 15 인치 (대략 25 내지 38 ㎝) 범위의 직경을 갖고; 일부 구현 예들에서, 제 3 자기 코일은 대략 11 내지 13 인치 (대략 28 내지 33 ㎝) 의 범위의 직경을 갖는다. Although not specifically shown, it will be appreciated that in other implementations there may be additional magnetic coils disposed above chamber 101 . For example, in some implementations, a third magnetic coil is provided and is also disposed above the chamber 101 and has a smaller diameter than the first magnetic coil 200 . In some implementations, this third magnetic coil has a diameter ranging from approximately 10 to 15 inches (approximately 25 to 38 cm) for a chamber configured to process 300 mm wafers; In some implementations, the third magnetic coil has a diameter in the range of approximately 11 to 13 inches (approximately 28 to 33 cm).

일부 구현 예들에서, 제 1 자기 코일, 제 2 자기 코일 및 제 3 자기 코일은 대략 동일 평면 상에 있다. 일부 구현 예들에서, 제 1 자기 코일, 제 2 자기 코일 및 제 3 자기 코일은 동일 평면 상에 있지 않고, 평행한 평면들에 포지셔닝되지만, 동일한 중심 축을 중심으로 동심이다. 일부 구현 예들에서, 자기 코일들 중 2 개는 동일 평면 상에 있지만, 다른 자기 코일은 동일 평면 상에 있는 2 개 중 어느 하나와 동일 평면 상에 있지 않다. In some implementations, the first magnetic coil, the second magnetic coil and the third magnetic coil are approximately coplanar. In some implementations, the first magnetic coil, the second magnetic coil and the third magnetic coil are not coplanar and are positioned in parallel planes, but concentric about the same central axis. In some implementations, two of the magnetic coils are coplanar, but the other magnetic coil is not coplanar with either of the two being coplanar.

일부 구현 예들에서, 챔버 (101) 위에 배치된 부가적인 자기 코일들이 있을 수 있다. In some implementations, there may be additional magnetic coils disposed above chamber 101 .

도 2c는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 3 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다. 예시된 구현 예에 도시된 바와 같이, 2 개의 자기 코일들 (200 및 202) 이 챔버 (101) 위에 배치된다. 일부 구현 예들에서, 자기 코일들 (200 및 202) 은 도 2b의 구현 예와 유사하게 구성된다. 게다가, 하단 자기 코일 (204) 은 플라즈마 프로세싱 영역 (182) 아래에 있도록, 전극 (109) 아래에 배치된다. 일부 구현 예들에서, 하단 자기 코일 (204) 은 대략 10 내지 25 인치 (대략 25 내지 63 ㎝) 범위의 직경 (중심 대 중심 직경, 또는 내경, 또는 외경) 을 갖는다. 본 개시의 구현 예들에 따라, DC 전류는 챔버 (101) 내에서 정적 B-필드를 생성하도록, 단독으로 또는 다른 자기 코일들에 인가된 DC 전류와 조합하여 자기 코일 (204) 에 인가된다. 2C conceptually illustrates a cross-section of a process chamber with three magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure. As shown in the illustrated implementation, two magnetic coils 200 and 202 are disposed above the chamber 101 . In some implementations, magnetic coils 200 and 202 are configured similarly to the implementation of FIG. 2B. In addition, the lower magnetic coil 204 is disposed below the electrode 109, such that it is below the plasma processing region 182. In some implementations, the bottom magnetic coil 204 has a diameter (center-to-center diameter, or inner diameter, or outer diameter) ranging from approximately 10 to 25 inches (approximately 25 to 63 cm). According to implementations of the present disclosure, a DC current is applied to the magnetic coil 204 , alone or in combination with DC current applied to other magnetic coils, to create a static B-field within the chamber 101 .

단일 하단 자기 코일 (204) 이 예시된 구현 예에서 도시되고 기술되지만, 다른 구현 예들에서, 2 개 이상의 하단 자기 코일이 있을 수 있다. 복수의 하단 자기 코일들의 경우, 이러한 하단 자기 코일들은 서로 동일 평면 상에 있거나 동일 평면 상에 있지 않을 수 있다. Although a single bottom magnetic coil 204 is shown and described in the illustrated implementation, in other implementations there may be two or more bottom magnetic coils. In the case of a plurality of lower magnetic coils, these lower magnetic coils may or may not be coplanar with each other.

도 2d는, 본 개시의 구현 예들에 따른, 플라즈마 프로세싱 동안 자기장을 인가하기 위한 4 개의 자기 코일들을 갖는 프로세스 챔버의 단면을 개념적으로 예시한다. 예시된 구현 예에 도시된 바와 같이, 도 2c의 구성과 유사하게, 챔버 (101) 위에 배치된 2 개의 자기 코일들 (200 및 202), 및 전극 (109) 아래에 배치된 하단 자기 코일 (104) 이 있다. 게다가, 측면 자기 코일 (206) 은 플라즈마 프로세싱 영역 (182) 을 측방향으로 둘러싸도록 포지셔닝된다. 일부 구현 예들에서, 측면 자기 코일 (206) 은 C-슈라우드 부재 (185) 에 인접하게 포지셔닝된다. 일부 구현 예들에서, 측면 자기 코일 (206) 은 챔버 (101) 의 벽들 (101a) 에 인접하게 포지셔닝된다. 일부 구현 예들에서, 측면 자기 코일 (206) 은 플라즈마 프로세싱 영역 (182) 의 대략 적어도 일부의 높이에 있도록 수직으로 포지셔닝된다. 일부 구현 예들에서, 측면 자기 코일 (206) 은 300 ㎜ 웨이퍼를 프로세싱하도록 구성된 챔버에 대해 대략 25 내지 30 인치 (대략 63 내지 76 ㎝) 범위의 직경 (중심 대 중심 직경, 또는 내경, 또는 외경) 을 갖는다. 본 개시의 구현 예들에 따라, DC 전류는 챔버 (101) 내에서 정적 B-필드를 생성하도록, 단독으로 또는 다른 자기 코일들에 인가된 DC 전류들과 조합하여 자기 코일 (206) 에 인가된다. 2D conceptually illustrates a cross-section of a process chamber with four magnetic coils for applying a magnetic field during plasma processing, in accordance with implementations of the present disclosure. As shown in the illustrated implementation, similar to the configuration of FIG. 2C, two magnetic coils 200 and 202 disposed above the chamber 101, and a bottom magnetic coil 104 disposed below the electrode 109. ) is there. Additionally, the lateral magnetic coil 206 is positioned to laterally surround the plasma processing region 182 . In some implementations, the lateral magnetic coil 206 is positioned adjacent to the C-shroud member 185 . In some implementations, the lateral magnetic coil 206 is positioned adjacent to the walls 101a of the chamber 101 . In some implementations, the lateral magnetic coil 206 is positioned vertically to be at about the height of at least a portion of the plasma processing region 182 . In some implementations, the lateral magnetic coil 206 has a diameter (center-to-center diameter, or inner diameter, or outer diameter) in the range of approximately 25 to 30 inches (approximately 63 to 76 cm) for a chamber configured to process 300 mm wafers. have According to implementations of the present disclosure, a DC current is applied to the magnetic coil 206 , alone or in combination with DC currents applied to other magnetic coils, to create a static B-field within the chamber 101 .

단일 측면 자기 코일 (206) 이 예시된 구현 예에서 도시되고 기술되지만, 다른 구현 예들에서, 2 개 이상의 측면 자기 코일이 있을 수 있다. 일부 구현 예들에서, 복수의 측면 자기 코일들이 제공되고, 동일한 직경을 갖도록 구성되며 서로 수직으로 정렬된다. 일부 구현 예들에서, 복수의 측면 자기 코일들은 상이한 직경들을 가질 수 있으며 서로 동일 평면 상에 있거나 동일 평면 상에 있지 않을 수도 있다. Although a single lateral magnetic coil 206 is shown and described in the illustrated implementation, in other implementations there may be two or more lateral magnetic coils. In some implementations, a plurality of lateral magnetic coils are provided, configured to have the same diameter and vertically aligned with each other. In some implementations, the plurality of lateral magnetic coils can have different diameters and may or may not be coplanar with each other.

기술된 바와 같이, 다양한 구현 예들에서, 플라즈마 프로세싱 영역 (182) 위, 아래 및/또는 주변에 포지셔닝된 하나 이상의 자기 코일들이 있을 수 있다. 자기 코일 각각은 플라즈마 프로세싱 영역 (182) 내에 정적 B-필드를 생성하도록 DC 전류를 공급 받는다. 일반적으로 말하면, 일부 구현 예들에서, B-필드는 중심 에칭 레이트의 억제를 달성하도록 플라즈마 프로세싱 영역 (182) 의 중심 부분에서 실질적으로 z-방향으로 생성된다. 따라서, 미리 결정된 CCP 챔버가 웨이퍼의 중심 부분에서 에칭 레이트의 피크 (peak) 를 나타낸다면, B-필드는 중심 피크를 억제하도록 플라즈마에 인가될 수 있다. As described, in various implementations there may be one or more magnetic coils positioned above, below and/or around the plasma processing region 182 . Each of the magnetic coils is supplied with DC current to create a static B-field within the plasma processing region 182. Generally speaking, in some implementations, a B-field is created substantially in the z-direction at a central portion of the plasma processing region 182 to achieve suppression of the central etch rate. Thus, if the predetermined CCP chamber exhibits a peak of the etch rate at the central portion of the wafer, a B-field may be applied to the plasma to suppress the central peak.

일부 구현 예들에서, 본 개시의 구현 예들에 따른 자기 코일은 절연된 구리 와이어, 또는 자석 와이어로부터 형성된다. 일부 구현 예들에서, 자석 와이어는 대략 16 내지 10 AWG 자석 와이어이다. 일부 구현 예들에서, 자석 와이어의 코일링은 미리 결정된 자기 코일에 대해 대략 30 내지 60 턴들을 갖도록 구성된다. 일부 구현 예들에서, 코일링은 대략 40 내지 50 턴들을 갖도록 구성된다. 일부 구현 예들에서, 자기 코일은 대략 1 내지 3 ㎝의 단면 폭 또는 높이를 갖는다. In some implementations, a magnetic coil according to implementations of the present disclosure is formed from an insulated copper wire, or magnet wire. In some implementations, the magnet wire is approximately 16 to 10 AWG magnet wire. In some implementations, the coiling of the magnet wire is configured to have approximately 30 to 60 turns relative to the predetermined magnetic coil. In some implementations, coiling is configured to have approximately 40 to 50 turns. In some implementations, the magnetic coil has a cross-sectional width or height of approximately 1 to 3 cm.

일부 구현 예들에서, 본 개시의 구현 예들에 따른 자기 코일은 다른 컴포넌트들 또는 하드웨어로부터 자기 코일을 더 절연하도록, 절연 재료 (예를 들어, 플라스틱 절연체) 로부터 형성된 지지 구조체에 의해 지지된다. In some implementations, a magnetic coil according to implementations of the present disclosure is supported by a support structure formed from an insulating material (eg, plastic insulator) to further insulate the magnetic coil from other components or hardware.

플라즈마 프로세싱의 맥락에서 자기장들의 다른 인가들과 비교하여, 본 개시의 구현 예들에 따라 생성된 B-필드는 다른 컴포넌트들에 대한 최소 효과가 있도록 저 강도 필드이다. 그러나, 플라즈마 내의 전자들은 대전된 종의 감소된 국부화된 축적을 촉진하고 따라서 플라즈마 및 에칭 균일성을 개선하는 방식으로 B-필드에 의해 영향을 받는다. 일부 구현 예들에서, 생성된 B-필드의 강도는 (웨이퍼 레벨에서 그리고 대략 중심에서 측정된) 대략 10 Gauss 미만이도록; 일부 구현 예들에서, 대략 5 Gauss 미만이도록 구성된다. Compared to other applications of magnetic fields in the context of plasma processing, the B-field generated according to implementation examples of the present disclosure is a low intensity field such that it has minimal effect on other components. However, electrons in the plasma are affected by the B-field in a way that promotes reduced localized accumulation of charged species and thus improves plasma and etch uniformity. In some implementations, the intensity of the generated B-field is less than approximately 10 Gauss (measured at wafer level and approximately centered); In some implementations, it is configured to be less than approximately 5 Gauss.

본 개시의 구현 예들에 따라, 대응하는 저 전류 레벨들이 약한 자기장들을 생성하도록 인가된다는 것이 인식될 것이다. 일부 구현 예들에서, 미리 결정된 자기 코일에 인가된 전류는 대략 10 A (ampere) 이하; 일부 구현 예들에서, 대략 7 A 이하; 일부 구현 예들에서, 대략 5 A 이하; 일부 구현 예들에서, 대략 3 A 이하이다. It will be appreciated that, according to implementation examples of the present disclosure, corresponding low current levels are applied to create weak magnetic fields. In some implementations, the current applied to the predetermined magnetic coil is approximately 10 ampere (A) or less; in some implementations, about 7 A or less; in some implementations, about 5 A or less; In some implementations, approximately 3 A or less.

저 강도 자기장이 제공되지만, 챔버 벽들은 통상적으로 알루미늄 및/또는 실리콘-함유 재료로 구성되고, 따라서 B-필드는 챔버를 관통한다. Although a low-intensity magnetic field is provided, the chamber walls are typically constructed of aluminum and/or silicon-containing materials, so the B-field penetrates the chamber.

여전히, 저 강도 자기장조차도 주변 장치들을 간섭할 수 있다. 따라서, 일부 구현 예들에서, 자기장으로부터 인접한 디바이스들을 차폐하도록, 니켈-함유 재료로 구성된 커버가 제공된다. Still, even low-intensity magnetic fields can interfere with nearby devices. Thus, in some implementations, a cover composed of a nickel-containing material is provided to shield adjacent devices from the magnetic field.

플라즈마 프로세싱에서 자기장의 이전의 인가들은 필드의 방향이 웨이퍼에 평행한 훨씬 더 강한 자기장을 채용하였다. 이는 웨이퍼의 표면에 평행한 B-필드 라인들을 따라 전자 이동을 촉진하고, 전체적인 균일성을 제어하기 위한 방법으로서 수행되었다. 그러나, 이러한 인가들은 또한 디바이스 상에 전하 축적이 있고, 강한 B-필드의 상호 작용이 디바이스 손상을 생성하는 경향이 있기 때문에 디바이스 손상을 받기 쉽다. Previous applications of magnetic fields in plasma processing employed much stronger magnetic fields with the direction of the field parallel to the wafer. This was done as a way to promote electron movement along B-field lines parallel to the surface of the wafer and to control overall uniformity. However, these applications are also susceptible to device damage because there is charge accumulation on the device and the interaction of strong B-fields tends to create device damage.

그러나, 강한 자기장의 이들 이전의 사용들과 대조적으로, 본 개시의 구현 예들은 비교하여 매우 낮은 강도 B-필드를 채용한다. 자화된 강철 부품들에 의해 생성된 B-필드들, 심지어 매우 약한 전기장들조차 중심에서 균일성에 영향을 줄 수 있다는 것이 관찰되었다. 또한, 디바이스 사이즈들이 축소되고 불균일성에 대한 허용 오차가 감소됨에 따라 (예를 들어, 유의하게 (significantly) 1 % 미만), 이온 밀도의 변화들은 균일성에 상당한 영향을 줄 수 있다. 일반적으로, 본 개시의 구현 예들에 따라, 인가되는 B-필드의 강도가 클수록, 웨이퍼의 중심 부분에서 에칭 레이트의 억제가 더 커진다. However, in contrast to these previous uses of strong magnetic fields, implementations of the present disclosure employ comparatively very low intensity B-fields. It has been observed that B-fields generated by magnetized steel parts, even very weak electric fields, can affect the uniformity at the center. Also, as device sizes shrink and tolerance for non-uniformity decreases (eg, significantly less than 1%), changes in ion density can significantly affect uniformity. In general, according to implementation examples of the present disclosure, the greater the strength of the applied B-field, the greater the suppression of the etch rate at the central portion of the wafer.

도 3a는, 본 개시의 구현 예들에 따른, 상이한 인가된 B-필드들 하에서 연속파 플라즈마에 대한 에칭 레이트 결과들을 예시하는 그래프이다. 예시된 구현 예에서, 반경의 함수로서 에칭 레이트는 블랭킷 옥사이드 웨이퍼 상에서 수행된 연속파 플라즈마 프로세스에 대해 도시된다. 곡선 (300) 은 상기 구현 예들에서 기술된 바와 같이 자기 코일에 인가된 0 전류를 갖는 에칭 레이트 결과들을 도시하는 플롯이다. 곡선 (302) 은 자기 코일에 인가된 5 A 전류를 사용한 에칭 레이트 결과들을 도시하는 플롯이다. 곡선 (304) 은 자기 코일에 인가된 10 A 전류를 사용한 에칭 레이트 결과들을 도시하는 플롯이다. 결과들로부터 알 수 있는 바와 같이, 곡선 (300) 에 의해 도시된 바와 같이 자기 코일에 인가된 0 전류를 사용하여, 웨이퍼의 중심 부분에서 에칭 레이트의 상당한 피킹 (peaking) 이 있다. 그러나, 자기 코일의 전류가 5 A (곡선 (302)) 및 10 A (곡선 (304)) 로 상승함에 따라, 웨이퍼의 중심 부분의 에칭 레이트가 감소된다. 이 결과는 중심 에칭 레이트 피킹을 감소시키고, 그리고 이에 따라 에칭 레이트의 불균일성을 감소시키기 위해 B-필드를 증가시키는 효과를 입증한다. 3A is a graph illustrating etch rate results for a continuous wave plasma under different applied B-fields, in accordance with implementations of the present disclosure. In the illustrated implementation, the etch rate as a function of radius is shown for a continuous wave plasma process performed on a blanket oxide wafer. Curve 300 is a plot showing etch rate results with zero current applied to the magnetic coil as described in the implementation examples above. Curve 302 is a plot showing etch rate results using a 5 A current applied to the magnetic coil. Curve 304 is a plot showing etch rate results using a 10 A current applied to the magnetic coil. As can be seen from the results, with zero current applied to the magnetic coil as shown by curve 300, there is significant peaking of the etch rate at the central portion of the wafer. However, as the current of the magnetic coil rises to 5 A (curve 302) and 10 A (curve 304), the etching rate of the center portion of the wafer decreases. This result demonstrates the effect of increasing the B-field to reduce the center etch rate peaking and thus reduce the non-uniformity of the etch rate.

도 3b는, 도 3a의 구현 예에 따른, 인가된 B-필드에 의해 영향을 받는 에칭 레이트의 변화를 예시하는 그래프이다. 도 3b에 나타낸 바와 같이, 곡선 (306) 은 (곡선 (300) 에 의해 이전에 나타낸 바와 같이) 0 전류 조건에 대해 (곡선 (302) 에 의해 이전에 나타낸 바와 같이) 자기 코일에 인가된 5 A 전류를 사용하여 수행된 연속파 플라즈마 프로세스의 에칭 레이트의 변화 (또는 델타) 를 도시하는 플롯이다. 곡선 (308) 은 0 전류 조건에 대해 (곡선 (304) 에 의해 이전에 나타낸 바와 같이) 자기 코일에 인가된 10 A 전류를 사용하여 수행된 연속파 플라즈마 프로세스의 에칭 레이트의 변화를 도시하는 플롯이다. 3B is a graph illustrating a change in etch rate affected by an applied B-field, in accordance with the implementation of FIG. 3A. As shown in FIG. 3B, curve 306 is a 5 A applied to the magnetic coil (as previously indicated by curve 302) for a zero current condition (as previously indicated by curve 300). It is a plot showing the change (or delta) of the etch rate of a continuous wave plasma process performed using current. Curve 308 is a plot showing the change in etch rate of a continuous wave plasma process performed using a 10 A current applied to the magnetic coil (as previously indicated by curve 304) for a zero current condition.

에칭 레이트 델타 결과들에 의해 도시된 바와 같이, 본 개시의 구현 예들에 따른, B-필드의 인가는 주로 웨이퍼의 중심 부분에서 (예를 들어, 대략 50 ㎜ 반경 내에서) 에칭 레이트의 상당한 감소를 제공한다. 또한, 에칭 레이트의 감소는 더 강하게 인가된 B-필드에서 더 크다. As shown by the etch rate delta results, application of the B-field, in accordance with implementations of the present disclosure, results in a significant reduction in the etch rate primarily in the central portion of the wafer (eg, within an approximate radius of 50 mm). to provide. Also, the decrease in etch rate is greater with stronger applied B-fields.

도 4a는, 본 개시의 구현 예들에 따른, 상이한 인가된 B-필드들을 갖는 플라즈마 프로세스에 대한 웨이퍼 반경의 함수로서 에칭 레이트를 예시하는 그래프이다. 예시된 구현 예에서, 블랭킷 옥사이드 웨이퍼 상의 에칭 레이트는 펄싱된 플라즈마 프로세스에 대해 도시된다. 예시된 구현 예에서, 곡선 (400) 은, 본 개시의 구현 예들에 따라 상기 기술된 바와 같이, 자기 코일에 인가된 0 전류를 갖는 펄싱된 플라즈마 프로세스에 대한 웨이퍼 반경의 함수로서 에칭 레이트를 예시한다. 곡선 (402) 은 자기 코일에 인가된 5 A 전류를 사용하여 펄싱된 플라즈마 프로세스에 대한 웨이퍼 반경의 함수로서 에칭 레이트를 예시한다. 곡선 (404) 은 자기 코일에 인가된 10 A 전류를 사용하여 펄싱된 플라즈마 프로세스에 대한 웨이퍼 반경의 함수로서 에칭 레이트를 예시한다. 도시된 바와 같이, 0 전류 조건에서, (기존의 주변 필드들 이외의) 부가적인 B-필드가 인가되지 않도록, 웨이퍼의 중심을 향한 에칭 레이트에서 상당한 피크가 있다. 그러나, 전류가 5 A로 자기 코일에 인가됨에 따라, 따라서 에칭 레이트의 중심 피크가 감소된다. 그리고 전류가 10 A로 자기 코일에 인가됨에 따라, 중심 에칭 레이트가 더 감소된다. 이는, 인가된 B-필드가 증가함에 따라, 웨이퍼의 중심 부분의 에칭 레이트가 더 감소되어, 이에 따라 웨이퍼의 중심에 걸친 불균일성을 감소시킨다. 4A is a graph illustrating etch rate as a function of wafer radius for a plasma process with different applied B-fields, in accordance with implementations of the present disclosure. In the illustrated implementation, the etch rate on a blanket oxide wafer is shown for a pulsed plasma process. In the illustrated implementation, curve 400 illustrates the etch rate as a function of wafer radius for a pulsed plasma process with zero current applied to the magnetic coil, as described above according to implementation examples of the present disclosure. . Curve 402 illustrates the etch rate as a function of wafer radius for a pulsed plasma process using a 5 A current applied to the magnetic coil. Curve 404 illustrates the etch rate as a function of wafer radius for a pulsed plasma process using a 10 A current applied to the magnetic coil. As shown, in the zero current condition, there is a significant peak in the etch rate towards the center of the wafer such that no additional B-field (other than the existing peripheral fields) is applied. However, as the current is applied to the magnetic coil at 5 A, the central peak of the etching rate is therefore reduced. And as a current of 10 A is applied to the magnetic coil, the center etching rate is further reduced. This means that as the applied B-field increases, the etch rate in the central portion of the wafer is further reduced, thereby reducing non-uniformity across the center of the wafer.

도 4b는, 도 4a의 구현 예에 따른, 인가된 B-필드들의 결과로서 에칭 레이트의 변화를 도시하는 그래프이다. 곡선 (406) 은 0 전류 조건과 비교하여 자기 코일에 인가된 5 A 전류를 사용하여 펄싱된 플라즈마 프로세스에 대한 에칭 레이트 델타를 예시한다. 곡선 (408) 은 0 전류 조건과 비교하여 자기 코일에 인가된 10 A 전류를 사용하여 펄싱된 플라즈마 프로세스에 대한 에칭 레이트 델타를 예시한다. 알 수 있는 바와 같이, 인가된 B-필드의 효과는 주로 웨이퍼의 중심 부분에서 (예를 들어, 중심의 대략 50 ㎜ 반경 내에서) 에칭 레이트를 감소시킨다. FIG. 4B is a graph illustrating the change in etch rate as a result of applied B-fields, according to the implementation of FIG. 4A. Curve 406 illustrates the etch rate delta for a pulsed plasma process using 5 A current applied to the magnetic coil compared to the zero current condition. Curve 408 illustrates the etch rate delta for a pulsed plasma process using 10 A current applied to the magnetic coil compared to the zero current condition. As can be seen, the effect of the applied B-field reduces the etch rate primarily in the central portion of the wafer (eg, within an approximate 50 mm radius of the center).

도 5는, 본 개시의 구현 예들에 따른, 에칭된 피처들을 갖는 웨이퍼들의 부분들의 단면 이미지들을 도시하고, 피처 틸팅 (tilting) 에 대한 인가된 B-필드의 효과를 입증한다. 상부 이미지들은 인가된 B-필드 없이 프로세싱된 에칭된 피처들을 갖는 웨이퍼 부분들의 단면도들을 제공한다. 반면 하부 이미지들은 인가된 B-필드를 사용하여 프로세싱된 에칭된 피처들을 갖는 웨이퍼 부분들의 단면도들을 제공한다 (자기 코일로의 1 A 전류의 인가로부터 발생함). 알 수 있는 바와 같이, 인가된 B-필드 아래에 에칭된 피처들은 더 적은 틸팅을 나타내고 그리고 인가된 B-필드의 부재 시 에칭된 피처들보다 더 수직이다. B-필드를 인가하는 것은 인가된 B-필드가 웨이퍼에서 플라즈마 시스의 형상을 변화시키기 때문에 틸팅을 개선한다. 불균일한 플라즈마는 일부 반경들에서 틸팅을 발생시키고, 그리고 따라서 불균일성을 감소시키는 자기장을 플라즈마에 인가하는 단계는 또한 틸팅을 감소시킬 수 있고 그리고 더 수직적인 에칭을 인에이블할 수 있다. 5 shows cross-sectional images of portions of wafers with etched features, in accordance with implementation examples of the present disclosure, and demonstrates the effect of an applied B-field on feature tilting. The top images provide cross-sectional views of wafer portions with etched features processed without an applied B-field. Whereas the bottom images provide cross-sectional views of wafer portions having etched features processed using an applied B-field (resulting from the application of a 1 A current to the magnetic coil). As can be seen, features etched under an applied B-field exhibit less tilt and are more vertical than features etched in the absence of an applied B-field. Applying the B-field improves tilting because the applied B-field changes the shape of the plasma sheath at the wafer. A non-uniform plasma causes tilting at some radii, and thus applying a magnetic field to the plasma that reduces the non-uniformity can also reduce tilting and enable a more vertical etch.

일부 구현 예들에 따라, 챔버 위에 배치된 4 개의 자기 코일들을 갖는 시스템이 제공된다. 4 개의 자기 코일들은 실질적으로 동일 평면 상에 있고, 그리고 웨이퍼의 중심을 통해 실질적으로 수직인, 동일한 축을 중심으로 동심원이다. 4 개의 자기 코일들은 코일 "A", 코일 "B", 코일 "C" 및 코일 "D"로서 참조된다. 코일 A는 대략 12 인치 (대략 30 cm) 의 내경을 갖고; 코일 B는 대략 14 인치 (대략 36 cm) 의 내경을 갖고; 코일 C는 대략 17 인치 (대략 43 cm) 의 내경을 갖고; 그리고 코일 D는 대략 23 인치 (대략 58 ㎝) 의 내경을 갖는다. 어떤 코일들이 전류를 수신하는지, 미리 결정된 코일에 인가된 전류의 양, 및 미리 결정된 코일에 인가된 전류의 방향을 가변함으로써, 예를 들어 방사상 에칭 불균일성을 감소시키기 위해 튜닝될 (tune) 수 있는 다양한 자기 프로파일들이 달성될 수 있다. According to some implementations, a system with four magnetic coils disposed above a chamber is provided. The four magnetic coils are substantially coplanar and concentric about the same axis, substantially perpendicular through the center of the wafer. The four magnetic coils are referred to as Coil "A", Coil "B", Coil "C" and Coil "D". Coil A has an inner diameter of approximately 12 inches (approximately 30 cm); Coil B has an inner diameter of approximately 14 inches (approximately 36 cm); Coil C has an inside diameter of approximately 17 inches (approximately 43 cm); and coil D has an inner diameter of approximately 23 inches (approximately 58 cm). By varying which coils receive the current, the amount of current applied to the predetermined coil, and the direction of the current applied to the predetermined coil, for example, a variety of things that can be tuned to reduce radial etch non-uniformity. Magnetic profiles can be achieved.

도 6a는, 본 개시의 구현 예들에 따른, 다양한 단일 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다. 즉, 단일 코일 A, 코일 B, 코일 C 및 코일 D 중 하나의 코일에 전류들을 인가하고, 그리고 자기장의 강도를 Z-방향으로 Gauss로 측정했다. 6A shows the magnetic field at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) for a radial position along a 300 mm diameter wafer, for various single coil current configurations, in accordance with implementations of the present disclosure. exemplify strength. That is, currents were applied to one coil of single coil A, coil B, coil C, and coil D, and the strength of the magnetic field was measured in the Z-direction by Gauss.

양의 전류는 코일의 위에서 본 (overhead) 관점에서 고려될 때 반시계 방향으로 인가된 전류를 나타낸다. 따라서, 음의 전류는 시계 방향으로 인가된 전류를 나타낸다. A positive current represents an applied current in a counterclockwise direction when considered from an overhead view of the coil. Thus, a negative current represents a clockwise applied current.

예시된 구현 예에서, 그래프에 대한 범례 (legend) 는 다음의 형태이다: (코일#)(전류)_(코일#)(전류)_(코일#)(전류)_(코일#)(전류). 따라서, "A5_B0_C0_D0"로 나타낸 곡선은 코일 A에 인가된 5 A 전류 및 코일 B, 코일 C 및 코일 D에 인가된 0 전류들에 대한 결과로서 이해될 수 있다. "A-5_B0_C0_D0"로 나타낸 곡선은 코일 A에 인가된 -5 A 전류 및 코일 B, 코일 C 및 코일 D에 인가된 0 전류들에 대한 결과로서 이해될 수 있다. "A0_B5_C0_D0"로 나타낸 곡선은 코일 B에 인가된 5 A 전류 및 코일들 (A, C, 및 D), 에 인가된 0 전류들에 대한 결과 등으로서 이해될 수 있다. In the illustrated implementation, the legend for the graph is of the form: (Coil#)(Current)_(Coil#)(Current)_(Coil#)(Current)_(Coil#)(Current) . Thus, the curve indicated by “A5_B0_C0_D0” can be understood as the result for 5 A current applied to coil A and zero currents applied to coil B, coil C and coil D. The curve indicated by “A-5_B0_C0_D0” can be understood as the result for -5 A current applied to coil A and zero currents applied to coil B, coil C and coil D. The curve indicated by “A0_B5_C0_D0” can be understood as the result of a 5 A current applied to coil B and zero currents applied to coils A, C, and D, and the like.

도 6b는, 도 6a의 구현 예들에 따른, 다양한 단일 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방사상 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다. 이들 결과들로부터 알 수 있는 바와 같이, 웨이퍼 에지에서 방사상 B-필드 강도는 z-방향 B-필드 강도와 유사하다. 6B illustrates magnetic field strength (Gauss) at wafer level in the radial direction for a radial position along a 300 mm diameter wafer, for various single coil current configurations, in accordance with implementations of FIG. 6A. As can be seen from these results, the radial B-field intensity at the wafer edge is similar to the z-direction B-field intensity.

일부 구현 예들에서, 웨이퍼 레벨에서 자기장 강도는 자기 코일들의 레벨에서 자기장 강도의 대략 1/3이라는 것이 인식될 것이다. It will be appreciated that in some implementations, the magnetic field strength at the wafer level is approximately one third of the magnetic field strength at the level of the magnetic coils.

도 7a는, 본 개시의 구현 예들에 따른, 단일 코일 A (12"), 코일 B (14"), 코일 C (17"), 및 코일 D (23") 에 인가된 다양한 양의 전류들 (반시계) 에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션에 대한 열적 옥사이드 에칭 레이트를 예시하는 그래프이다. 7A shows various amounts of current ( is a graph illustrating thermal oxide etch rate versus radial position along a 300 mm wafer, relative to clockwise).

도 7b는, 본 개시의 구현 예들에 따른, 단일 코일 A (12"), 코일 B (14"), 코일 C (17"), 및 코일 D (23") 에 인가된 다양한 음의 전류들 (시계) 에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션에 대한 열적 옥사이드 에칭 레이트를 예시하는 그래프이다. 7B shows various negative currents ( A graph illustrating the thermal oxide etch rate for radial position along a 300 mm wafer, for field of view).

도 7a 및 도 7b의 결과들이 입증하는 바와 같이, 상이한 코일 사이즈들 및 전류들은 옥사이드 에칭 레이트들에 대해 상이한 영향들을 가질 수 있다. 동일한 코일에 대해, 반대 전류 방향들은 특히 더 낮은 전류 크기들에서 옥사이드 에칭 레이트들에 상이한 영향들을 가질 수 있다. 이는 코일 유도된 B-필드가 더 낮을 때, 주변 B-필드 오프셋이 더 상당한 효과를 갖는 것으로 이해될 수 있다. As the results of FIGS. 7A and 7B demonstrate, different coil sizes and currents can have different effects on oxide etch rates. For the same coil, opposite current directions can have different effects on oxide etch rates, especially at lower current magnitudes. This can be understood as the ambient B-field offset having a more significant effect when the coil induced B-field is lower.

도 8a는, 본 개시의 구현 예들에 따른, 다양한 2 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다. 즉, 코일 A, 코일 B, 코일 C 및 코일 D 중 두 개의 코일에 전류들을 인가하고, 그리고 자기장의 강도를 Z-방향으로 Gauss로 측정했다. FIG. 8A is a graph at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) for a radial position along a 300 mm diameter wafer, for various two coil current configurations, in accordance with implementations of the present disclosure. Illustrate the magnetic field strength. That is, currents were applied to two coils among Coil A, Coil B, Coil C, and Coil D, and the strength of the magnetic field was measured in the Z-direction by Gauss.

도 8b는, 도 8a의 구현 예들에 따른, 다양한 2 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다. 8B illustrates the magnetic field strength (Gauss) at wafer level in the direction relative to the radial position along a 300 mm diameter wafer, for various two coil current configurations, in accordance with the implementation examples of FIG. 8A.

이들 결과들이 동일한 방향 또는 상이한 방향을 갖는 상이한 코일 전류들을 결합함으로써, 웨이퍼 반경을 따라 상이한 B-필드 프로파일들을 생성하는 것이 가능하고, 그리고 이에 따라 플라즈마 및 에칭 프로파일들에 대한 상이한 효과들을 달성한다. By combining different coil currents whose results have the same or different directions, it is possible to create different B-field profiles along the wafer radius, and thus achieve different effects on the plasma and etch profiles.

도 9a는, 본 개시의 구현 예들에 따른, 다양한 3 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 z-방향 (수직 방향, 또는 웨이퍼 표면에 수직) 으로 웨이퍼 레벨에서의 자기장 강도를 예시한다. 즉, 코일 A, 코일 B, 코일 C 및 코일 D 중 세 개의 코일에 전류들을 인가하고, 그리고 자기장의 강도를 Z-방향으로 Gauss로 측정했다. FIG. 9A is a graph of a plot at wafer level in the z-direction (vertical direction, or perpendicular to the wafer surface) relative to a radial position along a 300 mm diameter wafer, for various three coil current configurations, in accordance with implementations of the present disclosure. Illustrate the magnetic field strength. That is, currents were applied to three coils among Coil A, Coil B, Coil C, and Coil D, and the strength of the magnetic field was measured in the Z-direction by Gauss.

도 9b는, 도 9a의 구현 예들에 따른, 다양한 3 개의 코일 전류 구성들에 대해, 300 ㎜ 직경 웨이퍼를 따른 방사상 포지션에 대한 방향으로 웨이퍼 레벨에서의 자기장 강도 (Gauss) 를 예시한다. FIG. 9B illustrates the magnetic field strength (Gauss) at wafer level in the direction relative to the radial position along a 300 mm diameter wafer, for various three coil current configurations, in accordance with the implementation examples of FIG. 9A .

이들 결과들이 동일한 방향 또는 상이한 방향을 갖는 상이한 코일 전류들을 결합함으로써, 웨이퍼 반경을 따라 상이한 B-필드 프로파일들을 생성하는 것이 가능하고, 그리고 이에 따라 플라즈마 및 에칭 프로파일들에 대한 상이한 효과들을 달성한다. By combining different coil currents whose results have the same direction or a different direction, it is possible to create different B-field profiles along the wafer radius, and thus achieve different effects on the plasma and etch profiles.

도 10a는, 본 개시의 구현 예들에 따른, 2 개의 코일 조합에 대해, 300 ㎜ 웨이퍼를 따른 방사상 포지션의 함수로서 에칭 레이트를 예시하는 그래프이다. 예시된 구현 예에서, 특정한 2 개의 코일 조합은 코일 A (12" 직경) 및 코일 D (23" 직경) 를 포함한다. 10A is a graph illustrating etch rate as a function of radial position along a 300 mm wafer, for a two coil combination, in accordance with implementations of the present disclosure. In the illustrated implementation, the particular two coil combination includes Coil A (12" diameter) and Coil D (23" diameter).

도 10b는 도 10a의 구현 예들에 따른, 0 전류 조건과 비교하여 에칭 레이트 델타를 예시하는 그래프이다. FIG. 10B is a graph illustrating etch rate delta compared to a zero current condition, in accordance with implementations of FIG. 10A .

도시된 바와 같이, 12" 코일과 23" 코일 사이의 상이한 전류 조합들은 에칭 레이트 균일성에 영향을 주는 조정성을 제공할 수 있다. As shown, different current combinations between the 12" coil and the 23" coil can provide tuneability that affects etch rate uniformity.

도 11은, 본 개시의 구현 예들에 따른, 복수의 자기 코일들로의 전력을 제어하기 위한 시스템의 개념적 개략도이다. 예시된 구현 예에서, 제어 시스템 (120) 은 몇몇의 DC 전력 공급부들 (1100, 1102, 1104, 및 1106) 에 동작 가능하게 연결되고 동작을 제어한다. DC 전력 공급부들은 자기 코일들 (1108, 1110, 1112, 및 1114) 에 DC 전류를 각각 인가한다. 제어 시스템 (120) 은 DC 전력 공급부들 중 미리 결정된 DC 전력 공급부에 의해 공급된 DC 전류의 극성 (예를 들어, 양 또는 음; 또는, 반시계 또는 시계) 및 DC 전류의 크기/강도 (예를 들어, 암페어 수 (Amperage)) 를 제어할 수 있다. 11 is a conceptual schematic diagram of a system for controlling power to a plurality of magnetic coils, in accordance with implementation examples of the present disclosure. In the illustrated implementation, control system 120 is operatively connected to and controls the operation of several DC power supplies 1100, 1102, 1104, and 1106. DC power supplies apply DC current to magnetic coils 1108, 1110, 1112, and 1114, respectively. Control system 120 determines the polarity (e.g., positive or negative; or counter-clockwise or clockwise) of the DC current supplied by a predetermined one of the DC power supplies and the magnitude/strength of the DC current (e.g. For example, you can control the amperage.

일부 구현 예들에서, 자기 코일들 (1108, 1110, 1112, 및 1114) 은 상기 기술된 코일들 (A, B, C, 및 D) 이다. 일부 구현 예들에서, 자기 코일들 (1108, 1110, 1112, 및 1114) 은 본 개시의 다양한 구현 예들에 따라 기술된 임의의 자기 코일들일 수 있다. 4 개의 자기 코일들 및 4 개의 대응하는 DC 전력 공급부들이 도시되지만, 다른 구현 예들에서 부가적인 자기 코일들 및 DC 전력 공급부들이 있을 수 있다는 것이 인식될 것이다. In some implementations, magnetic coils 1108, 1110, 1112, and 1114 are coils A, B, C, and D described above. In some implementations, magnetic coils 1108, 1110, 1112, and 1114 can be any of the magnetic coils described in accordance with various implementations of the present disclosure. Although four magnetic coils and four corresponding DC power supplies are shown, it will be appreciated that there may be additional magnetic coils and DC power supplies in other implementations.

일부 구현 예들에서, 임의의 미리 결정된 DC 전력 공급부에 대해, DC 전류 크기 및 그 극성의 조정을 위한 설정들을 제공함으로써, 오퍼레이터로 하여금 DC 전력 공급부의 파라미터들을 조정할 수 있게 하도록 사용자 인터페이스가 제공된다. In some implementations, a user interface is provided to allow an operator to adjust parameters of the DC power supply by providing settings for adjustment of the DC current magnitude and its polarity, for any predetermined DC power supply.

논의된 바와 같이, 일부 구현 예들에서, 플라즈마 프로세싱 동안 B-필드의 인가는 플라즈마 불균일성을 감소시키고, 그리고 이에 따라 에칭 불균일성을 감소시키도록 사용될 수 있다. 게다가, 일부 구현 예들에서, B-필드의 인가는 환경 자기장들로 인한 툴들 사이의 변동을 보상하기 위해, 챔버 매칭을 위해 사용될 수 있다. 주변 자기장은 툴에서 툴로 가변할 수 있고, 그리고 따라서 인가된 B-필드는 이러한 주변 환경 필드를 상쇄 (counter)/오프셋하도록 사용될 수 있고, 그리고 이에 따라 툴에서 툴로 일관성을 제공한다. As discussed, in some implementations, application of a B-field during plasma processing can be used to reduce plasma non-uniformity, and thus etch non-uniformity. Additionally, in some implementations, application of a B-field can be used for chamber matching, to compensate for variations between tools due to environmental magnetic fields. The ambient magnetic field can vary from tool to tool, and thus the applied B-field can be used to counter/offset this ambient field, thus providing consistency from tool to tool.

본 개시에 기술된 임의의 방법들은 제어 시스템 (120) 에 의해 자동으로 실행되도록 구현될 수 있다는 것이 인식될 것이다. It will be appreciated that any of the methods described in this disclosure may be implemented to be executed automatically by control system 120 .

도 12는 일부 실시 예들에 따른, 도 1의 제어 시스템 (120) 의 예시적인 개략도를 도시한다. 일부 실시 예들에서, 제어 시스템 (120) 은 플라즈마 프로세싱 시스템 (100) 에서 수행된 반도체 제조 프로세스를 제어하기 위한 프로세스 제어기로서 구성된다. 다양한 실시 예들에서, 제어 시스템 (120) 은 프로세서 (1401), 저장 하드웨어 유닛 (hardware unit; HU) (1403) (예를 들어, 메모리), 입력 HU (1405), 출력 HU (1407), 입력/출력 (I/O) 인터페이스 (1409), I/O 인터페이스 (1411), 네트워크 인터페이스 제어기 (network interface controller; NIC) (1413), 및 데이터 통신 버스 (1415) 를 포함한다. 프로세서 (1401), 저장 HU (1403), 입력 HU (1405), 출력 HU (1407), I/O 인터페이스 (1409), I/O 인터페이스 (1411), 및 NIC (1413) 는 데이터 통신 버스 (1415) 에 의해 서로 데이터 통신한다. 입력 HU (1405) 는 다수의 외부 디바이스들로부터 데이터 통신을 수신하도록 구성된다. 입력 HU (1405) 의 예들은 데이터 획득 시스템, 데이터 획득 카드, 등을 포함한다. 출력 HU (1407) 는 다수의 외부 디바이스들로 데이터를 송신하도록 구성된다. 출력 HU (1407) 의 일 예는 디바이스 제어기이다. NIC (1413) 의 예들은 네트워크 인터페이스 카드, 네트워크 어댑터, 등을 포함한다. I/O 인터페이스들 (1409 및 1411) 각각은 I/O 인터페이스에 커플링된 상이한 하드웨어 유닛들 사이의 호환성을 제공하도록 규정된다. 예를 들어, I/O 인터페이스 (1409) 는 입력 HU (1405) 로부터 수신된 신호를 데이터 통신 버스 (1415) 와 호환 가능한 형태, 진폭, 및/또는 속도로 변환하도록 규정될 수 있다. 또한, I/O 인터페이스 (1409) 는 데이터 통신 버스 (1415) 로부터 수신된 신호를 출력 HU (1407) 와 호환 가능한 형태, 진폭, 및/또는 속도로 변환하도록 규정될 수 있다. 다양한 동작들이 제어 시스템 (120) 의 프로세서 (1401) 에 의해 수행되는 것으로 본 명세서에 기술되지만, 일부 실시 예들에서 다양한 동작들이 제어 시스템 (120) 의 복수의 프로세서들에 의해 그리고/또는 제어 시스템 (120) 과 데이터 통신하는 데이터 내의 복수의 컴퓨팅 시스템들의 복수의 프로세서들에 의해 수행될 수 있다는 것이 이해되어야 한다. 12 shows an example schematic diagram of the control system 120 of FIG. 1, in accordance with some embodiments. In some embodiments, control system 120 is configured as a process controller for controlling a semiconductor manufacturing process performed in plasma processing system 100 . In various embodiments, the control system 120 may include a processor 1401, a storage hardware unit (HU) 1403 (e.g., memory), an input HU 1405, an output HU 1407, an input/ an output (I/O) interface 1409 , an I/O interface 1411 , a network interface controller (NIC) 1413 , and a data communication bus 1415 . The processor 1401, storage HU 1403, input HU 1405, output HU 1407, I/O interface 1409, I/O interface 1411, and NIC 1413 are connected to the data communication bus 1415 ) to communicate data with each other. Input HU 1405 is configured to receive data communications from multiple external devices. Examples of input HU 1405 include a data acquisition system, data acquisition card, and the like. Output HU 1407 is configured to transmit data to multiple external devices. One example of output HU 1407 is a device controller. Examples of NIC 1413 include network interface cards, network adapters, and the like. Each of the I/O interfaces 1409 and 1411 are defined to provide compatibility between different hardware units coupled to the I/O interface. For example, I/O interface 1409 can be configured to convert signals received from input HU 1405 into a form, amplitude, and/or rate compatible with data communication bus 1415. In addition, I/O interface 1409 can be defined to convert signals received from data communication bus 1415 into a form, amplitude, and/or rate compatible with output HU 1407 . Although various operations are described herein as being performed by processor 1401 of control system 120, in some embodiments various operations are performed by a plurality of processors of control system 120 and/or control system 120. ) can be performed by a plurality of processors of a plurality of computing systems in data communication with.

일부 실시 예들에서, 제어 시스템 (120) 은 센싱된 값들에 부분적으로 기초하여 다양한 웨이퍼 제조 시스템들의 디바이스들을 제어하도록 채용된다. 예를 들어, 제어 시스템 (120) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (1417), 필터 히터들 (1419), 웨이퍼 지지 구조체 히터들 (1421), 펌프들 (1423), 및 기타 디바이스들 (1425) 중 하나 이상을 제어할 수도 있다. 밸브들 (1417) 은 후면 가스 공급 시스템 (129), 프로세스 가스 공급 시스템 (191), 및 온도 제어 유체 순환 시스템 (125) 의 제어와 연관된 밸브들을 포함할 수 있다. 제어 시스템 (120) 은 예를 들면, 압력 마노미터들 (1427), 플로우 미터들 (1429), 온도 센서들 (1431), 및/또는 기타 센서들 (1433), 예를 들어, 전압 센서들, 전류 센서들, 등으로부터 센싱된 값들을 수신한다. 제어 시스템 (120) 은 또한 웨이퍼 (W) 상에서 플라즈마 프로세싱 동작들의 수행 동안 플라즈마 프로세싱 시스템 (100) 내 프로세스 조건들을 제어하도록 채용될 수도 있다. 예를 들어, 제어 시스템 (120) 은 프로세스 가스 공급 시스템 (191) 으로부터 플라즈마 프로세싱 영역 (182) 에 공급된 프로세스 가스(들)의 타입 및 양들을 제어할 수 있다. 또한, 제어 시스템 (120) 은 제 1 RF 신호 생성기 (147), 제 2 RF 신호 생성기 (149), 임피던스 매칭 시스템 (143), TES RF 신호 생성기 (213), 및 TES 임피던스 매칭 시스템 (211) 의 동작을 제어할 수 있다. 또한, 제어 시스템 (120) 은 클램핑 전극(들) (112) 을 위한 DC 공급부 (117) 의 동작을 제어할 수 있다. 제어 시스템 (120) 은 또한 리프트 핀들 (132) 을 위한 리프팅 디바이스들 (133) 의 동작 및 도어 (107) 의 동작을 제어할 수 있다. 제어 시스템 (120) 은 또한 후면 가스 공급 시스템 (129) 및 온도 제어 유체 순환 시스템 (125) 의 동작을 제어한다. 제어 시스템 (120) 은 또한 캔틸레버 암 어셈블리 (115) 의 수직 운동을 제어한다. 제어 시스템 (120) 은 또한 배기 포트 (105) 에서 흡입을 제어하는 펌프 및 쓰로틀 부재 (196) 의 동작을 제어한다. 제어 시스템 (120) 은 또한 TES 시스템 (1000) 의 홀드-다운 (hold-down) 로드들 (911) 의 홀드-다운 제어 메커니즘들 (913) 의 동작을 제어한다. 제어 시스템 (120) 은 또한 TES 시스템 (1000) 의 온도 프로브 (probe) 로부터 입력을 수신한다. 제어 시스템 (120) 은 플라즈마 프로세싱 시스템 (100) 내 임의의 기능을 프로그래밍된 및/또는 수동인 제어를 위해 제공하도록 구비된다는 것이 이해되어야 한다. In some embodiments, control system 120 is employed to control devices of various wafer fabrication systems based in part on sensed values. For example, control system 120 may control valves 1417, filter heaters 1419, wafer support structure heaters 1421, pumps 1423, and the like based on the sensed values and other control parameters. It may also control one or more of the other devices 1425. The valves 1417 can include valves associated with control of the rear gas supply system 129 , the process gas supply system 191 , and the temperature control fluid circulation system 125 . Control system 120 may include, for example, pressure manometers 1427, flow meters 1429, temperature sensors 1431, and/or other sensors 1433, such as voltage sensors, current Receive sensed values from sensors, etc. Control system 120 may also be employed to control process conditions within plasma processing system 100 during performance of plasma processing operations on wafer W. For example, control system 120 can control the type and amounts of process gas(s) supplied to plasma processing region 182 from process gas supply system 191 . In addition, the control system 120 includes the first RF signal generator 147, the second RF signal generator 149, the impedance matching system 143, the TES RF signal generator 213, and the TES impedance matching system 211. You can control the action. Control system 120 can also control the operation of DC supply 117 for clamping electrode(s) 112 . The control system 120 can also control the operation of the door 107 and the operation of the lifting devices 133 for the lift pins 132 . Control system 120 also controls the operation of rear gas supply system 129 and temperature controlled fluid circulation system 125 . Control system 120 also controls vertical motion of cantilever arm assembly 115 . The control system 120 also controls the operation of the pump and throttle member 196 which controls the intake at the exhaust port 105 . Control system 120 also controls the operation of hold-down control mechanisms 913 of hold-down rods 911 of TES system 1000 . Control system 120 also receives input from a temperature probe of TES system 1000. It should be appreciated that the control system 120 is equipped to provide for programmed and/or manual control of any function within the plasma processing system 100.

일부 실시 예들에서, 제어 시스템 (120) 은 프로세스 타이밍, 프로세스 가스 전달 시스템 온도, 및 압력 차들, 밸브 포지션들, 프로세스 가스들의 혼합물, 프로세스 가스 플로우 레이트, 후면 냉각 가스 플로우 레이트, 챔버 압력, 챔버 온도, 웨이퍼 지지 구조체 온도 (웨이퍼 온도), RF 전력 레벨들, RF 주파수들, RF 펄싱, 임피던스 매칭 시스템 (143) 설정들, 캔틸레버 암 어셈블리 포지션, 바이어스 전력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행하도록 구성된다. 제어 시스템 (120) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 일부 실시 예들에서, 제어 시스템 (120) 과 연관된 사용자 인터페이스가 있다. 사용자 인터페이스는 디스플레이 (1435) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (1437) 을 포함한다. In some embodiments, control system 120 controls process timing, process gas delivery system temperature and pressure differentials, valve positions, mixture of process gases, process gas flow rate, backside cooling gas flow rate, chamber pressure, chamber temperature, Instructions for controlling wafer support structure temperature (wafer temperature), RF power levels, RF frequencies, RF pulsing, impedance matching system 143 settings, cantilever arm assembly position, bias power, and other parameters of a particular process. configured to execute computer programs comprising sets. Other computer programs stored on memory devices associated with control system 120 may be employed in some embodiments. In some embodiments, there is a user interface associated with control system 120. The user interface may include a display 1435 (e.g., a display screen and/or graphical software displays of apparatus and/or process conditions), and user input devices such as pointing devices, keyboards, touch screens, microphones, etc. s (1437).

제어 시스템 (120) 의 동작을 지시하기 위한 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 프로세스 시퀀스로 다양한 웨이퍼 제조 프로세스들을 실행하도록 제어 시스템 (120) 의 동작을 지시하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어, 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서 (1401) 에 의해 실행된다. 제어 시스템 (120) 은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 후면 냉각 가스 조성 및 플로우 레이트들, 온도, 압력과 같은 프로세스 조건들, 특히, RF 전력 레벨들 및 RF 주파수들, 바이어스 전압, 냉각 가스/유체 압력, 및 챔버 벽 온도, 등과 같은 플라즈마 조건들과 관련된 다양한 프로세스 제어 파라미터들을 제어하도록 프로그래밍될 수 있다. 웨이퍼 제조 프로세스 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 센서들, 예컨대 압력 마노미터들 (1427) 및 온도 센서들 (1431) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 하나 이상의 프로세스 제어 파라미터들을 제어/조정하도록 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. The software for directing the operation of control system 120 may be designed or configured in many different ways. Computer programs for directing the operation of the control system 120 to execute the various wafer fabrication processes in process sequence may be written in any conventional computer readable programming language, such as assembly language, C, C++, Pascal, Fortran or other It can be written in languages. Compiled object code or script is executed by processor 1401 to perform the tasks identified in the program. The control system 120 controls process conditions such as, for example, filter pressure differentials, process gas composition and flow rates, backside cooling gas composition and flow rates, temperature, pressure, in particular RF power levels and RF frequencies. , bias voltage, cooling gas/fluid pressure, chamber wall temperature, and the like. Examples of sensors that may be monitored during the wafer fabrication process include, but are not limited to, mass flow control modules, pressure sensors, such as pressure manometers 1427 and temperature sensors 1431 . Appropriately programmed feedback and control algorithms may be used in conjunction with data from these sensors to control/adjust one or more process control parameters to maintain targeted process conditions.

일부 구현 예들에서, 제어 시스템 (120) 은 더 광범위한 (broader) 제조 제어 시스템의 일부이다. 이러한 제조 제어 시스템들은, 웨이퍼 프로세싱을 위한 프로세싱 툴들, 챔버들, 및/또는 플랫폼들, 및/또는 웨이퍼 페데스탈, 가스 플로우 시스템, 등과 같은 특정 프로세싱 컴포넌트들을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 제조 제어 시스템들은 웨이퍼의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 제어 시스템 (120) 은 제조 제어 시스템의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있다. 제어 시스템 (120) 은, 웨이퍼 프로세싱 요건들에 따라, 프로세싱 가스들의 전달, 후면 냉각 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다. In some implementations, control system 120 is part of a broader manufacturing control system. Such manufacturing control systems may include semiconductor processing equipment, including processing tools, chambers, and/or platforms for wafer processing, and/or specific processing components such as a wafer pedestal, gas flow system, and the like. These manufacturing control systems may be integrated with electronics to control their operation before, during and after processing of the wafer. Control system 120 may control various components or sub-portions of a manufacturing control system. The control system 120 controls delivery of processing gases, delivery of back side cooling gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, in accordance with wafer processing requirements. fields, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and motion settings, tools and other transfer tools and/or load locks connected to or interfaced with a particular system. It may be programmed to control any of the processes disclosed herein, including in and out wafer transfers.

일반적으로 말하면, 제어 시스템 (120) 은 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 웨이퍼 프로세싱 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), 주문형 집적 회로들 (application specific integrated circuits; ASICs) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 시스템 (100) 내에서 웨이퍼 (W) 상에서 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어 시스템 (120) 에 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다. Generally speaking, control system 120 includes various integrated circuits, logic that receive instructions, issue instructions, control operation, enable wafer processing operations, enable end point measurements, etc. , memory, and/or software. Integrated circuits are chips in the form of firmware that store program instructions, chips defined as digital signal processors (DSPs), application specific integrated circuits (ASICs) and/or program instructions (e.g. eg, software) that executes one or more microprocessors, or microcontrollers. Program instructions may be instructions communicated to control system 120 in the form of various individual settings (or program files) that define operating parameters for performing a particular process on wafer W within system 100. there is. In some embodiments, operating parameters may be set by process engineers to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. It may also be part of a recipe prescribed by

제어 시스템 (120) 은, 일부 구현 예들에서, 플라즈마 프로세싱 시스템 (100) 과 통합되거나, 시스템 (100) 에 커플링되거나, 그렇지 않으면 시스템 (100) 에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어 시스템 (120) 은 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템 (100) 으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템 (100) 에 프로세스 레시피들을 제공할 수 있다. Control system 120, in some implementations, is integrated with plasma processing system 100, coupled to system 100, otherwise networked to system 100, or coupled to a computer that is a combination thereof. or may be part of it. For example, control system 120 may reside in the “cloud” of all or part of a fab host computer system that may allow remote access of wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of current processing, or processes steps following current processing. Remote access to the system 100 may be enabled to set up or start a new process. In some examples, a remote computer (eg, server) can provide process recipes to system 100 over a network, which may include a local network or the Internet.

원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템 (100) 으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어 시스템 (120) 은 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터 형태의 인스트럭션들을 수신한다. 파라미터들은 플라즈마 프로세싱 시스템 (100) 내에서 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어 시스템 (120) 은 예를 들어, 함께 네트워킹되고 본 명세서에 기술된 프로세스들 및 제어들과 같은 공통 목적을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 플라즈마 프로세싱 시스템 (100) 상에 수행된 프로세스를 제어하도록 조합되는, 원격으로 (예컨대, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 플라즈마 프로세싱 시스템 (100) 상의 하나 이상의 집적 회로들일 것이다. The remote computer may include a user interface that enables entry or programming of parameters and/or settings that are then passed from the remote computer to system 100. In some examples, control system 120 receives instructions in the form of data that specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed within the plasma processing system 100. Accordingly, as described above, control system 120 may be distributed, for example, by including one or more separate controllers that are networked together and operate toward a common purpose, such as the processes and controls described herein. . One example of a distributed controller for these purposes is one or more integrated circuits located remotely (e.g., at the platform level or as part of a remote computer) that combine to control a process performed on the plasma processing system 100; It may be one or more integrated circuits on the plasma processing system 100 in communication.

비한정적으로, 제어 시스템 (120) 과 인터페이싱할 수 있는 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다. 상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어 시스템 (120) 은, 반도체 제작 팹 (factory) 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 팹 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다. Exemplary systems that may interface with control system 120 include, but are not limited to, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, module, bevel edge etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module , an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing that may be used in or associated with fabrication and/or fabrication of semiconductor wafers. systems may also be included. As discussed above, depending on the process step or steps to be performed by the tool, the control system 120 directs the container of wafers to/from the load ports and/or tool locations within the semiconductor fabrication fab. Other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, neighboring tools, tools located throughout the fab, main computer, another controller, used in material transfer to move the , or may communicate with one or more of the tools.

본 명세서에 기술된 실시 예들은 또한 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램 가능한 가전제품들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들과 함께 구현될 수도 있다. 본 명세서에 기술된 실시 예들은 또한 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들과 함께 구현될 수 있다. 본 명세서에 기술된 실시 예, 특히 제어 시스템 (120) 과 연관된 실시 예들은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리량들 (physical quantities) 의 물리적인 조작을 필요로 하는 것들이다. 실시 예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다. 실시 예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 또한 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있지만, 여전히 특수 목적을 위해 동작할 수 있다. 일부 실시 예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 네트워크를 통해 획득될 때, 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다. The embodiments described herein may also be implemented with various computer system configurations including portable hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, and the like. It could be. Embodiments described herein may also be implemented with distributed computing environments where tasks are performed by remote processing hardware units that are linked through a network. It should be understood that the embodiments described herein, particularly those associated with control system 120, may employ various computer-implemented operations involving data stored in computer systems. These operations are those requiring physical manipulations of physical quantities. Any operations described herein that form part of the embodiments are useful machine operations. Embodiments also relate to hardware units or devices for performing these operations. Devices may be specially configured for special purpose computers. When defined as a special purpose computer, the computer may also perform other processing, program execution or routines that are not part of the special purpose, but still operate for the special purpose. In some embodiments, operations may be processed by a general purpose computer that is selectively activated or configured by one or more computer programs stored in computer memory, cache, or obtained over a network. As data is obtained over a network, the data may be processed by other computers on the network, eg, a cloud of computing resources.

본 명세서에 기술된 다양한 실시 예들은 비일시적인 컴퓨터 판독 가능 매체 상의 컴퓨터 판독 가능 코드로서 인스턴스화된 (instantiated) 프로세스 제어 인스트럭션들을 통해 구현될 수 있다. 비일시적인 컴퓨터 판독 가능 매체는 데이터를 저장할 수 있는 임의의 데이터 저장 하드웨어 유닛이고, 이는 그 후에 컴퓨터 시스템에 의해 판독될 수 있다. 비일시적인 컴퓨터 판독 가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROM들, CD-R들 (CD-recordables), CD-RW들 (CD-rewritables), 자기 테이프들, 및 기타 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 비일시적인 컴퓨터 판독 가능 매체는 컴퓨터 판독 가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독 가능 유형의 매체를 포함할 수 있다. Various embodiments described herein may be implemented through process control instructions instantiated as computer readable code on a non-transitory computer readable medium. A non-transitory computer readable medium is any data storage hardware unit capable of storing data, which can thereafter be read by a computer system. Examples of non-transitory computer readable media include hard drives, network attached storage (NAS), ROM, RAM, CD-ROMs, CD-recordables (CD-Rs), CD-rewritables (CD-RWs), magnetic tapes, and other optical and non-optical data storage hardware units. Non-transitory computer readable media may include tangible computer readable media distributed across network-coupled computer systems such that computer readable code is stored and executed in a distributed manner.

전술한 개시가 이해의 명확성의 목적들을 위해 일부 상세를 포함하지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 예를 들어, 본 명세서에 개시된 임의의 실시 예로부터의 하나 이상의 특징들은 본 명세서에 개시된 임의의 다른 실시 예의 하나 이상의 특징들과 결합될 수도 있다는 것이 이해되어야 한다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 청구된 것은 본 명세서에 제공된 상세들로 제한되지 않고, 개시된 실시 예들의 범위 및 등가물 내에서 수정될 수도 있다. Although the foregoing disclosure contains some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. For example, it should be understood that one or more features from any embodiment disclosed herein may be combined with one or more features from any other embodiment disclosed herein. Thus, the present embodiments are to be regarded as illustrative and not restrictive, and what is claimed is not limited to the details provided herein, but may be modified within the scope and equivalents of the disclosed embodiments.

Claims (22)

웨이퍼 상에서 플라즈마 프로세스를 수행하기 위한 시스템에 있어서,
플라즈마 프로세싱을 위해 웨이퍼를 수용하도록 구성되고 그리고 플라즈마가 상기 웨이퍼의 상기 플라즈마 프로세싱을 위해 제공되는 플라즈마 프로세싱 영역을 규정하는 내부를 갖는, 챔버;
상기 챔버 위에 배치되고 (dispose) 그리고 상기 웨이퍼의 표면 평면에 수직인 축을 중심으로 센터링되고 상기 웨이퍼의 대략 중심을 통해 센터링되는, 제 1 자기 코일;
상기 플라즈마 프로세싱 동안 상기 제 1 자기 코일에 제 1 DC 전류를 인가하도록 구성된 제 1 DC 전력 공급부로서, 인가된 상기 제 1 DC 전류는 상기 플라즈마의 불균일성을 감소시키는 상기 플라즈마 프로세싱 영역 내에서 자기장을 생성하는, 상기 제 1 DC 전력 공급부를 포함하는, 시스템.
A system for performing a plasma process on a wafer, comprising:
a chamber configured to receive a wafer for plasma processing and having an interior defining a plasma processing region in which a plasma is provided for the plasma processing of the wafer;
a first magnetic coil disposed above the chamber and centered about an axis perpendicular to the surface plane of the wafer and centered through approximately the center of the wafer;
A first DC power supply configured to apply a first DC current to the first magnetic coil during the plasma processing, wherein the applied first DC current generates a magnetic field within the plasma processing region that reduces non-uniformity of the plasma. , the first DC power supply.
제 1 항에 있어서,
상기 자기장은 상기 플라즈마 프로세싱 영역의 중심 영역을 통해 실질적으로 수직이도록 구성되는, 시스템.
According to claim 1,
wherein the magnetic field is configured to be substantially perpendicular through a central region of the plasma processing region.
제 2 항에 있어서,
상기 플라즈마 프로세싱 영역의 상기 중심 영역을 통한 상기 자기장은 대략 10 Gauss 미만인 강도를 갖는, 시스템.
According to claim 2,
and wherein the magnetic field through the central region of the plasma processing region has a strength of less than approximately 10 Gauss.
제 1 항에 있어서,
상기 자기장은 상기 플라즈마 프로세싱에 의해 수행되는 에칭의 방사상 불균일성을 감소시키도록 구성되는, 시스템.
According to claim 1,
wherein the magnetic field is configured to reduce radial non-uniformity of an etch performed by the plasma processing.
제 1 항에 있어서,
상기 제 1 자기 코일은 실질적으로 환형 형상인, 시스템.
According to claim 1,
The system of claim 1 , wherein the first magnetic coil is substantially annular in shape.
제 1 항에 있어서,
상기 제 1 자기 코일은 상기 웨이퍼의 상기 표면 평면에 평행한 수평 평면을 따라 배향되는, 시스템.
According to claim 1,
wherein the first magnetic coil is oriented along a horizontal plane parallel to the surface plane of the wafer.
제 1 항에 있어서,
상기 제 1 자기 코일의 내경은 대략 15 내지 20 인치의 범위인, 시스템.
According to claim 1,
wherein the inner diameter of the first magnetic coil ranges from approximately 15 to 20 inches.
제 1 항에 있어서,
상기 제 1 자기 코일은 복수의 턴들 (turns) 의 자석 와이어를 포함하는, 시스템.
According to claim 1,
wherein the first magnetic coil includes a plurality of turns of magnet wire.
제 1 항에 있어서,
상기 챔버 위에 배치되고, 상기 제 1 자기 코일과 동심원인, 제 2 자기 코일;
상기 플라즈마 프로세싱 동안 상기 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 상기 제 2 DC 전류는 상기 플라즈마의 불균일성을 감소시키는 상기 플라즈마 프로세싱 영역 내에서 상기 자기장을 생성하는 데 기여하는, 상기 제 2 DC 전력 공급부를 더 포함하는, 시스템.
According to claim 1,
a second magnetic coil disposed above the chamber and concentric with the first magnetic coil;
A second DC power supply configured to apply a second DC current to the second magnetic coil during the plasma processing, wherein the applied second DC current generates the magnetic field in the plasma processing region that reduces non-uniformity of the plasma. Contributing to, the second DC power supply further comprising, the system.
제 9 항에 있어서,
상기 제 2 자기 코일은 상기 제 1 자기 코일과 동일한 수평 평면을 따라 실질적으로 배향되는, 시스템.
According to claim 9,
wherein the second magnetic coil is oriented substantially along the same horizontal plane as the first magnetic coil.
제 9 항에 있어서,
상기 제 1 DC 전류 및 상기 제 2 DC 전류는 동일한 크기 (magnitude) 또는 상이한 크기를 갖도록 구성되는, 시스템.
According to claim 9,
wherein the first DC current and the second DC current are configured to have the same magnitude or different magnitudes.
제 9 항에 있어서,
상기 제 1 DC 전류 및 상기 제 2 DC 전류는 동일한 방향으로 또는 반대 방향들로 인가되도록 구성되는, 시스템.
According to claim 9,
wherein the first DC current and the second DC current are configured to be applied in the same direction or in opposite directions.
제 9 항에 있어서,
상기 제 1 자기 코일의 내경은 대략 10 내지 15 인치의 범위이고; 그리고
상기 제 2 자기 코일의 내경은 대략 15 내지 20 인치의 범위인, 시스템.
According to claim 9,
the inner diameter of the first magnetic coil ranges from approximately 10 to 15 inches; and
and the inner diameter of the second magnetic coil ranges from approximately 15 to 20 inches.
제 1 항에 있어서,
상기 플라즈마 프로세싱 영역을 측방향으로 둘러싸도록 구성된 제 2 자기 코일;
상기 플라즈마 프로세싱 동안 상기 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 상기 제 2 DC 전류는 상기 플라즈마의 불균일성을 감소시키는 상기 플라즈마 프로세싱 영역 내에서 상기 자기장을 생성하는 데 기여하는, 상기 제 2 DC 전력 공급부를 더 포함하는, 시스템.
According to claim 1,
a second magnetic coil configured to laterally surround the plasma processing region;
A second DC power supply configured to apply a second DC current to the second magnetic coil during the plasma processing, wherein the applied second DC current generates the magnetic field in the plasma processing region that reduces non-uniformity of the plasma. Contributing to, the second DC power supply further comprising, the system.
제 1 항에 있어서,
상기 플라즈마 프로세싱 영역 아래에 배치된 제 2 자기 코일;
상기 플라즈마 프로세싱 동안 상기 제 2 자기 코일에 제 2 DC 전류를 인가하도록 구성된 제 2 DC 전력 공급부로서, 인가된 상기 제 2 DC 전류는 상기 플라즈마의 불균일성을 감소시키는 상기 플라즈마 프로세싱 영역 내에서 상기 자기장을 생성하는 데 기여하는, 상기 제 2 DC 전력 공급부를 더 포함하는, 시스템.
According to claim 1,
a second magnetic coil disposed below the plasma processing region;
A second DC power supply configured to apply a second DC current to the second magnetic coil during the plasma processing, wherein the applied second DC current generates the magnetic field in the plasma processing region that reduces non-uniformity of the plasma. Contributing to, the second DC power supply further comprising, the system.
웨이퍼 상에서 플라즈마 프로세스를 수행하기 위한 방법에 있어서,
웨이퍼를 플라즈마 프로세싱을 위해 구성된 챔버 내로 이동시키는 단계로서, 상기 챔버의 내부는 플라즈마 프로세싱 영역을 규정하는, 상기 웨이퍼를 이동시키는 단계;
상기 웨이퍼의 상기 플라즈마 프로세싱을 위해 상기 플라즈마 프로세싱 영역 내에 플라즈마를 제공하는 단계; 및
상기 플라즈마 프로세싱 동안 자기 코일에 DC 전류를 인가하는 단계로서, 인가된 상기 DC 전류는 상기 플라즈마의 불균일성을 감소시키는 상기 플라즈마 프로세싱 영역 내에 자기장을 생성하는, 상기 DC 전류를 인가하는 단계를 포함하고;
상기 자기 코일은 상기 챔버 위에 배치되고 그리고 상기 웨이퍼의 표면 평면에 수직인 축을 중심으로 센터링되고 상기 웨이퍼의 대략 중심을 통해 센터링되는, 방법.
A method for performing a plasma process on a wafer, comprising:
moving a wafer into a chamber configured for plasma processing, an interior of the chamber defining a plasma processing region;
providing a plasma within the plasma processing region for the plasma processing of the wafer; and
applying a DC current to a magnetic coil during the plasma processing, wherein the applied DC current creates a magnetic field within the plasma processing region that reduces a non-uniformity of the plasma;
wherein the magnetic coil is disposed above the chamber and is centered about an axis perpendicular to the surface plane of the wafer and centered through approximately the center of the wafer.
제 16 항에 있어서,
상기 자기장은 상기 플라즈마 프로세싱 영역의 중심 영역을 통해 실질적으로 수직이도록 구성되는, 방법.
17. The method of claim 16,
wherein the magnetic field is configured to be substantially perpendicular through a central region of the plasma processing region.
제 17 항에 있어서,
상기 플라즈마 프로세싱 영역의 상기 중심 영역을 통한 상기 자기장은 대략 10 Gauss 미만인 강도를 갖는, 방법.
18. The method of claim 17,
wherein the magnetic field through the central region of the plasma processing region has a strength of less than approximately 10 Gauss.
제 16 항에 있어서,
상기 자기장은 상기 플라즈마 프로세싱에 의해 수행되는 에칭의 방사상 불균일성을 감소시키도록 구성되는, 방법.
17. The method of claim 16,
wherein the magnetic field is configured to reduce radial non-uniformity of an etch performed by the plasma processing.
제 16 항에 있어서,
상기 자기 코일은 실질적으로 환형 형상인, 방법.
17. The method of claim 16,
The method of claim 1 , wherein the magnetic coil is substantially toroidal in shape.
제 16 항에 있어서,
상기 자기 코일은 상기 웨이퍼의 상기 표면 평면에 평행한 수평 평면을 따라 배향되는, 방법.
17. The method of claim 16,
wherein the magnetic coil is oriented along a horizontal plane parallel to the surface plane of the wafer.
제 16 항에 있어서,
상기 제 1 자기 코일의 내경은 대략 15 내지 20 인치의 범위인, 방법.
17. The method of claim 16,
wherein the inner diameter of the first magnetic coil ranges from approximately 15 to 20 inches.
KR1020227045529A 2020-11-20 2021-11-02 Plasma uniformity control using a static magnetic field KR20230107749A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063116748P 2020-11-20 2020-11-20
US63/116,748 2020-11-20
PCT/US2021/057786 WO2022108753A1 (en) 2020-11-20 2021-11-02 Plasma uniformity control using a static magnetic field

Publications (1)

Publication Number Publication Date
KR20230107749A true KR20230107749A (en) 2023-07-18

Family

ID=81709661

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227045529A KR20230107749A (en) 2020-11-20 2021-11-02 Plasma uniformity control using a static magnetic field

Country Status (5)

Country Link
US (1) US20230298866A1 (en)
JP (1) JP2023550342A (en)
KR (1) KR20230107749A (en)
CN (1) CN116472598A (en)
WO (1) WO2022108753A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0987851A (en) * 1995-09-21 1997-03-31 Canon Inc Microwave plasma treating device and method thereof
JP3582287B2 (en) * 1997-03-26 2004-10-27 株式会社日立製作所 Etching equipment
EP1126504A1 (en) * 2000-02-18 2001-08-22 European Community Method and apparatus for inductively coupled plasma treatment
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
JP6788680B2 (en) * 2016-09-28 2020-11-25 株式会社日立ハイテク Plasma processing equipment and plasma processing method

Also Published As

Publication number Publication date
CN116472598A (en) 2023-07-21
JP2023550342A (en) 2023-12-01
US20230298866A1 (en) 2023-09-21
WO2022108753A1 (en) 2022-05-27

Similar Documents

Publication Publication Date Title
TWI771541B (en) Plasma Reactor with Low Frequency RF Power Distribution Adjustment
KR100557273B1 (en) Merie plasma reactor with showerhead rf electrode tuned to the plasma with arcing suppression
TWI791027B (en) Plasma Reactor with Low-Frequency RF Power Distribution Adjustment Function
CN109755091B (en) Plasma processing apparatus
KR19980063837A (en) Plasma processing apparatus
US10651044B2 (en) Processing method and plasma processing apparatus
KR20030083729A (en) Plasma treatment device
US20230092887A1 (en) Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
US20230230804A1 (en) Process control for ion energy delivery using multiple generators and phase control
KR20200101993A (en) Process kit for substrate support
US20050066902A1 (en) Method and apparatus for plasma processing
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
TW202004831A (en) Plasma treatment apparatus
US20230298866A1 (en) Plasma uniformity control using a static magnetic field
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
US20230223242A1 (en) Plasma uniformity control using a pulsed magnetic field
US20040211519A1 (en) Plasma reactor
KR20080060834A (en) Apparatus for processing a substrate using plasma
KR20040069746A (en) Antenna having multiple central axix and inductively coupled plasma generating apparatus applying the same
TW202336810A (en) Plasma processing apparatus
TW202238663A (en) Plasma treatment device and plasma treatment method