KR20220159431A - 빔 투과형 레이저 센서를 사용한 인-시츄 (in-situ) 웨이퍼 두께 및 갭 모니터링 - Google Patents

빔 투과형 레이저 센서를 사용한 인-시츄 (in-situ) 웨이퍼 두께 및 갭 모니터링 Download PDF

Info

Publication number
KR20220159431A
KR20220159431A KR1020227037175A KR20227037175A KR20220159431A KR 20220159431 A KR20220159431 A KR 20220159431A KR 1020227037175 A KR1020227037175 A KR 1020227037175A KR 20227037175 A KR20227037175 A KR 20227037175A KR 20220159431 A KR20220159431 A KR 20220159431A
Authority
KR
South Korea
Prior art keywords
substrate
gap
thickness
processing chamber
receiver
Prior art date
Application number
KR1020227037175A
Other languages
English (en)
Inventor
군 헝 웡
쉬에펑 후아
앤서니 폴 반 셀로우
다니엘 토레스
잭 첸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220159431A publication Critical patent/KR20220159431A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/545Controlling the film thickness or evaporation rate using measurement on deposited material
    • C23C14/547Controlling the film thickness or evaporation rate using measurement on deposited material using optical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

프로세싱 챔버 내에 배치된 기판의 두께를 결정하기 위한 시스템은 기판과 기판 위에 배치된 프로세싱 챔버의 컴포넌트 사이의 갭을 향해 신호를 송신하도록 구성된 발신기 (emitter), 송신된 신호의 적어도 일부를 수신하고 그리고 신호의 수신된 부분의 특성에 기초하여 측정 신호를 생성하도록 구성된 수신기 (receiver), 및 측정 신호를 수신하고 그리고 측정 신호의 값들과 기판의 두께, 기판과 프로세싱 챔버의 컴포넌트 사이 갭의 폭, 및 프로세싱 챔버의 파라미터를 조정할 양 중 적어도 하나 사이의 관계에 기초하여 프로세싱 챔버의 파라미터를 선택적으로 조정하도록 구성된 시스템 제어기를 포함한다.

Description

빔 투과형 레이저 센서를 사용한 인-시츄 (in-situ) 웨이퍼 두께 및 갭 모니터링
본 개시는 기판 프로세싱, 보다 구체적으로 기판 두께 및 프로세싱 챔버 파라미터들을 모니터링하는 것에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은 이로 제한되는 것은 아니지만, 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 전도체 에칭, 급속 열 처리 (rapid thermal processing; RTP), 이온 주입, 물리적 기상 증착 (physical vapor deposition; PVD) 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 페데스탈, 정전 척 (electrostatic chuck; ESC), 등과 같은, 기판 지지부 상에 배치될 수도 있다. 프로세싱 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 플라즈마가 화학 반응들을 개시하기 위해 사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2020년 3월 27일에 출원된 미국 특허 가출원 번호 제 63/001,145 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
프로세싱 챔버 내에 배치된 기판의 두께를 결정하기 위한 시스템은 기판과 기판 위에 배치된 프로세싱 챔버의 컴포넌트 사이의 갭을 향해 신호를 송신하도록 구성된 발신기 (emitter), 송신된 신호의 적어도 일부를 수신하고 그리고 신호의 수신된 부분의 특성에 기초하여 측정 신호를 생성하도록 구성된 수신기 (receiver), 및 측정 신호를 수신하고 그리고 측정 신호의 값들과 기판의 두께, 기판과 프로세싱 챔버의 컴포넌트 사이 갭의 폭, 및 프로세싱 챔버의 파라미터를 조정할 양 중 적어도 하나 사이의 관계에 기초하여 프로세싱 챔버의 파라미터를 선택적으로 조정하도록 구성된 시스템 제어기를 포함한다.
다른 특징들에서, 송신된 신호는 레이저이고 그리고 특성은 레이저의 빔 (beam) 강도이다. 발신기 및 수신기는 프로세싱 챔버의 반대편 측벽들 상에 배치되고 그리고 레이저의 빔 강도는 수신기에 의해 수신될 갭을 통과하는 레이저의 부분에 대응한다. 발신기/수신기 조합은 발신기 및 수신기를 포함하고 그리고 레이저의 빔 강도는 기판 및 컴포넌트 중 적어도 하나로부터 반사되고 발신기/수신기를 향해 다시 반사된 레이저의 부분에 대응한다. 측정 신호의 값들은 빔 강도를 나타내는 전압 값들 및 전류 값들 중 하나를 포함한다.
다른 특징들에서, 시스템 제어기는 측정 신호의 값들에 기초하여 기판의 두께 및 갭의 폭 중 적어도 하나를 계산하도록 구성된다. 시스템 제어기는 기판의 두께 및 갭의 폭 중 적어도 하나에 기초하여 파라미터를 조정할 양을 계산하도록 구성된다. 시스템 제어기는 측정 신호의 값들을 기판의 두께 및 갭의 폭 중 적어도 하나에 상관시키는 저장된 데이터에 기초하여 기판의 두께 및 갭의 폭 중 적어도 하나를 결정하도록 구성된다.
다른 특징들에서, 시스템 제어기는 파라미터를 조정할 양에 측정 신호의 값들을 상관시키는 저장된 데이터에 기초하여 파라미터를 조정할 양을 결정하도록 구성된다. 파라미터는 증착 레이트 및 에칭 레이트 중 적어도 하나에 대응하고 그리고 시스템 제어기는 측정 신호의 값들에 기초하여 증착 레이트 및 에칭 레이트 중 적어도 하나를 조정하도록 구성된다.
프로세싱 챔버 내에 배치된 기판의 두께를 결정하기 위한 방법은 발신기를 사용하여, 기판과 기판 위에 배치된 프로세싱 챔버의 컴포넌트 사이의 갭을 향하여 신호를 송신하는 단계,
수신기를 사용하여, 송신된 신호의 적어도 일부를 수신하고 그리고 신호의 수신된 부분의 특성에 기초하여 측정 신호를 생성하는 단계, 및 측정 신호의 값들과 기판의 두께, 기판과 프로세싱 챔버의 컴포넌트 사이의 갭의 폭, 및 프로세싱 챔버의 파라미터를 조정할 양 중 적어도 하나 사이의 관계에 기초하여 프로세싱 챔버의 파라미터를 선택적으로 조정하는 단계를 포함한다.
다른 특징들에서, 송신된 신호는 레이저이고 그리고 특성은 레이저의 빔 강도이다. 발신기 및 수신기는 프로세싱 챔버의 반대편 측벽들 상에 배치되고 그리고 레이저의 빔 강도는 수신기에 의해 수신될 갭을 통과하는 레이저의 부분에 대응한다. 발신기/수신기 조합은 발신기 및 수신기를 포함하고 그리고 레이저의 빔 강도는 기판 및 컴포넌트 중 적어도 하나로부터 반사되고 발신기/수신기를 향해 다시 반사된 레이저의 부분에 대응한다. 측정 신호의 값들은 빔 강도를 나타내는 전압 값들 및 전류 값들 중 하나를 포함한다.
다른 특징들에서, 방법은 측정 신호의 값들에 기초하여 기판의 두께 및 갭의 폭 중 적어도 하나를 계산하는 단계를 더 포함한다. 방법은 기판의 두께 및 갭의 폭 중 적어도 하나에 기초하여 파라미터를 조정할 양을 계산하는 단계를 더 포함한다. 방법은 측정 신호의 값들을 기판의 두께 및 갭의 폭 중 적어도 하나에 상관시키는 저장된 데이터에 기초하여 기판의 두께 및 갭의 폭 중 적어도 하나를 결정하는 단계를 더 포함한다.
다른 특징들에서, 방법은 파라미터를 조정할 양에 측정 신호의 값들을 상관시키는 저장된 데이터에 기초하여 파라미터를 조정할 양을 결정하는 단계를 더 포함한다. 파라미터는 증착 레이트 및 에칭 레이트 중 적어도 하나에 대응하고 그리고 측정 신호의 값들에 기초하여 증착 레이트 및 에칭 레이트 중 적어도 하나를 조정하는 단계를 더 포함한다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description), 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 지지부를 포함하는 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2는 본 개시에 따른 기판 두께를 측정하도록 구성된 발신기 (emitter) 및 수신기를 포함하는 예시적인 프로세싱 챔버이다.
도 3은 본 개시에 따른 상이한 유효 갭들에 대한 예시적인 증착 레이트들을 도시하는 그래프이다.
도 4는 본 개시에 따른 기판 두께를 측정하도록 구성된 발신기/수신기를 포함하는 또 다른 예시적인 프로세싱 챔버이다.
도 5는 본 개시에 따른 기판 두께를 결정하기 위한 예시적인 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
본딩된 (bond) 웨이퍼들, 3D 스택킹 (stack), 3D NAND 메모리, 등과 같은 기술들로 인해 기판 프로세싱의 복잡성이 증가함에 따라, 기판 두께 변동 (즉, 기판-대-기판 (substrate-to-substrate) 변동) 또한 증가한다. 일부 프로세스들은 기판 두께에 대한 고감도 및 기판 프로세싱 챔버에서 기판 위에 배치된 가스 분배 디바이스 (예를 들어, 샤워헤드) 와 기판 사이의 유효 갭 (effective gap) 을 갖는다. 이에 따라, 기판 두께의 변동들은 프로세스 불균일성들 및 프로세스 거동 및 결과들 모두에 대한 변화들을 유발할 수도 있다. 또한, 프로세싱 챔버의 컴포넌트들 (예를 들어, 가스 분배 디바이스, 기판 지지부, 등) 은 유효 갭의 변동들을 유발하는 연관된 제작 공차 (manufacturing tolerance) 를 가질 수도 있다.
본 개시에 따른 시스템들 및 방법들은 기판 두께 및/또는 기판과 가스 분배 디바이스 사이의 유효 갭을 측정하기 위해 레이저 또는 다른 신호 및 센서를 구현한다. 예를 들어, 레이저의 하나 이상의 특성들 (예를 들어, 빔 (beam) 강도) 은 갭 및/또는 기판 두께에 비례할 수도 있다. 따라서, 프로세스 파라미터들은 기판 두께 또는 유효 갭의 임의의 변동들을 보상하도록 조정될 수도 있다.
도 1은 기판 프로세싱 시스템 (100) 의 컴포넌트들을 인클로징하고 (enclose) 무선 주파수 (radio frequency; RF) 플라즈마를 담는 프로세싱 챔버 (102) 를 포함하는 기판 프로세싱 시스템 (100) 을 도시한다. 프로세싱 챔버 (102) 는 상부 전극 (104) 및 정전 척 (ESC) 일 수도 있는, 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 프로세싱 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은 리모트 (remote) 플라즈마 생성 및 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용하여) 전달을 구현하는, 플라즈마를 인-시츄 (in-situ) 생성하는, 등의 기판 프로세싱 시스템과 같은, 다른 타입들의 기판 프로세싱 시스템들 및 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버 (102) 의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버 (102) 의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상 외향으로 연장된다. 샤워헤드 (109) 의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 전도성 플레이트를 포함할 수도 있고 그리고 프로세스 가스들이 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 작용하는 전도성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹으로 형성될 수도 있는 상단 플레이트 (112) 를 지지한다. 일부 예들에서, 상단 플레이트 (112) 는 세라믹 멀티-존 가열 플레이트와 같은, 하나 이상의 가열 층들을 포함할 수도 있다. 하나 이상의 가열 층들은 이하에 더 기술된 바와 같이, 전도성 트레이스들과 같은, 하나 이상의 가열 엘리먼트들을 포함할 수도 있다.
본딩 층 (114) 이 상단 플레이트 (112) 와 베이스플레이트 (110) 사이에 배치되고 그리고 상단 플레이트 (112) 를 베이스플레이트 (110) 에 본딩한다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다. 일부 예들에서, 기판 지지부 (106) 는 기판 (108) 의 외측 주변부를 둘러싸도록 배치된 에지 링 (118) 을 포함할 수도 있다.
RF 생성 시스템 (120) 이 RF 전압을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지될 수도 있거나, AC 접지될 수도 있거나, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 베이스플레이트 (110) 에 피딩되는 (feed) RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, RF 전압은 상부 전극 (104) 에 제공된다. 다른 예들에서, 플라즈마는 유도적으로 (inductively) 또는 리모트로 (remotely) 생성될 수도 있다. 예시적인 목적들로 도시된 바와 같이, RF 생성 시스템 (120) 은 CCP (Capacitively Coupled Plasma) 시스템에 대응하지만, 본 개시의 원리들은 또한 단지 예를 들면, TCP (Transformer Coupled Plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들, 등과 같은 다른 적합한 시스템들에서 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, … 및 132-N) (집합적으로 가스 소스들 (132) 로 지칭됨) 을 포함하고, 여기서 N은 0보다 보다 큰 정수이다. 가스 소스들은 하나 이상의 가스 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, … 및 134-N) (집합적으로 밸브들 (134) 로 지칭됨) 및 질량 유량 제어기들 (mass flow controllers; MFCs) (136-1, 136-2, … 및 136-N) (집합적으로 질량 유량 제어기들 (136) 로 지칭됨) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력이 프로세싱 챔버 (102) 에 피딩된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 에 피딩된다.
온도 제어기 (142) 는 상단 플레이트 (112) 내에 배치된 TCE들 (thermal control elements) (144) 과 같은, 가열 엘리먼트들에 연결될 수도 있다. 예를 들어, 가열 엘리먼트들은 이로 제한되는 것은 아니지만, 멀티-존 가열 플레이트의 각각의 존들에 대응하는 매크로 가열 엘리먼트들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 가열 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하기 위해 가열 엘리먼트들을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부 (reservoir) 를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 시스템 제어기 (160) 는 휘발성 메모리, 비휘발성 메모리, 또는 이들의 조합들을 포함할 수도 있는 메모리 (162) 를 포함할 수도 있고 그리고/또는 메모리 (162) 와 통신할 수도 있다. 하나 이상의 로봇들 (170) 은 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하기 위해 사용될 수도 있다. 예를 들어, 로봇들 (170) 은 EFEM (equipment front end module) (171) 과 로드 록 (172) 사이, 로드 록과 VTM (vacuum transfer module) (173) 사이, VTM (173) 과 기판 지지부 (106) 사이, 등에서 기판들을 이송할 수도 있다. 별개의 제어기들로 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다. 일부 예들에서, 보호 시일 (seal) (176) 이 상단 플레이트 (112) 와 베이스플레이트 (110) 사이의 본딩 층 (114) 의 주변부 둘레에 제공될 수도 있다.
본 개시에 따른 프로세싱 챔버 (102) 는 프로세싱 챔버 (102) 를 통해 그리고 기판 (108) 과 샤워헤드 (109) 사이의 유효 갭을 통해 레이저와 같은 광 신호를 송신하도록 구성된 발신기 (180) 를 포함한다. 수신기 (예를 들어, 센서) (182) 는 광 신호를 수신하도록 발신기 (180) 반대편의 프로세싱 챔버 (102) 의 측면 상에 배치된다. 유효 갭의 폭 및 이에 대응하여, 기판의 두께는 이하에 보다 상세히 기술된 바와 같이 수신기 (182) 에 의해 측정된 광 신호의 특성들에 기초하여 계산될 수 있다.
도 2는 본 개시에 따른 발신기 (204) 및 수신기 (208) 를 포함하는 예시적인 프로세싱 챔버 (200) 를 도시한다. 예를 들어, 발신기 (204) 및 수신기 (208) 는 프로세싱 챔버 (200) 의 반대편 측벽들 상에 배치된다. 기판 (212) 은 (도시된 바와 같이) 샤워헤드 (220), 중심 절연체, 등과 같은 컴포넌트 아래의 기판 지지부 (216) 상에 배치된다. 예를 들어, 기판 지지부 (216) 는 베이스플레이트 (224) 및 상부 플레이트 또는 층 (예를 들어, 세라믹 층) (228) 을 포함하고 그리고 기판 (212) 은 상부 플레이트 (228) 상에 배치된다. 유효 갭 TG (예를 들어, 갭의 폭) 는 샤워헤드 (220) 의 하부 표면과 기판 (212) 사이에 규정된다.
이 예에서, 발신기 (204) 는 기판 (212) 과 샤워헤드 (220) 사이의 유효 갭을 통해 수신기 (208) 를 향해 신호 (예를 들어, 레이저 또는 다른 적합한 광 신호) (232) 를 송신하도록 구성된다. 예를 들어, 발신기 (204) 는 시스템 제어기 (236) 에 의해 생성된 제어 신호들에 응답하여 광 신호 (232) 를 송신하도록 구성된다. 일부 예들에서, 광 신호 (232) 는 플라즈마가 프로세싱 챔버 (200) 내에 존재하는 동안 송신된다.
수신기 (208) 는 광 신호 (232) 를 감지/수신하고 그리고 광 신호 (232) 의 특성들을 나타내는 신호를 시스템 제어기 (236) 에 제공한다. 예를 들어, 시스템 제어기 (236) 에 제공된 신호는 수신기 (208) 에 의해 측정될 때 광 신호 (232) 의 빔 강도를 나타낼 수도 있다. 시스템 제어기 (236) 는 광 신호 (232) 의 측정된 특성들에 기초하여 기판 (212) 의 두께 및/또는 유효 갭의 폭을 계산하도록 구성된다. 빔 강도가 일 예로서 제공되지만, 적합한 센서를 사용하여 측정될 수도 있는 광 신호 (232) 의 다른 특성들은 빔 에너지, 빔 폭, 등을 포함하지만 이로 제한되지 않는다.
단지 예를 들면, 광 신호 (232) 의 빔 강도는 유효 갭을 통과하도록 허용되는 광 신호 (232) 의 두께에 종속될 수도 있다. 즉, 기판 (212) 이 보다 두껍거나 그렇지 않으면 (예를 들어, 샤워헤드 (220), 베이스플레이트 (224), 상부 플레이트 (228), 등의 제작 공차들, 시간이 흐름에 따른 컴포넌트들의 수축 및 팽창, 컴포넌트 마모, 등으로 인해) 유효 갭이 감소하면, 보다 적은 광 신호 (232) 가 수신기 (208) 를 향해 갭을 통과할 수 있을 것이다. 반대로, 기판 (212) 이 보다 얇거나 그렇지 않으면 유효 갭이 증가된다면, 보다 많은 광 신호 (232) 가 갭을 통과할 수 있을 것이다. 따라서, 레이저 강도 I는 갭 TG와 비례하는 관계 및 기판 두께와 반비례하는 관계를 갖는다.
수신기 (208) 로부터 시스템 제어기 (236) 로 송신된 신호는 측정된 레이저 강도에 비례한다. 예를 들어, 수신기 (208) 는 레이저 강도를 나타내는 전압을 갖는 측정 신호를 생성하도록 구성될 수도 있다. 시스템 제어기 (236) 는 측정된 레이저 강도에 기초하여 유효 갭 및/또는 기판 두께를 계산하도록 구성된다. 일부 예들에서, 시스템 제어기 (236) 는 레이저 강도를 공지된 갭들 및/또는 기판 두께들에 상관시키는, 룩업 테이블 (lookup table) 에 저장된 캘리브레이션 (calibration) 데이터와 같은, 데이터를 메모리 (예를 들어, 메모리 (162)) 에 저장할 수도 있다. 데이터는 제작, 서비스, 등 동안 초기, 캘리브레이팅된 갭 값 및 측정된 대응하는 레이저 강도를 포함할 수도 있다. 캘리브레이션 데이터는 기판 (예를 들어, 공지된 공칭 두께 또는 예상된 두께를 갖는 기판) 이 존재하고 존재하지 않는 공칭 (예를 들어, 이상적인) 갭에 대한 레이저 강도 측정들을 포함할 수도 있다.
시스템 제어기 (236) 는 프로세스 파라미터들을 조정하도록 (즉, 유효 갭과 이상적인 갭 사이의 차들을 보상하도록) 그리고/또는, 일부 예들에서, 계산된 갭에 기초하여 프로세싱 챔버 (200) 의 컴포넌트들의 포지션들을 조정하도록 구성된다. 예를 들어, 증착 레이트들은 갭 및 기판 두께에 대응할 수도 있다. 보다 구체적으로, 증착 레이트들은 갭이 감소함에 따라 (예를 들어, 기판 반경으로 나타낸 바와 같이) 기판의 일부 부분들에서 감소할 수도 있고 그리고 갭이 증가함에 따라 증가할 수도 있다. 즉, 증착 레이트들은 갭 폭에 비례할 수도 있고 그리고 기판 두께에 반비례할 수도 있다. 단지 예를 들면, 제 1 기판 두께 및 대응하는 제 1 갭에 대한 증착 레이트는 제 2 기판 두께 및 대응하는 제 2 갭에 대한 증착 레이트보다 보다 작을 수도 있고, 여기서 제 1 기판 두께는 제 2 기판 두께보다 보다 크고 그리고 제 1 갭은 제 2 갭보다 보다 작다. 증착 레이트들에 대해 기술되었지만, 본 개시의 원리들은 또한 에칭 레이트들에 적용될 수도 있다.
도 3은 본 개시에 따른 상이한 유효 갭들에 대해 (예를 들어, 150 ㎜ 반경을 갖는 기판의 외측 에지에서) 기판 반경에 대해 정규화된 증착 레이트들을 도시한다. 예를 들어, 증착 레이트 (300) 는 제 1 유효 갭 (예를 들어, 0.6 ㎜) 에 대응하고, 증착 레이트 (304) 는 제 2 유효 갭 (0.35 ㎜) 에 대응하고, 그리고 증착 레이트 (308) 는 제 3 유효 갭 (0.15 ㎜) 에 대응한다. 단지 예를 들면, 도시된 바와 같이, 유효 갭을 감소시키는 것은 증착 레이트로 하여금 보다 큰 반경에서 증가하기 시작하게 하지만, 증착 레이트가 증가하는 전체 양을 증가시킨다. 즉, 제 1 유효 갭을 갖는 증착 레이트 (300) 는 제 3 유효 갭에 대한 증착 레이트 (308) 보다 보다 작은 반경에서 증가하기 시작하지만, 기판의 에지에 보다 가까운 증착 레이트 (308) 는 증착 레이트 (300) 보다 보다 크다. 이러한 방식으로, 기판의 에지에서 증착 레이트들은 유효 갭에 따라 (예를 들어, 가능한 유효 갭들 및/또는 기판 두께들의 범위에 의해 규정된 이용 가능한 튜닝 범위에 따라) 튜닝될 수 있다.
따라서, 시스템 제어기 (236) 는 계산된 갭/기판 두께에 기초하여 증착 레이트들을 선택적으로 증가시키고 감소시키도록 프로세스 파라미터들 (예를 들어, 프로세스 가스들의 플로우 레이트들, 플라즈마 RF 전력, 등) 을 조정할 수도 있다. 예를 들어, 시스템 제어기 (236) 는 수신기 (208) 에 의해 송신될 때 측정된 신호의 값들 (예를 들어, 전압들) 에 증착 레이트들 및/또는 목표된 프로세스 파라미터들을 직접적으로 상관시키는 데이터를 저장할 수도 있다. 데이터는 측정된 신호 및 대응하는 기판 두께 및/또는 갭의 값들로 증착 레이트들을 인덱싱하는 (index) 표를 포함할 수도 있다.
일부 예들에서, 샤워헤드 (220) 및/또는 기판 지지부 (216) 의 높이는 연관된 액추에이터들 (actuators) 을 사용하여 조정 가능할 수도 있다. 예를 들어, 액추에이터 (240) 는 기판 지지부 (216) 를 상승 및 하강시키도록 제어될 수도 있는 한편, 액추에이터 (244) 는 샤워헤드 (220) 를 상승 및 하강시키도록 사용될 수도 있다. 이들 예들에서, 프로세스 파라미터들을 조정하는 대신 그리고/또는 이에 더하여, 시스템 제어기 (236) 는 갭의 폭을 조정하기 위해 샤워헤드 (220) 및/또는 기판 지지부 (216) 를 선택적으로 상승 및 하강시킬 수도 있다.
도 4는 본 개시에 따른 또 다른 예시적인 프로세싱 챔버 (400) 를 도시한다. 이 예에서, 프로세싱 챔버 (400) 는 발신기/수신기 조합 (404) 을 포함한다. 기판 (412) 은 샤워헤드 (420) 아래의 기판 지지부 (416) 상에 배치된다. 유효 갭 TG는 샤워헤드 (420) 의 하부 표면과 기판 (412) 사이에 규정된다.
이 예에서, 발신기/수신기 (404) 는 기판 (412) 과 샤워헤드 (420) 사이의 유효 갭을 향해 레이저 또는 다른 광 신호 (432) 와 같은 신호를 송신하도록 구성된다. 예를 들어, 발신기/수신기 (404) 는 시스템 제어기 (436) 에 의해 생성된 제어 신호들에 응답하여 광 신호 (432) 를 송신하도록 구성된다. 도 2에 도시된 예와 대조적으로, 발신기/수신기 (404) 는 샤워헤드 (420) 및 기판 (412) 으로부터 반사되는 광 신호 (432) 의 일부를 수신하고 그리고 반사된 광 신호 (432) 의 특성들을 나타내는 신호를 시스템 제어기 (436) 로 제공한다. 예를 들어, 시스템 제어기 (436) 에 제공된 신호는 발신기/수신기 (404) 에 의해 반사되고 수신되는 광 신호 (432) 의 일부의 빔 강도를 나타낼 수도 있다. 시스템 제어기 (436) 는 광 신호 (432) 의 측정된 특성들에 기초하여 기판 (412) 의 두께 및/또는 유효 갭의 폭을 계산하도록 구성된다.
단지 예를 들면, 광 신호 (232) 의 빔 강도는 반사되고 유효 갭을 통과하지 않는 광 신호 (432) 의 양에 종속한다. 즉, 기판 (412) 이 보다 두껍거나 그렇지 않으면 (예를 들어, 샤워헤드 (420), 기판 지지부 (416), 등의 제작 공차들, 시간이 흐름에 따른 컴포넌트들의 수축 및 팽창, 컴포넌트 마모, 등으로 인해) 유효 갭이 감소하면, 보다 적은 광 신호 (432) 가 갭을 통과할 수 있을 것이고 그리고 보다 많은 광 신호 (432) 가 발신기/수신기 (404) 를 향해 다시 반사될 것이다. 반대로, 기판 (412) 이 보다 얇거나 그렇지 않으면 유효 갭이 증가되면, 보다 많은 광 신호 (432) 가 갭을 통과할 수 있고 그리고 보다 적은 광 신호 (432) 가 발신기/수신기 (404) 를 향해 다시 반사될 것이다.
따라서, 이 예에서, 레이저 강도 I는 갭 TG와 반비례하는 관계 및 기판 두께 TS와 비례하는 관계를 갖는다. 시스템 제어기 (436) 는 도 2에 기술된 것과 유사한 방식으로 측정된 레이저 강도에 기초하여 유효 갭 및/또는 기판 두께를 계산하도록 구성된다.
기판 두께 및 유효 갭에 대해 기술되었지만, 발신기 (204) 및 수신기 (208) 및/또는 발신기/수신기 (404) 는 또한 프로세싱 챔버의 다른 특성들을 결정하고 조정하기 위해 사용될 수도 있다. 예를 들어, 광 신호 (232/432) 의 특성들은 또한 이로 제한되는 것은 아니지만, 기판 지지부 위에 배치된 샤워헤드, 상부 전극, 또는 다른 컴포넌트, 기판 지지부의 상부 플레이트, 에지 링, 등을 포함하는 다른 기계적 컴포넌트들의 치수들을 나타낼 수도 있다. 컴포넌트 두께는 컴포넌트 수축 및 팽창, 마모, 머시닝 공차 (machining tolerance) 등으로 인해 가변할 수도 있다. 광 신호 (234/432) 는 또한 컴포넌트 배치 (예를 들어, 에지 링 또는 다른 컴포넌트의 배치, 이동 가능한 에지 링의 높이, 등) 를 나타낼 수도 있다. 또 다른 예들에서, 광 신호 (234/432) 는 기판의 배치를 결정하기 위해 사용될 수도 있다. 예를 들어, 광 신호 (234/432) 의 측정된 특성은 기판이 목표된 센터링된 포지션에 있는지 여부에 기초하여 가변할 수도 있다.
이제 도 5를 참조하면, 기판 두께 (및/또는 유효 갭) 를 결정하고 이에 따라 프로세싱 파라미터들을 조정하기 위한 예시적인 방법 (500) 이 (504) 에서 시작된다. (508) 에서, 방법 (500) (예를 들어, 발신기 (204), 발신기/수신기 (404), 등) 은 기판과 기판 위에 배치된 컴포넌트 사이의 갭을 향해 레이저 또는 다른 광 신호와 같은 신호를 송신한다. (512) 에서, 방법 (500) (예를 들어, 수신기 (208), 발신기/수신기 (404), 등) 은 갭을 통해 수신기 (208) 를 향하여 통과하거나 발신기/수신기 (404) 를 향하여 다시 반사되는, 수신될 때 송신된 신호의 특성을 나타내는 측정 신호를 생성한다. 예를 들어, 특성은 빔 또는 레이저 강도 I를 포함하고 그리고 측정 신호는 레이저 강도에 따라 상승하거나 감소하는 전압 또는 다른 값 (예를 들어, 전류) 에 대응한다.
(516) 에서, 방법 (500) (예를 들어, 시스템 제어기 (236)) 은 송신된 신호의 특성을 나타내는 측정 신호를 수신한다. 예를 들어, 측정 신호는 레이저 강도를 나타낼 수도 있다. (520) 에서, 방법 (500) (예를 들어, 시스템 제어기 (236)) 은 측정 신호에 기초하여 기판 두께, 챔버 컴포넌트 치수들, 및/또는 유효 갭과 같은 기판 또는 프로세스 챔버 특성을 결정한다. 예를 들어, 방법 (500) 은 수신기 (208) 에 의해 생성된 신호의 전압 또는 다른 값을 기판 두께 및/또는 유효 갭에 직접 상관시키는 저장된 데이터에 기초하여 기판 두께를 결정할 수도 있다.
(524) 에서, 방법 (500) (예를 들어, 시스템 제어기 (236)) 은 결정된 특성 (예를 들어, 결정된 기판 두께) 에 기초하여 하나 이상의 프로세스 및/또는 프로세싱 챔버 파라미터들을 조정한다. 예를 들어, 방법 (500) 은 결정된 기판 두께에 기초하여 증착 레이트를 증가시키거나 감소시키도록 증착과 연관된 프로세스 파라미터들을 조정할 수도 있다. 일부 예들에서, 방법 (500) 은 프로세스 파라미터들에 대한 조정들, 프로세스 파라미터들로부터의 오프셋들 (offsets), 등에 전압 또는 다른 값을 직접적으로 상관시키는 저장된 데이터에 기초하여 프로세스 파라미터들을 조정할 수도 있다.
(528) 에서, 방법 (500) (예를 들어, 시스템 제어기 (236)) 은 프로세싱 단계 (예를 들어, 증착 단계) 가 완료되었는지 여부를 결정한다. 참이면, 방법 (500) 은 (532) 에서 종료된다. 거짓이면, 방법 (500) 은 (508) 로 계속된다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시 예들의 피처들로 및/또는 임의의 다른 실시 예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 또 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 관계 및 기능적 관계는, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)" 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 프로세싱 챔버 내에 배치된 기판의 두께를 결정하기 위한 시스템에 있어서,
    기판과 상기 기판 위에 배치된 프로세싱 챔버의 컴포넌트 사이의 갭을 향해 신호를 송신하도록 구성된 발신기 (emitter);
    상기 송신된 신호의 적어도 일부를 수신하고 그리고 상기 신호의 상기 수신된 부분의 특성에 기초하여 측정 신호를 생성하도록 구성된 수신기 (receiver); 및
    상기 측정 신호를 수신하고 그리고 상기 측정 신호의 값들과 (i) 상기 기판의 두께, (ii) 상기 기판과 상기 프로세싱 챔버의 상기 컴포넌트 사이 상기 갭의 폭, 및 (iii) 상기 프로세싱 챔버의 파라미터를 조정할 양 중 적어도 하나 사이의 관계에 기초하여 상기 프로세싱 챔버의 상기 파라미터를 선택적으로 조정하도록 구성된 시스템 제어기를 포함하는, 기판 두께 결정 시스템.
  2. 제 1 항에 있어서,
    상기 송신된 신호는 레이저이고 그리고 상기 특성은 상기 레이저의 빔 (beam) 강도인, 기판 두께 결정 시스템.
  3. 제 2 항에 있어서,
    상기 발신기 및 상기 수신기는 상기 프로세싱 챔버의 반대편 측벽들 상에 배치되고 그리고 상기 레이저의 상기 빔 강도는 상기 수신기에 의해 수신될 상기 갭을 통과하는 상기 레이저의 부분에 대응하는, 기판 두께 결정 시스템.
  4. 제 2 항에 있어서,
    상기 발신기/수신기 조합은 상기 발신기 및 상기 수신기를 포함하고 그리고 상기 레이저의 상기 빔 강도는 상기 기판 및 상기 컴포넌트 중 적어도 하나로부터 반사되고 상기 발신기/수신기를 향해 다시 반사된 상기 레이저의 부분에 대응하는, 기판 두께 결정 시스템.
  5. 제 2 항에 있어서,
    상기 측정 신호의 상기 값들은 상기 빔 강도를 나타내는 전압 값들 및 전류 값들 중 하나를 포함하는, 기판 두께 결정 시스템.
  6. 제 1 항에 있어서,
    상기 시스템 제어기는 상기 측정 신호의 상기 값들에 기초하여 상기 기판의 상기 두께 및 상기 갭의 상기 폭 중 적어도 하나를 계산하도록 구성되는, 기판 두께 결정 시스템.
  7. 제 6 항에 있어서,
    상기 시스템 제어기는 상기 기판의 상기 두께 및 상기 갭의 상기 폭 중 적어도 하나에 기초하여 상기 파라미터를 조정할 상기 양을 계산하도록 구성되는, 기판 두께 결정 시스템.
  8. 제 1 항에 있어서,
    상기 시스템 제어기는 상기 측정 신호의 상기 값들을 상기 기판의 상기 두께 및 상기 갭의 상기 폭 중 적어도 하나에 상관시키는 저장된 데이터에 기초하여 상기 기판의 상기 두께 및 상기 갭의 상기 폭 중 적어도 하나를 결정하도록 구성되는, 기판 두께 결정 시스템.
  9. 제 1 항에 있어서,
    상기 시스템 제어기는 상기 파라미터를 조정할 양에 상기 측정 신호의 상기 값들을 상관시키는 저장된 데이터에 기초하여 상기 파라미터를 조정할 상기 양을 결정하도록 구성되는, 기판 두께 결정 시스템.
  10. 제 1 항에 있어서,
    상기 파라미터는 증착 레이트 및 에칭 레이트 중 적어도 하나에 대응하고 그리고 상기 시스템 제어기는 상기 측정 신호의 상기 값들에 기초하여 상기 증착 레이트 및 상기 에칭 레이트 중 적어도 하나를 조정하도록 구성되는, 기판 두께 결정 시스템.
  11. 프로세싱 챔버 내에 배치된 기판의 두께를 결정하기 위한 방법에 있어서,
    발신기를 사용하여, 기판과 상기 기판 위에 배치된 프로세싱 챔버의 컴포넌트 사이의 갭을 향하여 신호를 송신하는 단계;
    수신기를 사용하여, 상기 송신된 신호의 적어도 일부를 수신하고 그리고 상기 신호의 상기 수신된 부분의 특성에 기초하여 측정 신호를 생성하는 단계; 및
    상기 측정 신호의 값들과 (i) 상기 기판의 두께, (ii) 상기 기판과 상기 프로세싱 챔버의 상기 컴포넌트 사이의 갭의 폭, 및 (iii) 상기 프로세싱 챔버의 파라미터를 조정할 양 중 적어도 하나 사이의 관계에 기초하여 상기 프로세싱 챔버의 상기 파라미터를 선택적으로 조정하는 단계를 포함하는, 기판 두께 결정 방법.
  12. 제 11 항에 있어서,
    상기 송신된 신호는 레이저이고 그리고 상기 특성은 상기 레이저의 빔 강도인, 기판 두께 결정 방법.
  13. 제 12 항에 있어서,
    상기 발신기 및 상기 수신기는 상기 프로세싱 챔버의 반대편 측벽들 상에 배치되고 그리고 상기 레이저의 상기 빔 강도는 상기 수신기에 의해 수신될 상기 갭을 통과하는 상기 레이저의 부분에 대응하는, 기판 두께 결정 방법.
  14. 제 12 항에 있어서,
    상기 발신기/수신기의 조합은 상기 발신기 및 상기 수신기를 포함하고 그리고 상기 레이저의 상기 빔 강도는 상기 기판 및 상기 컴포넌트 중 적어도 하나로부터 반사되고 상기 발신기/수신기를 향해 다시 반사된 상기 레이저의 부분에 대응하는, 기판 두께 결정 방법.
  15. 제 12 항에 있어서,
    상기 측정 신호의 상기 값들은 상기 빔 강도를 나타내는 전압 값들 및 전류 값들 중 하나를 포함하는, 기판 두께 결정 방법.
  16. 제 11 항에 있어서,
    상기 측정 신호의 상기 값들에 기초하여 상기 기판의 두께 및 상기 갭의 폭 중 적어도 하나를 계산하는 단계를 더 포함하는, 기판 두께 결정 방법.
  17. 제 16 항에 있어서,
    상기 기판의 두께 및 상기 갭의 폭 중 적어도 하나에 기초하여 상기 파라미터를 조정할 양을 계산하는 단계를 더 포함하는, 기판 두께 결정 방법.
  18. 제 11 항에 있어서,
    상기 측정 신호의 상기 값들을 상기 기판의 두께 및 상기 갭의 폭 중 적어도 하나에 상관시키는 저장된 데이터에 기초하여 상기 기판의 두께 및 상기 갭의 폭 중 적어도 하나를 결정하는 단계를 더 포함하는, 기판 두께 결정 방법.
  19. 제 11 항에 있어서,
    상기 파라미터를 조정할 양에 상기 측정 신호의 상기 값들을 상관시키는 저장된 데이터에 기초하여 상기 파라미터를 조정할 양을 결정하는 단계를 더 포함하는, 기판 두께 결정 방법.
  20. 제 11 항에 있어서,
    상기 파라미터는 증착 레이트 및 에칭 레이트 중 적어도 하나에 대응하고 그리고 상기 측정 신호의 상기 값들에 기초하여 상기 증착 레이트 및 상기 에칭 레이트 중 적어도 하나를 조정하는 단계를 더 포함하는, 기판 두께 결정 방법.
KR1020227037175A 2020-03-27 2021-03-24 빔 투과형 레이저 센서를 사용한 인-시츄 (in-situ) 웨이퍼 두께 및 갭 모니터링 KR20220159431A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063001145P 2020-03-27 2020-03-27
US63/001,145 2020-03-27
PCT/US2021/023852 WO2021195190A1 (en) 2020-03-27 2021-03-24 In-situ wafer thickness and gap monitoring using through beam laser sensor

Publications (1)

Publication Number Publication Date
KR20220159431A true KR20220159431A (ko) 2022-12-02

Family

ID=77890719

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227037175A KR20220159431A (ko) 2020-03-27 2021-03-24 빔 투과형 레이저 센서를 사용한 인-시츄 (in-situ) 웨이퍼 두께 및 갭 모니터링

Country Status (6)

Country Link
US (1) US20240210163A1 (ko)
JP (1) JP2023519306A (ko)
KR (1) KR20220159431A (ko)
CN (1) CN115335976A (ko)
TW (1) TW202214998A (ko)
WO (1) WO2021195190A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US7542147B2 (en) * 2005-12-01 2009-06-02 Zygo Corporation Data age compensation with avalanche photodiode
FI119259B (fi) * 2006-10-18 2008-09-15 Valtion Teknillinen Pinnan ja paksuuden määrittäminen
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10680150B2 (en) * 2017-08-15 2020-06-09 Dragan Grubisik Electrically conductive-semitransparent solid state infrared emitter apparatus and method of use thereof

Also Published As

Publication number Publication date
JP2023519306A (ja) 2023-05-10
TW202214998A (zh) 2022-04-16
WO2021195190A1 (en) 2021-09-30
US20240210163A1 (en) 2024-06-27
CN115335976A (zh) 2022-11-11

Similar Documents

Publication Publication Date Title
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11236422B2 (en) Multi zone substrate support for ALD film property correction and tunability
KR20190100972A (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US20240210163A1 (en) In-situ wafer thickness and gap monitoring using through beam laser sensor
KR20210034095A (ko) 기판 벌크 저항률 변동들에 응답하여 증착 레이트 또는 에칭 레이트 변화들을 감소시키기 위한 rf 전력 보상
US10725485B2 (en) System and method for calculating substrate support temperature
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
WO2023211729A1 (en) Automatic gap compensation using light source and sensor for substrate processing systems
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
US20230073259A1 (en) High temperature substrate support with heat spreader
KR102693246B1 (ko) 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
KR20220160073A (ko) 노치된 (notch) 웨이퍼들을 프로세싱하기 위한 플라즈마-배제-존 (plasma-exclusion-zone) 링들
WO2021202136A1 (en) Cooled edge ring with integrated seals
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
WO2022108900A1 (en) Substrate support with uniform temperature across a substrate
KR20200086375A (ko) 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법

Legal Events

Date Code Title Description
A201 Request for examination