KR20220143019A - 기판 프로세싱 장치 및 방법 - Google Patents

기판 프로세싱 장치 및 방법 Download PDF

Info

Publication number
KR20220143019A
KR20220143019A KR1020227026989A KR20227026989A KR20220143019A KR 20220143019 A KR20220143019 A KR 20220143019A KR 1020227026989 A KR1020227026989 A KR 1020227026989A KR 20227026989 A KR20227026989 A KR 20227026989A KR 20220143019 A KR20220143019 A KR 20220143019A
Authority
KR
South Korea
Prior art keywords
chamber
inner chamber
plasma
certain embodiments
processing apparatus
Prior art date
Application number
KR1020227026989A
Other languages
English (en)
Inventor
바이노 킬피
Original Assignee
피코순 오와이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피코순 오와이 filed Critical 피코순 오와이
Publication of KR20220143019A publication Critical patent/KR20220143019A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

기판 프로세싱 장치는, 상부 부분 및 하부 부분에 의해 형성되는 내부 챔버, 상기 내부 챔버의 상기 상부 부분 내에서 기판을 지지하는 기판 지지부, 상기 내부 챔버의 상측에서 플라즈마 종을 상기 내부 챔버에 제공하는 플라즈마 시스템, 및 상기 내부 챔버의 상기 상부 부분을 둘러싸는 외부 챔버를 포함한다. 상기 내부 챔버의 상기 하부 부분은, 상기 외부 챔버의 외측으로 연장되고 상기 외부 챔버에 의해 덮이지 않은 채로 유지된다.

Description

기판 프로세싱 장치 및 방법
본 발명은 일반적으로 기판 프로세싱 방법 및 장치에 관한 것이다. 보다 구체적으로, 그러나 배타적이지 않은, 본 발명은 플라즈마 강화 원자층 증착 (ALD) 반응기에 관한 것이다.
이 섹션은 최신 기술을 대표하는 여기에 설명된 기술의 승인 없이 유용한 배경 정보를 설명한다.
원자층 증착(ALD)과 같은, 화학 증착 방법에서, 플라즈마는 표면 반응을 위해 요구되는 추가 에너지를 제공하도록 사용될 수 있다. ALD 반응기는 수십년 전부터 존재해 왔으나, 플라즈마 강화 반응기는 더 젊은 기술을 대표한다. 개선된 플라즈마 강화 ALD 반응기를 개발하거나, 적어도 기존 솔루션에 대한 대안을 제공할 필요가 지속된다.
본 발명의 특정 실시예의 목적은 개선된 기판 프로세싱 장치를 제공하거나 적어도 기존 기술에 대한 대안적인 솔루션을 제공하는 것이다.
본 발명의 제1 예시적 측면을 따르면,
상부 부분 및 하부 부분에 의해 형성되는 내부 챔버;
상기 내부 챔버의 상기 상부 부분 내에서 기판을 지지하는 기판 지지부;
상기 내부 챔버의 상측에서 플라즈마 종을 상기 내부 챔버에 제공하는 플라즈마 시스템;
상기 내부 챔버의 상기 상부 부분을 둘러싸는 외부 챔버이나, 상기 내부 챔버의 상기 하부 부분은, 상기 외부 챔버의 외측으로 연장되고 상기 외부 챔버에 의해 덮이지 않은 채로 유지되는 외부 챔버를 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 기판 프로세싱 장치는:
상기 내부 챔버의 상기 상부 부분을 가열하도록 상기 외부 챔버에 히터를 포함한다.
특정 실시예에서, 기판 프로세싱 장치는:
상기 외부 챔버에 열 반사기를 포함한다.
특정 실시예에서, 기판 프로세싱 장치는 히터와 외부 챔버 벽 또는 벽들 사이에 열 반사기를 포함한다.
특정 실시예에서, 상기 플라즈마 시스템은 상기 내부 챔버의 상기 상측으로부터 또는 상기 내부 챔버의 상기 상부 부분의 상부를 통해 상기 내부 챔버에 들어가는 두개의 상이한 플라즈마 종을 상기 내부 챔버에 제공하도록 구성된다. 특정 실시예에서, 상기 플라즈마 시스템은 두개의 상이한 플라즈마 종을 상기 내부 챔버에 제공하도록 구성되고, 제1 플라즈마 종은 상기 내부 챔버의 상기 상부 부분에서 생성되고, 제2 플라즈마 종은 원격으로 생성된다. 특정 실시예에서, 원격으로 생성된 플라즈마 종은 인-피드 라인을 통해 상기 내부 챔버의 상기 상부 부분으로, 바람직하게는 반응 챔버 상부를 통해 공급된다.
특정 실시예에서, 기판 프로세싱 장치는:
(내부 및/또는 외부 챔버의 상측 상에) 이동 가능한 덮개 또는 덮개 시스템을 포함한다.
특정 실시예에서, 덮개 또는 덮개 시스템은 외부 챔버의 덮개를 형성한다. 특정 실시예에서, 내부 챔버는 개별 덮개를 갖는다. 특정 실시예에서, 내부 챔버의 개별 덮개는 생략되고, 덮개 시스템 또는 외부 챔버 덮개가 외부 및 내부 챔버 모두에 대한 덮개로서 기능한다.
따라서, 특정 실시예에서, 덮개 시스템(또는 외부 챔버 덮개)은 외부 챔버에 덮개를 형성한다. 특정 실시예에서, 덮개 시스템은 외부 챔버와 내부 챔버 모두를 동시에 개방(및 폐쇄)한다. 특정 시스템에서, 덮개 시스템은 이동 가능하고 및/또는 개방 가능하다. 특정 실시예에서, 덮개 시스템은 힌지 또는 직선 리프팅 이동에 의해 개방된다.
특정 실시예에서, 기판 프로세싱 장치는 상기 덮개 시스템(또는 외부 챔버 덮개)에 적어도 하나의 인-피드 라인(in-feed line)(또는 파이프라인/파이프)의 피드스루(feedthrough)를 포함한다.
특정 실시예에서, 덮개 시스템을 개방할 때 적어도 하나의 인-피드 라인이 개방(또는 중단)된다는 의미에서 피드스루가 개방된다(또는 개방 가능함). 특정 실시예에서, 덮개 시스템이 개방되는(또는 개방하는) 경우 피드스루가 인-피드 라인을 중단한다는 의미에서 피드스루가 개방된다(또는 개방 가능함).
특정 실시예에서, 외부 챔버는 원통형이다. 특정 실시예에서, 덮개 시스템은 외부 챔버의 영역의 외측으로 측방으로 연장된다. 특정 실시예에서, 외측으로 측방으로 연장된다는 것은 덮개 시스템이 외부 챔버 경계의 외측으로 측방으로 연장된다는 것을 의미한다. 경계는 외부 챔버 벽(들)에 의해 형성될 수 있고, 일부 실시예에서, 수평면에 의해 수직 방향으로 외부 챔버를 절단함으로써 얻어진다.
특정 실시예에서, 피드스루는 시일(seal)에 의해 실링된다.
특정 실시예에서, 적어도 하나의 인-피드 라인의 피드스루는 외부 챔버의 외측 상에 있는 덮개 시스템의 영역에 위치된다. 따라서, 특정 실시예에서, 적어도 하나의 인-피드 라인은 외부 챔버를 통과하지 않고 덮개 시스템을 통과한다. 특정 실시예에서, 적어도 하나의 인-피드 라인은 외부 챔버의 외측 상의 덮개 시스템을 통해서만 관통한다. 특정 실시예에서, 상기 피드스루는 스루-홀 피드스루(through-hole feedthrough)이다. 특정 실시예에서, 상기 피드스루는 수직 스루-홀 피드스루이다.
특정 실시예에서, 덮개 시스템은 외부 챔버의 상측으로부터 외부 챔버를 폐쇄한다. 특정 실시예에서, 내부 챔버는 덮개 시스템을 통해 외부 챔버의 외측으로 연장된다. 특정 실시예에서, 내부 챔버는 외부 챔버 위로 연장된다. 특정 실시예에서, 덮개 시스템은 외부 챔버 덮개이다. 특정 실시예에서, 덮개 시스템은 외부 챔버의 상부를 형성하는 외부 챔버 상에 받쳐진다.
특정 실시예에서, 장치 캐비닛은 외부 챔버를 수용한다. 특정 실시예에서, 덮개 시스템은 장치 본체 부분 또는 프레임에 부착된다. 특정 실시예에서, 덮개 시스템은 장치 캐비닛의 부분에 부착된다. 특정 실시예에서, 장치 캐비닛은 장치 본체 부분 및/또는 프레임을 수용한다. 특정 실시예에서, 부착은 힌지 메커니즘에 의해 또는 리프트 메커니즘에 의해 구현된다.
특정 실시예에서, 플라즈마 시스템은 적어도 하나의 플라즈마 생성기를 포함한다. 특정 실시예에서, 플라즈마 생성기는 플라즈마 어플리케이터 또는 전원을 포함한다. 예를 들어, 마이크로웨이브 플라즈마 생성기의 경우 플라즈마 생성기는 마이크로웨이브 전원 및 플라즈마 어플리케이터 부분을 포함한다. 플라즈마 가스는 어플리케이터 부분을 통해 유동되고, (마이크로웨이브) 전원으로부터 전달되는 (마이크로웨이브) 전력은 플라즈마 종을 형성하도록 플라즈마 가스에 인가된다.
특정 실시예에서, 플라즈마 시스템은:
이동 가능한(또는 개방 가능한) 덮개 시스템(또는 덮개 시스템과 통합됨)에 플라즈마 어플리케이터를 포함한다.
특정 실시예에서, 플라즈마 시스템은 마이크로웨이브 플라즈마 생성기 및/또는 중공 음극 플라즈마 생성기를 포함한다.
특정 실시예에서, (플라즈마 어플리케이터가 덮개에 있거나, 그에 통합된) 플라즈마 생성기의 전원은 덮개(또는 덮개 시스템)가 아닌 다른 위치에서 장치 내에 위치된다. 따라서, 특정 실시예에서 덮개는 플라즈마 생성기의 전원(무거울 수 있음) 없이 구현된다. 특정 실시예에서, 전원은 장치 캐비닛 내에 위치된다.
이하에서, 덮개의 또는 덮개 시스템에 통합된 플라즈마 생성기를 논의하는 경우, 적어도 플라즈마 어플리케이터(또는 플라즈마 가스가 유동되는 플라즈마 생성기의 일부)가 덮개(또는 덮개 시스템)에 있거나 통합된다는 것을 의미한다.
특정 실시예에서, 플라즈마 시스템은 플라즈마 생성 체적을 갖는 플라즈마 생성기를 포함한다. 특정 실시예에서, 플라즈마 생성 체적은 방사선 송신 안테나의 어레이를 포함한다. 특정 실시예에서, 안테나는 상기 플라즈마 어플리케이터 부분(또는 플라즈마 어플리케이터)의 일부를 형성한다.
특정 실시예에서, 플라즈마 생성 체적 및/또는 방사선 송신 안테나의 수직 위치는 외부 챔버 위에 있다.
특정 실시예에서, 방사선 송신 안테나는 수평으로 배향된다(수평으로 연장되거나 확산됨).
특정 실시예에서, 플라즈마 생성기는 플라즈마 가스가 플라즈마 생성 체적에 들어가도록 개구 또는 개구들을 포함한다. 개구(들)는 플라즈마 생성 체적의 지붕에 위치할 수 있다. 특정 실시예에서, 플라즈마 생성 체적에의 개구는 플라즈마 생성 체적의 지붕에서 복수의 플라즈마 인-피드 라인 개구로 구현된다. 특정 실시예에서, 플라즈마 생성 체적은 내부 체적의 일부를 형성한다. 특정 실시예에서, 플라즈마 생성 체적 및/또는 방사선 송신 안테나는 외부 챔버의 위에 위치된다. 플라즈마는 개구(들)로부터 도달하는 플라즈마 가스를 안테나에 의해 송신된 방사선에 노출함으로써 플라즈마 생성 체적 내에서 점화된다. 특정 실시예에서, 자석 또는 UV 광과 같은, 추가 방법이 점화를 개선하도록 적용될 수 있다. 형성된 플라즈마 종은 플라즈마 생성 체적으로부터 기판을 향해 하향으로 유동된다. 비-플라즈마 가스, 예를 들어 열 ALD를 위한 전구물 또한 플라즈마 생성 체적으로 통과될 수 있다. 특정 실시예에서, 하나 이상의 파이프는 안테나가 위치하는 영역을 통과한다. 파이프(들)는 안테나의 영역의 하류에 있는 지점(들)에서 비-플라즈마 가스를 플라즈마 생성 체적으로(또는 플라즈마 생성 체적 아래의 체적으로) 방출한다. 파이프(들)는 안테나에 의해 송신된 방사선이 관통할 수 없는, 금속과 같은 재료로 만들어진다. 따라서, 비-플라즈마 가스는 플라즈마 생성 체적을 통과하더라도 기판 지지부를 향하는 중에 영향을 받지 않고 유지된다.
특정 실시예에서, 반응 챔버 압력은 증착(또는 프로세스) 사이클의 플라즈마 노출 기간(또는 플라즈마 펄스) 동안 50Pa 이상으로 유지된다. 특정 실시예에서, 반응 챔버 압력은 프로세스 사이클의 퍼지 기간 동안 50Pa 이하로 유지되도록 펌핑된다. 특정 실시예에서, 반응 챔버 압력은 증착(또는 프로세스) 사이클의 플라즈마 노출 기간 동안 50Pa 이상으로 유지되고 프로세스 사이클의 퍼지 기간 동안 50Pa 이하로 펌핑된다. 특정 실시예에서, 압력은 퍼지 기간의 끝에서 50Pa 이상으로 다시 상승된다. 특정 실시예에서, 상기 퍼지 기간은, 화학적 퍼지 기간, 즉, 프로세스 사이클에서 화학적 펄스(또는 노출) 기간에 뒤따르는(또는 후속하는) 퍼지 기간이다. 특정 실시예에서, 여기서의 화학 물질은 비-플라즈마 화학 물질을 의미한다. 특정 실시예에서, 압력은 화학적 펄스 기간 동안에도 50Pa 이하로 유지된다. 특정 실시예에서, 압력은 프로세스 사이클에서 플라즈마 노출 기간에 뒤따르는(또는 후속하는) 퍼지 기간 동안 50Pa 이하로 유지된다.
특정 실시예에서, 내부 챔버(반응 챔버)는 반응 챔버 보울을 포함한다.
특정 실시예에서, 기판 지지부는 반응 챔버 보울에 대해 대칭적으로 위치한다(위로부터 볼 경우). 특정 실시예에서, 기판 지지부는 반응 챔버 보울에 대해 동심으로 위치한다.
특정 실시예에서, 기판 프로세싱 장치는 플라즈마 시스템(또는 플라즈마 생성/어플리케이터)과 반응 챔버 보울 사이에 유동 가이드부를 포함한다. 특정 실시예에서, 유동 가이드부는 채널 직경을 증가시키는 부분이다(채널의 형태는 변경되지 않고 유지될 수 있음, 예를 들어, 곡선형). 특정 실시예에서, 유동 가이드부는, 예를 들어 직사각형으로부터 원형(또는 곡선형)으로 유동 채널 단면 유형을 변환하는 부분이다. 또한 후자의 경우, 유동 가이드부에 의해 유동 채널의 유동 영역 단면을 증가시킬 수 있다. 특정 실시예에서, 유동 가이드부는 생략된다.
특정 실시예에서, 기판 프로세싱 장치는, 선택적으로 그 사이에 시일을 갖는, 유동 가이드부에 대해 반응 챔버 보울을 누르도록(예를 들어, 보울 리프트 액츄에이터에 의해) 구성된다. 특정 실시예에서, 시일은 진공 시일이다. 특정 실시예에서, 기판 프로세싱 장치는 유동 가이드부, 내부 챔버의 상부 부분의 상부를 형성하는 반응 챔버 상부 또는 반응 챔버 대응물에 대해 반응 챔버 보울을 누르도록 구성된다.
특정 실시예에서, 상기 반응 챔버 보울은 기판 로딩을 위한 보울 리프트 액츄에이터에 의해 하강되도록 구성된다(유동 가이드부(또는 반응 챔버 상부 또는 대응물)로부터 분리 및/또는 로딩 갭 형성을 위해).
특정 실시예에서, 기판 프로세싱 장치는 기판 지지부의 둘레로부터 주변 반응 챔버 보울의 가장 가까운 표면까지 플라즈마 벽 효과를 방지하는 자유 측방 거리를 포함한다. 특정 실시예에서, 상기 거리는 적어도 70mm이다. 특정 실시예에서, 거리는 50mm보다 크고, 바람직하게는 50 내지 200mm, 그리고 보다 바람직하게는 65 내지 80mm이다.
특정 실시예에서, 기판 프로세싱 장치는 플라즈마 시스템(또는 플라즈마 생성기/어플리케이터)과 반응 챔버 보울 사이에 유동 가이드부를 포함한다. 특정 실시예에서, 여기에서 상기 유동 가이드부는 상기 반응 챔버 대응물을 형성한다.
특정 실시예에서, 기판 지지부는 내부 챔버의 하부 부분의 바닥에 부착되는 풋(foot) 부분을 포함한다. 따라서, 특정 실시예에서, 기판 지지부는 하부 부분으로부터 아래로 지지된다.
특정 실시예에서, 기판 지지부의 풋 부분은 기판 지지부를 바닥에 부착하는 부착 플랜지를 포함하고, 부착 플랜지는 내부 챔버 내에, 즉, 바닥의 진공 압력 측면에 위치한다. 이는 특정 실시예에서, 기판 지지부가 전체로서 이후 내부 챔버로부터 리프트될 수 있기 때문에 장치의 서비스를 용이하게 한다.
특정 실시예에서, 내부 챔버의 하부 부분은 반응 챔버 보울로부터 하향으로 연장된다. 특정 실시예에서, 하부 부분은 외부 챔버에 위치된 히터에 의해 비-가열된 상태로 유지된다. 특정 실시예에서, 하부 부분은 상부 부분을 가열하는 히터(또는 히터들)와 분리된 히터(들)를 갖는다. 특정 실시예에서, 하부 부분은 내부 챔버 풋 부분을 형성한다. 특정 실시예에서, 반응 챔버 보울은 회전 대칭을 갖는다. 특정 실시예에서, 반응 챔버 보울은 개구로부터 연장되는 하부 부분의 바닥에 개구를 포함한다. 특정 실시예에서, 개구는 바닥의 중앙에 대칭적으로 위치한다. 특정 실시예에서, 하부 부분은 그 길이에 걸쳐 동일한 폭을 갖는다. 특정 실시예에서, 상기 폭은 반응 챔버 보울의 바닥의 (원형/곡선형) 개구의 폭과 동일하다. 특정 실시예에서, 하부 부분은 그 측면에서 펌프 라인에 대한 연결부를 포함한다. 특정 실시예에서, 펌프 라인에 대한 연결부는 하부의 높이의 가장 낮은 1/3 내에 위치한다.
특정 실시예에서, 기판 프로세싱 장치는 불활성 가스를 외부 챔버로 안내하도록 구성되고, 장치는 내부 챔버를 통과하지 않고 그로부터 펌프 라인으로의 경로를 포함한다.
특정 실시예에서, 기판 프로세싱 장치는 반응 챔버 보울과 함께 이동하는 열 반사기 부분을 포함한다. 특정 실시예에서, 열 반사기 부분은 반응 챔버 보울이 그 상부 위치에 있을 경우 기판 로딩 경로(또는 개구)를 폐쇄하거나 적어도 부분적으로 또는 대부분 폐쇄한다. 특정 실시예에서, 열 반사기 부분은 외부 챔버로부터 기판 로딩 채널로의 열 전달을 방해하도록 구성된다.
특정 실시예에서, 기판 프로세싱 장치는 하나 또는 복수의 소스 화학 캐비닛을 포함한다. 특정 실시예에서, 하나 이상의 소스 화학 캐비닛은 배기 연결부를 포함한다. 특정 실시예에서, 배기 연결부는 펌프 라인(배기 라인) 또는 유사한 것에 대한 것이다. 특정 실시예에서, 소스 화학 캐비닛(들)으로부터 배기 연결부(들)로의 가스 유동은 캐비닛(들)으로부터 누출을 방지하도록 소스 화학 캐비닛(들) 내의 체적을 주위 압력 이하로 유지한다. 특정 실시예에서, 소스 화학 캐비닛은 불활성 기체에 의해 퍼지된다. 특정 실시예에서, 소스 화학 캐비닛은 불활성 퍼지 가스의 입구 및 출구(배기 연결부)를 포함한다. 특정 실시예에서, 소스 화학 캐비닛(들)은 누출이 없다.
특정 실시예에서, 기판 프로세싱 장치는 플라즈마 가스의 제1 소스 및 상이한 플라즈마 가스의 제2 소스를 포함한다. 특정 실시예에서, 제1 플라즈마 가스 및 제2 플라즈마 가스는 동일한 플라즈마 인-피드 라인을 따라 이동한다. 특정 실시예에서, 제1 플라즈마 가스 및 제2 플라즈마 가스는 덮개-시스템 피드스루의 상류에서 동일한 플라즈마 인-피드 라인을 따라 이동한다. 특정 실시예에서, 제1 플라즈마 가스는 ALD 밸브 또는 펄싱 밸브에서 제1 플라즈마 생성기로 이어지는 인-피드 라인으로 분리되고, 제2 플라즈마 가스는 (개별) 제2 플라즈마 생성기로 이어지는 인-피드 라인으로 분리된다. 특정 실시예에서, ALD 밸브 또는 펄싱 밸브는 덮개-시스템 피드스루의 상류에 위치된다. 특정 실시예에서, 문제의 플라즈마 가스 분할 밸브는 삼방 밸브이다. 특정 실시예에서, 덮개-시스템 피드스루 하류의 제1 플라즈마 생성기로 이어지는 인-피드 라인은 덮이지 않은 비-가열 파이프라인으로 이동한다. 유사하게, 덮개-시스템 피드스루 하류의 제2 플라즈마 생성기로 이어지는 인-피드 라인은 덮이지 않은 비-가열 파이프 라인으로 이동한다.
특정 실시예에서, 복수의 비-플라즈마 가스(예를 들어, 하나 이상의 금속 전구물 및/또는 비금속 전구물(들) 및/또는 불활성 가스와 같은, 비-플라즈마 전구물 및/또는 열 ALD 전구물)는 덮개-시스템 피드스루의 상류 또는 하류 모두에서 공통 인-피드 라인을 따라 유동된다. 특정 실시예에서, 비-플라즈마 가스의 상기 공통 인-피드 라인은 가열되고 절연된 파이프 라인이다.
따라서, 플라즈마 강화 ALD 반응기와 같은, 기판 프로세싱 반응기 또는 장치의 내부 챔버 또는 반응 챔버로의 가스 공급은, 단지 3개의 인-피드 라인으로 실현될 수 있다. 특정 실시예에서, 각각의 인-피드 라인은 공통 피드스루 지점에서 덮개 시스템을 통과한다.
특정 실시예에서, 덮개-시스템의 피드스루는 덮개-시스템의 측면을 포함하는 힌지 메커니즘에 반대인 덮개-시스템의 측면에 위치된다.
특정 실시예에서, 덮개 시스템은 2개의 플라즈마 생성기(하나 또는 모든 플라즈마 생성기가 덮개 시스템에 부착되거나 통합될 수 있음)를 포함하고, 하나는 기판 프로세싱을 위한 것이며, 하나는 주로 내부 챔버를 세정하기 위한 것이다. 특정 실시예에서, 덮개-시스템 상에 위치된 제1 플라즈마 생성기는 내부 챔버(또는 반응 챔버)를 폐쇄한다. 특정 실시예에서, 기판 프로세싱을 위해 제1 플라즈마 생성기에 의해 생성된 플라즈마 종은 내부 챔버의 경계 내에서 생성된다.
특정 실시예에서, 선택적인 제2 플라즈마 생성기는 플라즈마 종이 원격으로 생성되고 인-피드 라인을 통해 내부 챔버로 전달되는 원격 플라즈마 생성기이다. 특정 실시예에서, 내부 챔버의 상부 부분의 상부는 비스듬한 상부 코너를 갖고, 이를 통해 원격 생성된 플라즈마 종의 공급 방향이 적어도 부분적으로 하향으로 되도록 원격 생성된 플라즈마 종이 내부 챔버로 공급된다.
특정 실시예에서, 제1 및 제2 플라즈마 생성기의 적어도 각각의 플라즈마 어플리케이터는 덮개 시스템에 위치한다.
특정 실시예에서, 내부 챔버의 하부 부분은 2개의 배기 개구를 포함한다. 특정 실시예에서, 제1 배기 개구는 터보 분자 펌프를 위한 것이고, 제2 배기 개구는 건식 펌프와 같은 다른 배기 펌프(또는 배출 펌프)를 위한 것이다. 특정 실시예에서, 제2 배기 개구를 통한 배기 라인은 터보 분자 펌프를 바이-패스한다.
특정 실시예에서, 제1 배기 라인은 제1 밸브를 통해 내부 챔버의 하부 부분으로부터 터보 분자 펌프까지 연장되고, 제2 배기 라인은 제2 밸브를 통해 내부 챔버의 하부 부분으로부터 다른 배기 펌프로 연장되고, 제1 배기 라인은 터보 분자 펌프 및 제2 밸브로부터 하류 및 다른 배기 펌프(건식 펌프와 같은 비-터보 분자 진공 펌프)로부터 상류의 제1 합류 지점에서 제2 배기 라인을 합류시킨다. 특정 실시예에서, 제1 밸브는, 진자 밸브(또는 버터플라이 밸브)와 같은, 유동 제한 제어 밸브이다. 특정 실시예에서, 제2 밸브는 폐쇄 밸브이다. 특정 실시예에서, 제3 배기 라인은 외부 챔버로부터 제3 밸브까지 연장되고 제3 밸브로부터 하류 및 제2 밸브로부터 상류의 제2 합류 지점에서 제2 배기 라인과 합류한다. 특정 실시예에서, 제3 밸브는 버터플라이 밸브(또는 진자 밸브)와 같은 유동 제한 제어 밸브이다. 제2 밸브는 개방되고 제1 밸브는 폐쇄된 실시예와 같은 배기 라인 시스템에서, 재료(가스 및/또는 입자와 같은)는 제1 배기 라인을 통해 내부 챔버로부터 재료를 제거하지 않고 제2 배기 라인 및 제2 밸브를 통해 내부 챔버로부터 상기 다른 배기 펌프로 또는 상기 다른 배기 펌프를 향해 제거된다. 또한, 제1 및 제3 밸브가 개방되고 제2 밸브가 폐쇄된 실시예와 같은 배기 라인 시스템에서, 재료는 제3 밸브를 통해 제3 배기 라인을 따라 이동하고 제2 배기 라인을 따라 내부 챔버로 이동하는 경로를 통해 외부 챔버로부터 제거되고, 제1 밸브 및 터보 분자 펌프를 통해 제1 배기 라인을 따라 내부 챔버로부터 더 멀리 배기된다(상기 다른 배기 펌프로 또는 향하여). 후자의 실시예에서, 제2 밸브는 우회된다.
특정 실시예에서, 기판 지지부는 상기 풋 부분(또는 받침대) 및 받침대의 상부 상의 서셉터 부분을 포함한다. 특정 실시예에서, 서셉터 부분은 세라믹 재료로 만들어진 림(rim)(서셉터 부분의 에지 상)을 포함한다.
특정 실시예에서, 기판 지지부는 내부 챔버의 하부 부분의 바닥을 통해 작동되는 리프터 핀(lifter pin)을 포함한다. 특정 실시예에서, 기판 지지부는 리프터 핀이 그 하부 부분에 있는 경우 서셉터 부분을 통해 연장되는 스루 홀을 폐쇄하도록 확장되는 상부 부분을 갖는 리프터 핀을 포함한다.
특정 실시예에서, 기판 프로세싱 장치는 부분을 이동시킴으로써 핀을 수직으로 이동시키도록 리프터 핀이 부착된 받침대 주위의 부분(원형 부분일 수 있음)을 포함한다. 특정 실시예에서, 이동은 예를 들어, 내부 챔버의 하부 부분의 바닥을 통해 연장되는 연결 요소로 아래로부터 작동된다.
특정 실시예에서, 기판을 지지하기 위한 서셉터 부분의 상부 표면은 고르지 않다. 특정 실시예에서, 기판 프로세싱 장치는 서셉터 부분과 기판 사이의 공간으로, 헬륨과 같은 보호 및/또는 열 전도 가스를 안내하는 채널을 받침대 내부에 포함한다. 특정 실시예에서, 가스는 상기 공간으로부터 받침대의 내부로 하향으로 다시 흡입된다. 따라서, 특정 실시예에서, 보호 및/또는 열 전도 가스 순환이 제공된다.
특정 실시예에서, 배기 펌프로 이어지는 배기 개구(내부 챔버 풋 부분의 측벽에서)의 직경은 적어도 15cm이다. 보다 바람직한 특정 실시예에서, 직경은 15cm 내지 30cm의 범위 내에 있다. 보다 더 바람직한 실시예에서, 직경은 20cm 내지 25cm의 범위 내에 있다.
특정 실시예에서, 내부 챔버 풋 부분은 원통형 형상이다. 특정 실시예에서, 내부 챔버 풋 부분의 직경은 적어도 20cm이다. 보다 바람직한 특정 실시예에서, 직경은 20cm 내지 50cm 범위 내에 있다. 보다 더 바람직한 실시예에서, 직경은 20cm 내지 30cm 범위 내에 있다.
특정 실시예에서, 배기 개구 및 내부 챔버 풋 부분의 이들 직경 값 또는 범위는 특히 배기 라인에 터보 분자 펌프가 있는 경우에 적용된다. 다른 실시예에서, 특히 터보 분자 펌프가 생략되고 다른 (덜 효과적인) 진공 펌프로 대체되는 경우, 내부 챔버 풋 부분 및 배기 개구의 단면적은 더 적을 수 있다. 그 후, 배기 개구는 예를 들어, KF40(약 4cm의 펌프 라인의 내부 직경) 이상의 크기일 수 있다.
특정 실시예에서, 내부 챔버는 외부 챔버의 외측으로 하향 연장된다. 특정 실시예에서, 연장부의 높이는 내부 챔버 풋 부분의 직경을 초과한다. 특정 실시예에서, 연장부의 높이는 적어도 25cm이다. 보다 바람직한 특정 실시예에서, 연장부의 높이는 적어도 30cm이다.
특정 실시예에서, 내부 챔버의 바닥과 기판 홀더 상부 표면 사이의 수직 거리는 적어도 40cm이다. 보다 바람직한 특정 실시예에서, 내부 챔버의 바닥과 기판 홀더 상부 표면 사이의 수직 거리는 적어도 50cm이다. 보다 바람직한 특정 실시예에서, 내부 챔버의 바닥과 기판 홀더의 상부 표면 사이의 수직 거리는 50cm 내지 100cm의 범위 내에 있다.
특정 실시예에서, 반응 챔버 보울은 회전 대칭을 갖는 부분이다. 특정 실시예에서, 반응 챔버 보울의 직경은 기판 홀더의 직경보다 적어도 10cm 더 크다. 보다 바람직한 특정 실시예에서, 반응 챔버 보울의 직경은 기판 홀더의 직경보다 적어도 14cm 더 크다.
특정 실시예에서, 기판 홀더는 직경이 적어도 200mm, 보다 바람직하게는 300mm 이상인 기판, 예를 들어 웨이퍼를 지지하도록 장착된다.
본 발명의 제2 예시적 측면을 따르면,
내부 챔버;
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버;
사익 내부 챔버에서 기판을 지지하는 기판 지지부; 및
상기 내부 챔버 내에서 제1 플라즈마 종을 생성하는 제1 플라즈마 생성기 및 상기 내부 챔버의(및 외부 챔버의) 외측 상에서 제2 플라즈마 종을 생성하는 원격 플라즈마 생성기인 제2 플라즈마 생성기를 포함하는 플라즈마 시스템을 포함하는 기판 프로세싱 장치가 제공된다.
본 발명의 제3 예시적 측면을 따르면,
내부 챔버;
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버;
상기 내부 챔버에서 기판을 지지하는 기판 지지부;
이동 가능한(또는 개방 가능한) 덮개 시스템; 및
상기 덮개 시스템의 플라즈마 어플리케이터를 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 덮개 시스템은 힌지 덮개 시스템이다. 특정 실시예에서, 덮개 시스템은 다른 리프트 가능한 덮개-시스템, 예를 들어, 비-힌지 덮개 시스템, 예를 들어 방향을 변경하지 않고 리프트 가능한 리프트 덮개이다. 덮개 시스템에는 실시예에 따라 힌지 또는 비-힌지 덮개 시스템을 리프트하기 위한 엘리베이터가 제공될 수 있다. 특정 실시예에서, 덮개 시스템은 시일에 의해 실링된다.
특정 실시예에서, 기판 프로세싱 장치는 덮개 시스템을 통한 적어도 하나의 인-피드 라인의 피드스루를 포함한다. 특정 실시예에서, 피드스루는 시일에 의해 실링된다.
특정 실시예에서, 플라즈마 어플리케이터는 플라즈마 생성기의 일부를 형성한다. 특정 실시예에서, 플라즈마 생성기는 (플라즈마) 전원을 더 포함한다. 특정 실시예에서, 전원은 또한 덮개 시스템에 있다. 다른 실시예에서, 전원은 장치의 다른 곳에 위치된다.
본 발명의 제4 예시적 측면을 따르면,
내부 챔버;
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버; 및
상기 기판 프로세싱 장치의 기판 로딩 개구를 덮도록 반응 챔버 보울과 함께 이동하는 상기 외부 챔버의 열 반사기 부분(또는 플레이트)을 포함하는 기판 프로세싱 장치가 제공된다.
본 발명의 제5 예시적 측면을 따르면,
내부 챔버;
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버; 및
외부 챔버 덮개를 통과하도록 적어도 하나의 가스 인-피드 라인을 위한 스루-홀 피드스루(through-hole feedthrough)를 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 피드스루는 시일에 의해 실링된다. 특정 실시예에서, 피드스루는 개구이다(또는 개방 가능함).
본 발명의 제6 예시적 측면을 따르면,
반응 챔버(또는 내부 챔버);
기판 지지부;
부착 플랜지를 통해 반응 챔버 바닥에 부착되는 상기 기판 지지부의 받침대로서, 상기 부착 플랜지는 상기 반응 챔버 내에(즉, 반응 챔버 바닥의 진공 압력 측면 상에) 위치하는 기판 프로세싱 장치가 제공된다.
본 발명의 제7 예시적 측면을 따르면,
하부 부분을 갖는 반응 챔버(또는 내부 챔버)로서, 상기 반응 챔버의 상기 하부 부분은 터보 분자 펌프를 위한 제1 배기 개구, 및 다른 배기 펌프를 위한 제2 배기 개구를 포함하고, 상기 제2 배기 개구를 통해 이동하는 배기 라인은 상기 터보 분자 펌프를 바이-패스하는 기판 프로세싱 장치가 제공된다.
본 발명의 제8 예시적 측면을 따르면,
내부 챔버;
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버를 포함하고,
상기 내부 챔버는 하부 부분을 포함하고, 상기 장치는, 상기 내부 챔버의 상기 하부 부분으로 들어가고 배기 개구를 통해 배기 펌프를 향해 상기 하부 부분을 나가는, 외부 챔버로부터의 배기 라인을 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 상기 외부 챔버로부터의 출력과 상기 하부 부분으로 들어가는 것은, 버터플라이 밸브와 같은, 제2 유동 제한 제어 밸브를 통해 발생한다. 특정 실시예에서, 상기 배기 펌프를 향해 나가는 것은, 진자 밸브와 같은, 제1 유동 제한 제어 밸브를 통해 발생한다. 특정 실시예에서, 상기 배기 펌프는 터보 분자 펌프이다.
본 발명의 제9 예시적 측면을 따르면,
반응 챔버(또는 내부 챔버);
기판 지지부;
반응 챔버 바닥에 부착된 상기 기판 지지부의 받침대; 및
상기 반응 챔버의 상기 바닥을 통해 연장되는 연결 요소를 통해 아래로부터 이동이 작동되는 상기 기판 지지부의 리프터 핀(lifter pin)을 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 기판 프로세싱 장치는 상기 받침대 주위의 부분으로서, 상기 연결 요소로 상기 부분을 이동시킴으로써 상기 핀을 수직으로 이동시키도록 상기 리프터 핀이 부착되는 상기 받침대 주위의 부분을 포함한다.
본 발명의 제10 예시적 측면을 따르면,
반응 챔버 보울을 포함하는 내부 챔버, 및
상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버를 포함하고,
상기 기판 프로세싱 장치는 상기 외부 챔버의 외측 상에서(또는 외측으로부터) 상기 보울의 이동을 작동시킴으로써 상기 반응 챔버를 이동시키도록(예를 들어, 하강 및 상승) 구성되는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 기판 프로세싱 장치는 연결 요소가 상기 반응 챔버 보울을 통과하고 상기 반응 챔버 보울에 수직 모션을 전달하기 위해 상기 외부 챔버 또는 외부 챔버 바닥을 통한 실링된 피드스루를 포함한다.
본 발명의 제11 예시적 측면을 따르면,
반응 챔버(또는 내부 챔버);
플라즈마 종을 반응 챔버에 제공하도록 플라즈마 어플리케이터를 포함하는 덮개 또는 덮개 시스템; 및
상기 덮개 또는 덮개 시스템의 냉각 장치를 포함하는 기판 프로세싱 장치가 제공된다.
특정 실시예에서, 상기 냉각 장치는, 물과 같은, 냉각제를 유동시키기 위해 상기 덮개 또는 덮개 시스템에 부착되거나 내장되는 채널을 포함한다.
특정 실시예에서, 순차적인 자체-포화(또는 자체-제한) 표면 반응은 반응 챔버의 기판 표면 상에서 수행된다.
특정 실시예에서, 기판 표면 상의 자체-포화 표면 반응은 가스 상 화학 물질을 도입하고 화학 물질을 플라즈마 상태로 활성화함으로써 영향을 받는다.
특정 실시예에 따르면, 제2 내지 제11 측면 중 어느 하나의 실시예가 제공되며, 실시예는:
제1 측면과 관련하여 제시된 임의의 단일 실시예의 주제, 또는 다음을 포함하는 실시예:
임의의 다른 실시예 또는 실시예들에서 제시된 주제와 결합된 제1 측면과 관련하여 제시된 실시예들 중 임의의 것의 주제를 포함한다.
본 발명의 더욱 일반적인 측면에 따르면:
반응 챔버; 및
본 개시에 개시된 실시예의 하나 이상의 특징을 포함하는 기판 프로세싱 장치가 제공된다.
본 발명의 추가 측면에 따르면, 기판 프로세싱 장치 측면에 대응하는 방법이 제공된다.
상이한 구속력이 없는 예시적 측면 및 실시예가 앞서 설명되었다. 상기 실시예는 단지 본 발명의 구현에서 활용될 수 있는 선택된 측면 또는 단계를 설명하도록 사용된다. 일부 실시예는 특정 예시적 측면을 참조하여야 제시될 수 있다. 대응하는 실시예는 다른 예시적 측면에도 적용된다는 것이 이해되어야 한다. 특히, 제1 측면의 맥락에서 기술된 실시예는 각각의 추가 측면에 적용 가능하다. 실시예의 임의의 적절한 조합이 형성될 수 있다.
이제 본 발명은 첨부 도면을 참조하여 단지 예시의 방식으로 기술될 것이다:
도 1은 특정 실시예에 따른 장치의 개략적인 단면도를 도시하고;
도 2는 특정 실시예에 따른 기판 로딩 상태의 도 1의 장치를 도시하고;
도 3은 특정 실시예에 따라 덮개 시스템이 개방 위치에 있는 도 1의 장치를 도시하고;
도 4는 특정 실시예에 따른 배기 시스템을 도시하고;
도 5는 특정 실시예에 따른 기판 지지부를 도시하고;
도 6은 특정 실시예에 따른 대안적인 구현을 도시하고;
도 7은 특정 실시예에 따른 제어 시스템을 도시하고; 및
도 8은 특정 실시예에 따른 냉각 장치의 개략도를 도시한다.
이하의 설명에서는, 원자층 증착(Atomic Layer Deposition: ALD) 기술 및 원자층 에칭(Atomic Layer Etching, ALE) 기술이 예로서 사용된다.
ALD 성장 메커니즘의 기초는 통상의 기술자에게 알려져 있다. ALD는 적어도 하나의 기판에 적어도 두개의 반응 전구물 종을 순차적으로 도입하는 것을 기초로 하는 특수 화학 증착 방법이다. 기본 ALD 증착 사이클은: 펄스 A, 퍼지(purge) A, 펄스 B 및 퍼지 B의 4가지 순차적 단계로 구성된다. 펄스 A는 제1 전구물 증기와 다른 전구물 증기의 펄스 B로 구성된다. 불활성 가스 및 진공 펌프는 일반적으로 퍼지 A 및 퍼지 B 동안 반응 공간으로부터 가스 반응 부산물 및 잔류 반응물 분자를 퍼징하는 데 사용된다. 증착 시퀀스는 적어도 하나의 증착 사이클을 포함한다. 증착 사이클은, 증착 시퀀스가 원하는 두께의 박막 또는 코팅을 생성할 때까지 반복된다. 증착 사이클은 더 단순하거나 더 복잡할 수도 있다. 예를 들어, 사이클은 퍼지 단계에 의해 분리된 3개 이상의 반응물 증기 펄스를 포함할 수 있거나, 또는 특정 퍼지 단계가 생략될 수 있다. 또는 예를 들어, 여기서 논의된 PEALD(plasma-enhanced atomic layer deposition, 플라즈마 강화 원자층 증착)와 같은 플라즈마 보조 ALD, 또는 광자 보조 ALD에서와 같이, 하나 이상의 증착 단계가 각각 플라즈마 또는 광자 인-피드(in-feed)를 통해 표면 반응을 위해 요구되는 추가 에너지를 제공함으로써 보조될 수 있다. 또는 반응성 전구물 중 하나가 에너지로 대체되어, 단일 전구물 ALD 프로세스로 이어질 수 있다. 따라서, 펄스 및 퍼지 시퀀스는 각각의 특정 경우에 따라 상이할 수 있다. 증착 사이클은 로직 유닛 또는 마이크로프로세서에 의해 제어되는 시간이 지정된 증착 시퀀스를 형성한다. ALD에 의해 성장된 박막은, 밀도가 높고, 핀홀이 없으며, 두께가 균일하다.
기판 프로세싱 단계와 관련하여, 적어도 하나의 기판은 일반적으로, 순차적인 자체 포화 표면 반응에 의해 기판 표면 상에 재료를 증착하기 위해 반응 컨테이너(또는 챔버)에서 일시적으로 분리된 전구물 펄스에 노출된다. 본 출원의 맥락에서, ALD라는 용어는 모든 적용 가능한 ALD 기초 기술과, 임의의 동등하거나 밀접하게 관련된 기술을 포함하고, 예를 들어, 다음 ALD 하위 유형: 분자층 증착(MLD), 플라즈마 보조 ALD, 예를 들어 플라즈마 강화 원자층 증착(PEALD) 및 광자 보조 또는 광자 강화 원자층 증착(플래시 강화 ALD 또는 포토 ALD로도 알려짐)을 포함한다.
그러나, 본 발명은 ALD 기술에 제한되지 않으며, 예를 들어 화학 기상 증착(Chemical Vapor Deposition(CVD)) 반응기, 또는 원자층 에칭(Atomic Layer Etching(ALE)) 반응기와 같은 에칭 반응기 같은 광범위한 기판 프로세싱 장치에서 활용될 수 있다.
ALE 에칭 메커니즘의 기초는 통상의 기술자에게 알려져 있다. ALE는 자체 제한인 순차적 반응 단계를 사용하여 표면으로부터 재료 층이 제거되는 기술이다. 일반적인 ALE 에칭 사이클은 반응 층을 형성하기 위한 수정 단계와, 반응 층만을 벗기는 제거 단계를 포함한다. 제거 단계는 층 제거를 위해, 플라즈마 종, 특히 이온을 사용하는 것을 포함할 수 있다.
도 1은 특정 실시예에 따른 장치(100)의 개략적인 단면도를 도시한다. 장치(100)는, 예를 들어, 플라즈마 강화 ALD 및/또는 ALE 에칭이 가능한 기판 프로세싱 장치 또는 반응기이다. 특정 실시예에서, 장치(100)는 내부 챔버(또는 반응 챔버)(130) 및 내부 챔버(130)를 둘러싸는 외부 챔버(또는 진공 챔버)(140)를 포함한다. 장치(100)는 내부 챔버(130)에서 기판(미도시)을 지지하기 위한 기판 지지부(110)를 더 포함한다.
특정 실시예에서, 내부 챔버(130)는 상부 부분과 하부 부분에 의해 형성된다. 특정 실시예에서, 상부 부분은 반응 챔버 보울(133) 및 반응 챔버 상부(131)를 포함한다. 특정 실시예에서, 기판 지지부(110)는 내부 챔버(130)의 상부 부분 내에서, 보다 구체적으로 반응 챔버 보울(133)의 영역 내에서 기판을 지지한다. 특정 실시예에서, 기판 지지부(110)는 반응 챔버 보울(133)에 대해 대칭적으로 위치한다. 하부 부분은 참조 번호 132로 표시된다.
특정 실시예에서, 장치(100)는 내부 챔버(130)의 상측으로부터 또는 반응 챔버 상부(131)를 통해(또는 플라즈마 종은 반응 챔버 상부(131)에서 생성될 수 있음) 플라즈마 종을 내부 챔버(130)에 제공하기 위한 플라즈마 시스템을 포함한다. 특정 실시예에서, 플라즈마 시스템은 내부 챔버(130)의 상측 또는 반응 챔버 상부(131)에 제1 플라즈마 생성기(175)를 포함한다. 특정 실시예에서, 플라즈마 생성기(175)는 마이크로웨이브 플라즈마 생성기 또는 중공 음극 플라즈마 생성기이다. 플라즈마 생성기(175)는 구현에 따라 내부 챔버(130) 내측 및 내부 챔버(130)의 외측 모두에 부분을 가질 수 있다.
특정 실시예에서, 선택적인 유동 가이드부(미도시)는 플라즈마 생성기(175)와 반응 챔버 보울(133) 사이에 위치한다. 특정 실시예에서, 유동 가이드부(131)는 플라즈마 생성기(175)를 반응 챔버 보울(133)에 연결한다.
특정 실시예에서, 장치는 보울 리프트 액츄에이터(135)에 의해 작동되는 반응 챔버 보울 이동 시스템(134)을 포함한다. 이동 시스템(134)은, 예를 들어, 진공 벨로우즈(vacuum bellows)를 포함할 수 있다. 특정 실시예에서, 반응 챔버 보울(133)은 보울 리프트 액츄에이터(135)에 의해 작동될 때 이동 시스템(134)에 의해 하강되도록 구성된다. 특정 실시예에서, 보울 리프트 액츄에이터(135)는, 예를 들어, 액츄에이터(135)에 의해 포함된 벨로우즈로 수직 이동을 생성한다. 수직 이동은 커넥터 또는 연결 요소(30)에 의해 반응 챔버 보울(133) 또는 반응 챔버 보울(133)의 측면에 연결된다. 특정 실시예에서, 연결 요소(30)는 로드(rod)이다. 특정 실시예에서, 벨로우즈, 예를 들어 에지 용접된 벨로우즈와 같은 이동 시스템(134)은 반응 챔버 보울(133)의 수직 이동을 가능하게 한다. 특정 실시예에서, 이동 시스템(134)은 내부 챔버(130)의 하부 부분 또는 그 측벽의 일부를 형성한다. 특정 실시예에서, 이동 시스템(134)에 의해 덮인 영역에서 내부 챔버 벽에 부착된 관형 부분(40)은 내부 챔버(130)의 내측으로부터 이동 시스템을 보호한다. 특정 실시예에서, 관형 부분(40)은 이동 시스템(134)의 영역에서 매끄러운 내부 표면을 제공한다.
반응 챔버 보울의 수직 이동은 반응 챔버 상부(131)로부터 보울(133)을 분리시킨다. 특정 실시예에서, 보울(133)의 수직 이동은 기판 로딩을 위한 로딩 갭(239)(도 2 참조)을 형성한다. 기판은 이후, 화살표(20)로 도시된 바와 같이, 로딩 채널(137)을 통해 측면으로부터 기판 지지부(110) 상으로 로딩될 수 있다. 로딩 채널(137)은 외부 챔버(140)를 관통하는 수평 채널일 수 있다.
특정 실시예에서, 장치(100)는 반응 챔버 상부(131)(또는 경우에 따라 선택적 유동 가이드부 또는 다른 반응 챔버 대응물)에 대해 반응 챔버 보울(133)을 누르도록 구성된다. 특정 실시예에서, 반응 챔버 보울(133)과 대응물 사이의 인터페이스는 금속-금속 부착물이다. 특정 실시예에서, 반응 챔버 보울(133)과 대응물 사이의 인터페이스는 그 사이에 위치된 시일에 의해 실링된다. 특정 실시예에서, 상기 시일은 진공 시일이다. 실제로, 가압은, 보울 리프트 액츄에이터(135)에 의해 작동되는 이동 시스템(134)에 의해 구현될 수 있다.
특정 실시예에서, 외부 챔버(140)는 내부 챔버(130)의 상부 부분을 둘러싸는 반면, 하부 부분(132)은 외부 챔버(140)의 외측으로 연장되고 외부 챔버(140)에 의해 덮이지 않은 채로 유지된다.
특정 실시예에서, 장치(100)는 내부 챔버(130)의 상부 부분을 가열하도록 외부 챔버(140)에 히터(또는 히터들)(136)를 포함한다.
특정 실시예에서, 장치(100)는 외부 챔버(140)에 열 반사기(138)를 포함한다. 열 반사기(138)는 히터(136)와 외부 챔버 벽 또는 벽들 사이에 위치될 수 있다. 열 반사기(138)는, 보온병 구조 또는 유사한 것으로 측면들로부터 반응 챔버 보울(133)을 둘러싸도록 외부 챔버(140)의 각 측면(측면 표면(들), 상부 및 바닥 표면)으로 연장될 수 있음이 이해된다. 특정 실시예에서, 열 반사기(138)는 열 반사기 플레이트(또는 서로의 상부 상에 복수의 플레이트, 또는 적어도 3개의 플레이트 갖는 열 반사기 플레이트 세트)에 의해 구현된다.
외부 챔버(140)는 내부 챔버(130)의 상부를 적어도 부분적으로 둘러싼다. 특정 실시예에서, 반응 챔버 상부(131)는 외부 챔버(140)의 외부(또는 그 위로)로 연장되고 외부 챔버(140)에 의해 적어도 주로 덮이지 않은 채로 유지된다.
특정 실시예에서, 장치(100)는 반응 챔버 보울(133)과 함께 이동하는 열 반사기 부분(60)을 포함한다. 특정 실시예에서, 열 반사기 부분(60)은 반응 챔버 보울(133)이 상부 위치에 있을 때 로딩 채널(137)을 폐쇄한다. 로딩 채널(137)은 게이트 밸브와 같은 열에 민감한 부분을 포함할 수 있다. 따라서 (가열된) 외부 챔버(140)로부터 로딩 채널(137)로의 열 전달은 바람직하지 않다. 특정 실시예에서, 열 반사기 부분(60)은 외부 챔버 측으로부터 로딩 채널(137)을 폐쇄함으로써 외부 챔버(140)로부터 기판 로딩 채널(137)로의 열 전달을 방해하도록 구성된다. 특정 실시예에서 열 반사기 부분(60)은 열 반사기 플레이트 또는 서로의 상부 상에 복수의 열 반사기 플레이트를 포함한다. 특정 실시예에서, 열 반사기 부분(60)은 반응 챔버 보울(133)에 고정된다.
특정 실시예에서, 내부 챔버의 하부 부분(132)은 반응 챔버 보울(133)로부터 하향으로 연장된다. 특정 실시예에서, 하부 부분(132)은 외부 챔버(140)에 위치된 히터(136)에 의해 가열되지 않은 채로 유지된다. 특정 실시예에서, 하부 부분(132)은 상부 부분을 가열하는 히터(또는 히터들)(136)와 별개의 히터(들)를 갖는다. 특정 실시예에서, 장치(100)는 하부 부분(132)을 가열하도록 하부 부분(132)의 외측 상에 히터 또는 히터들을 포함한다. 특정 실시예에서, 장치(100)는 하부 부분(132)을 가열하는 히터 또는 히터들의 연결부에 열 반사기(들)를 포함한다. 특정 실시예에서, 열 반사기는 열 반사기(138)와 유사하거나 대응하는 방식으로 배열된다. 특정 실시예에서, 하부 부분(132)은 일종의 내부 챔버 풋 부분을 형성한다. 특정 실시예에서, 반응 챔버 보울(133)은 개구로부터 연장되는 내부 챔버의 하부 부분(132) 바닥에 개구를 포함한다. 특정 실시예에서, 개구는 반응 챔버 보울(133)의 바닥 중앙에 대칭적으로 존재한다. 특정 실시예에서, 내부 챔버의 하부 부분(132)은 그 길이 전체에 걸쳐 동일한 폭을 갖는다. 특정 실시예에서, 상기 폭은 반응 챔버 보울(133)의 바닥에 있는 (원형/곡선형) 개구의 폭과 동일하다. 특정 실시예에서, 하부 부분(132)은 펌프 라인(144)에 대한 연결부를 포함한다. 특정 실시예에서, 도 1 내지 도 3에 도시된 바와 같이, 펌프 라인(144)은 하부 부분(132)의 측면에 있을 수 있다. 특정 실시예에서, 펌프 라인(144)에 대한 연결부는 하부 부분(132)의 높이의 가장 낮은 1/3 내에 위치한다. 펌프 라인(144)은 필요한 레벨의 진공을 내부 챔버(130)에 제공하도록 적절한 펌프(145), 또는 다중 펌프를 갖는다. 특정 실시예에서, 펌프(145)는 진공 펌프이고, 특정 실시예에서 터보 분자 펌프이다. 특정 실시예에서, 펌프 라인(144)은 개방/폐쇄 밸브, 및 진자 밸브, 버터플라이 밸브 및 게이트 밸브와 같은 유동 제한 제어 밸브를 포함하는 그룹으로부터 선택된 적어도 하나의 밸브(도 1에 도시되지 않음)를 포함한다. 특정 실시예에서, 펌프 라인(144)은 선택적으로 유입 화학 물질(또는 가스)과 조합하여 내부 챔버의 압력을 10mbar 내지 1μbar 사이로 변경하도록 조정 가능한 밸브 구성을 포함한다. 특정 실시예에서, 내부 챔버(반응 챔버)의 압력은 0.5mbar(50Pa) 이상으로 일정하게 유지된다. 특정 실시예에서, 이는 특정 스퍼터링(sputtering) 효과를 피할 수 있다. 특정 실시예에서, 반응 챔버 압력은 증착(또는 프로세스) 사이클의 플라즈마 노출 기간(또는 플라즈마 펄스) 동안 50Pa 이상으로 유지된다. 특정 실시예에서, 반응 챔버 압력은 프로세스 사이클의 퍼지 기간 동안 50Pa 이하로 유지되도록 펌핑된다. 특정 실시예에서, 반응 챔버 압력은 증착(또는 프로세스) 사이클의 플라즈마 노출 기간 동안 50Pa 이상으로 유지되고 프로세스 사이클의 퍼지 기간 동안 50Pa 이하로 펌핑된다. 특정 실시예에서, 압력은 퍼지 기간의 끝에서 50Pa 이상으로 다시 상승된다. 특정 실시예에서, 상기 퍼지 기간은 화학적 퍼지 기간, 즉, 프로세스 사이클에서 화학적 펄스(또는 노출) 기간에 뒤따르는(또는 후속하는) 퍼지 기간이다. 특정 실시예에서, 본 명세서의 화학 물질은 비-플라즈마 화학 물질을 의미한다. 특정 실시예에서, 압력은 화학적 펄스 기간 동안에도 50Pa 이하로 유지된다. 특정 실시예에서, 압력은 프로세스 사이클에서 플라즈마 노출 기간에 뒤따르는(또는 후속하는) 퍼지 기간 동안 50Pa 이하로 유지된다.
특정 실시예에서, 펌프 라인(144)은 중간 공간(139)(내부 챔버와 외부 챔버 벽(들) 사이에 형성된 체적)으로부터의 가스 입구(들)를 더 포함한다. 입구를 갖는 펌프 라인(144)은 내부 챔버로의 역류가 방지되도록 구성될 수 있다. 특정 실시예에서, 중간 공간(139)으로부터의 유동은 (주된) 펌프(145)와 별개의 펌프로 지향된다. 특정 실시예에서, 펌프 라인 구성은 내부 챔버(130)와 중간 공간(139) 사이의 압력 차이를 유지한다(중간 공간(139)의 압력은 더 높음). 특정 실시예에서, 내부 챔버(130)와 외부 챔버(140) 내의 가스 사이의 혼선은 이들 챔버 사이의 직접적인 가스 통로를 최소화함으로써 최소화된다.
특정 실시예에서, 기판 지지부(110)는 내부 챔버(130)의 하부 부분(132)의 바닥(또는 바닥 부분)에 부착된 풋 부분(또는 받침대)(111)을 포함한다. 특정 실시예에서, 기판 지지부의 풋 부분(111)은 기판 지지부(110)를 바닥에 부착하는 부착 플랜지(113)를 포함한다. 특정 실시예에서, 부착 플랜지(113)는 내부 챔버(130) 내에, 즉 내부 챔버 바닥의 진공 압력 측에 위치한다. 부착 플랜지(113)는 볼트(114)와 같은 체결 수단에 의해 바닥에 체결될 수 있다.
특정 실시예에서, 장치(100)는 (내부 챔버(130) 및/또는 외부 챔버(140)의 상측 상에) 개구 또는 개방 가능한 덮개 시스템(161)과 같은 가동부를 포함한다. 특정 실시예에서, 덮개 시스템(161)은 조인트 부착에 의해, 예를 들어 힌지 또는 힌지들(165)에 의해 장치의 본체 부분 또는 프레임(162)에 부착된다. 특정 실시예에서, 덮개 시스템(161)은 장치 캐비닛의 부분에 부착된다. 특정 실시예에서, 장치 캐비닛은 장치 본체 부분 및/또는 프레임(162)을 수용한다.
도 3은 힌지 조인트(165)에 의해 지지되는 개방 위치에 있는 덮개 시스템(161)을 더 밀접하게 도시한다. 이동 가능한 덮개 시스템(161)은 장치(100)의 서비스를 용이하게 한다. 힌지 덮개 시스템(161) 대신에 특정 실시예에서 장치는 이동 가능한 리프트 덮개(이는 비-힌지이며, 엘리베이터에 의해 작동될 수 있음)와 같은 다른 리프트 가능한 덮개 시스템을 포함한다.
특정 실시예에서, 덮개 시스템(161)은 외부 챔버(140)의 상측으로부터 외부 챔버(140)를 폐쇄한다. 따라서, 특정 실시예에서, 덮개 시스템(161)은 외부 챔버 덮개를 형성한다. 특정 실시예에서, 덮개 시스템(161)은 시일에 의해 외부 챔버(140)에 대해 실링된다. 특정 실시예에서, 덮개 시스템(161)은 덮개 시스템(161)의 제1 측면으로부터 덮개 시스템(161)의 다른 측면으로 통과하도록 적어도 하나의 인-피드 라인(또는 파이프 라인/파이프)을 위한 피드스루를 포함한다.
특정 실시예에서, 플라즈마 생성기(175), 또는 플라즈마 생성기(175)의 적어도 플라즈마 어플리케이터는 이동 가능한(또는 개방 가능한) 덮개 시스템(161)에(또는 덮개 시스템(161)과 통합되어) 위치된다.
특정 실시예에서, 플라즈마 생성기(175)는 플라즈마 생성 체적 및 화살표(11)로 도시된 바와 같이 플라즈마 가스가 플라즈마 생성 체적으로 들어가기 위한 개구 또는 개구들을 포함한다. 상기 플라즈마 가스는 복수의 가스의 조합일 수 있다. 특정 실시예에서, 플라즈마 생성 체적의 수직 위치는 외부 챔버(140) 위에 있다.
특정 실시예에서, 플라즈마 생성 체적은 플라즈마 어플리케이터의 일부를 형성하는 방사선 송신 안테나(158)의 어레이를 포함한다. 특정 실시예에서, 방사선 송신 안테나(158)의 수직 위치는 외부 챔버(140) 위에 있다. 플라즈마 발생 체적에 대한 개구(들)는 플라즈마 발생 체적의 지붕에 위치될 수 있다. 특정 실시예에서, 플라즈마 생성 체적에 대한 개구는 플라즈마 발생 체적의 지붕에서 복수의 플라즈마 인-피드 라인 개구로서 구현된다. 특정 실시예에서, 플라즈마 생성 체적은 내부 챔버(130)의 일부를 형성한다. 특정 실시예에서, 플라즈마 생성 체적 및/또는 방사선 송신 안테나(158)는 외부 챔버(140) 위에 위치된다.
특정 실시예에서, 플라즈마 생성기(175)는 플라즈마 어플리케이터 및 전원을 포함한다. 특정 실시예에서, 플라즈마 생성기(175) (플라즈마 어플리케이터가 덮개 시스템(161)에 있음)의 전원은 덮개 시스템(161) 이외의 위치에서 장치(100) 내에 위치된다. 따라서, 특정 실시예에서 덮개 시스템(161)은 플라즈마 생성기(175)의 전원 없이 구현된다. 특정 실시예에서, 전원은 장치 캐비닛 내에 위치된다.
플라즈마는 개구(들)로부터 도달하는 플라즈마 가스를 (플라즈마 어플리케이터의 일부를 형성하는) 안테나(158)에 의해 전송된 방사선에 노출시킴으로써 플라즈마 생성 체적 내에서 점화된다. 특정 실시예에서, 자석 또는 UV 광과 같은 추가 방법을 적용하여 점화를 개선할 수 있다. 형성된 플라즈마 종은 플라즈마 생성 체적으로부터 적어도 하나의 기판(미도시)을 지지하는 기판 지지부(110)를 향해 하향으로 유동된다. 플라즈마 종은 라디칼과 이온을 포함할 수 있다. 비-플라즈마 가스, 예를 들어 열 ALD를 위한 전구물은 또한 플라즈마 생성 체적(즉, 안테나(158)가 위치하는 영역)을 통과할 수 있다. 특정 실시예에서, 하나 이상의 파이프(155)는 안테나가 있는 영역을 통과한다. 파이프(들)(155)는 화살표(12)로 도시된 바와 같이 안테나(158) 영역의 하류에 있는 지점(들)에서 플라즈마 생성 체적 아래 체적으로 비-플라즈마 가스(또는 가스들)를 방출한다. 파이프(들)는 안테나(158)에 의해 전송된 방사선이 관통할 수 없는 금속과 같은 재료로 만들어진다. 따라서, 비-플라즈마 가스(또는 가스들)는 그것이 플라즈마 생성 체적을 통과하더라도 기판 지지부(110)를 향하는 도중에 영향을 받지 않고 유지된다.
특정 실시예에서, 장치는 복수의 소스 화학 캐비닛을 포함한다. 소스 화학 캐비닛은, 소스 화학 캐비닛(151, 151', 152) 주위에 그려진 직사각형으로 도 1 내지 도 3에 도시된다. 복수의 소스 화학 컨테이너가 하나의 캐비닛 내에 위치될 수 있다. 특정 실시예에서, 소스 화학 캐비닛은 누출이 없다. 특정 실시예에서, 소스 화학 캐비닛은 불활성 기체에 의해 퍼지된다. 특정 실시예에서, 소스 화학 캐비닛은 불활성 퍼지 가스의 입구 및 출구를 포함한다.
특정 실시예에서, 장치는 제1 플라즈마 가스의 소스(151) 및 제2, 상이한, 플라즈마 가스의 소스(151')를 포함한다. 특정 실시예에서, 제1 플라즈마 가스 및 제2 플라즈마 가스는 플라즈마 시스템을 향해 동일한 플라즈마 인-피드 라인(153)을 따라 이동한다. 인-피드 라인(153)은 덮개 시스템 피드스루의 상류에서 ALD 밸브 또는 펄싱 밸브(50)에 도달하여 2개의 인-피드 라인(173 및 173')으로 분할된다. 특정 실시예에서, 밸브(50)는 삼방 밸브이다.
인-피드 라인(173)은 (제1) 플라즈마 생성기(175)로 이어지고, 인-피드 라인(173')은 (별도의) 제2 플라즈마 생성기(185)로 이어진다. 제1 및 제2 플라즈마 가스 인-피드 라인(173, 173')은 덮개 시스템 피드스루를 통해 덮개 시스템(161)의 다른 측(즉, 상부) 측으로 통과한다. 덮개 시스템 피드스루 하류의 제1 플라즈마 생성기(175)로 이어지는 제1 플라즈마 가스 인-피드 라인(173)은 특정 실시예에서 덮이지 않은 비-가열 파이프 라인으로서 이동한다. 유사하게, 덮개 시스템 피드스루 하류에서 제2 플라즈마 생성기(185)로 이어지는 제2 플라즈마 가스 인-피드 라인(173')은 특정 실시예에서 덮이지 않은 비-가열 파이프 라인으로서 이동한다. 그러나, 특정 실시예에서, 인-피드 라인(173, 173')은 가열된다. 피드스루 하류의 인-피드 라인(173, 173')은 주위 압력(즉, 비-진공 압력)에 의해 둘러싸일 수 있다.
특정 실시예에서, 비-플라즈마 전구물 또는 비-플라즈마 화학 물질(예를 들어, 하나 이상의 금속 전구물 및/또는 비-금속 전구물(들) 및/또는 불활성 가스와 같은, 비-플라즈마 전구물 및/또는 열 ALD 전구물)의 복수의 소스(152)는 하나 이상의 소스 화학 캐비닛에 포함된다. 장치(100)는 이러한 화학 물질을 내부 챔버(130)로 공급하기 위한 공통 인-피드 라인(154)을 포함한다. 특정 실시예에서 인-피드 라인(154)은 상기 피드스루에서 덮개 시스템(161)을 통과한다. 특정 실시예에서, 공통(비-플라즈마 증기) 인-피드 라인(154)은 덮개 시스템 피드스루의 상류 및 하류 모두에서 가열되고 절연된 파이프 라인으로서 구현된다.
따라서, 플라즈마 강화 ALD 반응기와 같은 기판 프로세싱 반응기 또는 장치(100)의 내부 챔버(130) 또는 반응 챔버로의 가스 공급은 단지 3개의 인-피드 라인(153/173, 153/173', 154)으로 실현될 수 있다. 특정 실시예에서, 각각의 인-피드 라인은 공통 피드스루 지점에서 덮개 시스템(161)을 통과한다.
특정 실시예에서, 덮개 시스템(161)의 피드스루는 덮개 시스템(161)의 측면을 포함하는 힌지 메커니즘(165)에 대향하는 덮개 시스템(161)의 측면 상에 위치된다.
특정 실시예에서, 덮개 시스템(161)은, 2개의 플라즈마 생성기(또는 존재한다면, 적어도 이들의 플라즈마 어플리케이터)를 포함하며, 하나(플라즈마 생성기(175))는 기판 프로세싱을 위한 것이며, 하나(플라즈마 생성기(185))는 주로 내부 챔버(130) 세정을 위한 것이다. 특정 실시예에서, 덮개 시스템(161) 상에 위치된 제1 플라즈마 생성기(175)는 내부 챔버(또는 반응 챔버)(130)를 폐쇄한다. 특정 실시예에서, 기판 프로세싱을 위해 제1 플라즈마 생성기(175)에 의해 생성된 플라즈마 종은 내부 챔버(130)의 경계 내에서 생성된다.
특정 실시예에서, 제2 플라즈마 생성기(185)는 플라즈마 종이 원격으로 생성되고 인-피드 라인(176)을 통해 내부 챔버(130)로 전달되는 원격 플라즈마 생성기다. 특정 실시예에서, 내부 챔버의 상부 부분의 상부는, 원격으로 생성된 플라즈마 종의 공급 방향이 적어도 부분적으로 하향이 되도록, 원격으로 생성된 플라즈마 종이 내부 챔버(130)로 공급되는 비스듬한 상부 코너(31)를 갖는다. 특정 실시예에서, 인-피드 라인(176)으로부터 내부 챔버로 들어가는 플라즈마 종은 주로 또는 오직 라디칼을 함유한다. 다시 말해, 원격 플라즈마 생성기에 의해 생성될 수 있는 이온의 대부분 또는 전부는 챔버(130)에 도달할 때까지 재결합되었다. 특정 다른 실시예에서, 원격 플라즈마 생성기에 의해 생성될 수 있는 이온의 대부분 또는 전부는 적어도 그들이 내부 챔버의 하부 부분(132)에 도달할 때까지 재결합되었다.
비-플라즈마 화학 물질(또는 전구물)의 경로는 도 1 내지 도 3에 도시된 바와 같이 인-피드 라인(154)을 파이프(155)에 연결함으로써 플라즈마 생성기(175)를 통과하거나 플라즈마 생성기(175)를 바이-패스하여 예를 들어 내부 챔버(130)의 측면 또는 측면들을 형성하도록 내부 챔버(130)로 들어간다. 후자의 경우의 특정 실시예에서, 장치는 내부 챔버(130)의 측벽(들)에서 플라즈마 생성 체적의 하류에 개구를 포함한다. 특정 실시예에서, 개구는 반응 챔버 상부(131)에 배열된다. 개구는 반응 챔버 상부(131) 또는 내부 챔버 원통형 측벽 주위를 도는 링 형태로 배열될 수 있다.
특정 실시예에서, 앞서 설명한 바와 같이 장치(100)는 덮개 시스템(161)을 통과하는 적어도 하나의 인-피드 라인의 피드스루를 포함한다. 소스 화학 컨테이너(도 1 내지 도 3의 151, 151', 152)의 인-피드 라인은 각각의 컨테이너로부터 피드스루까지 연장된다. 도 3에 도시된 바와 같이, 덮개 시스템(161)을 개방할 때, 피드스루로 연장되는 이들 각각의 인-피드 라인은 상이한 측면으로부터 덮개 시스템(161)에 접근하는 인-피드 라인 부분이 만나는 인터페이스에서 중단(연결 해제)된다. 개방 시, 인-피드 라인의 제1 부분은 (개방된) 덮개 시스템(161)에 연결된 채로 유지되고, 나머지(제2) 부분은 특정 실시예에서 본체 부분 또는 프레임(162)에 연결된 채로 유지된다. 덮개 시스템(161)을 폐쇄 시, 인-피드 라인은 인터페이스에서 재연결된다. 특정 실시예에서, 인터페이스는 시일, 예를 들어 o링 시일에 의해 실링된다. 일 구현에서, o링 시일은 덮개(161) 또는 그 대응물(인터페이스의 장치 본체 부분(162) 측면 상)에 배열된 홈에 위치된다.
특정 실시예에서, 플라즈마 가스가 플라즈마 생성 체적으로 들어가는 개구(들)에 인-피드 라인(154)을 직접 연결하는 대신에, 플라즈마 생성기(175)는 상기 개구(들)의 상류에 팽창 체적(미도시)을 포함하고, 상기 개구(들)를 통해 플라즈마 생성 체적에 들어가기 전에 플라즈마 가스가 확산하도록 유동된다.
내부 챔버(130)의 상부 부분으로부터의 화학 물질은 화살표(13)에 의해 묘사된 바와 같이 하부 부분(132)으로 유동하고, 화살표(14)로 묘사된 바와 같이 펌프 라인(144)으로 더 유동된다.
특정 실시예에서, 장치는 플라즈마 벽 효과를 방지하기 위해 기판 지지부(110)의 둘레로부터 주변 반응 챔버 보울(133)의 가장 가까운 표면까지의 자유 측방 거리를 포함한다. 특정 실시예에서, 상기 거리는 적어도 70 mm이다. 특정 실시예에서, 거리는 50mm보다 크고, 보다 바람직하게는 50 내지 200mm, 더욱 더 바람직하게는 65 내지 80mm이다.
특정 실시예에서, 장치(100)는 불활성 가스를 내부 챔버(130)를 통과하지 않고 외부 챔버(140)로(더 구체적으로 내부 챔버의 상부 부분과 외부 챔버 벽(들) 사이에 형성된 중간 공간(139)으로) 그리고 그로부터 펌프 라인(144)으로 안내하도록 구성된다.
특정 실시예에서, 장치(100)는 방사선 생성 장치(또는 전원), 또는 마이크로웨이브 생성기, 또는 플라즈마 생성기(175)를 제공하는 마그네트론(171)을 포함한다. 특정 실시예에서, 방사선 생성 장치(171)는 덮개 시스템(161)에 부착되거나 통합되고, 안테나(158)에 대해 요구되는 방사선을 생성한다. 특정 실시예에서, 방사선 생성 장치(171)는 플라즈마 생성기(175)의 일부를 형성한다.
특정 실시예에서, 제2 플라즈마 생성기(185)는, 제2 플라즈마 생성기(185)에 의해 요구되는 주파수를 생성하도록 자체의 방사선 생성 장치(172)를 포함한다. 대안적으로, 제2 플라즈마 생성기(185)의 플라즈마 생성기 유형에 따라 장치(172)는 생략되거나 다른 플라즈마 생성기 유형의 특정 장치로 대체될 수 있고, 제2 플라즈마 종은 다른 방법, 예를 들어 유도 결합 플라즈마 등에 의해 형성될 수 있다.
특정 실시예에서, 선택적 유동 가이드부는 채널 직경을 증가시키는 부분이다(채널의 형태는 변경되지 않은 채로 유지될 수 있으며, 예를 들어, 곡선형일 수 있음). 특정 실시예에서, 유동 가이드부는 예를 들어, 직사각형에서 원형(또는 곡선형)으로 유동 채널 단면 유형을 변환하는 부분이다. 이는 예를 들어 부분(175)의 단면 형상이 직사각형인 경우에 유용할 수 있다. 또한 후자의 경우, 유동 가이드부에 의해 유동 채널 단면 유동 면적이 증가될 수 있다. 특정 실시예에서, 유동 가이드부(131)는 비-플라즈마 가스(또는 전구물/반응물)가 내부 챔버(130)에 들어가도록 링형 방식의 개구를 포함한다. 특정 실시예에서, 내향 돌출되거나 포인팅 희생 표면 또는 희생 링이 유동 가이드부에 배열될 수 있다. 희생 링의 수직 위치는 개구부 아래(바람직하게는 개구 바로 근처)이다.
특정 실시예에서, 기판 지지부(110)는 상기 풋 부분(또는 받침대)(111) 및 받침대(111)의 상부 상의 서셉터 부분을 포함한다. 기판 지지부(110)는 내부 챔버(130)의 하부 부분(132)의 바닥을 통해 작동되는 리프터 핀(17)을 포함한다. 핀(17)은 서셉터 부분에 배열된 각각의 수직 스루 홀에서 수직으로 이동 가능하다. 기판은 로딩 채널(137) 및 로딩 갭(239)으로부터 리프트된 핀(17) 상으로 기판을 수용함으로써 서셉터 부분 상에 로딩된다. 핀(17)은 그 후 서셉터 부분과 기판 사이에 단지 작은 갭이 남도록 하강된다.
특정 실시예에서, 장치는 내부 챔버(130)의 외측 상에 위치된 핀 리프트 액츄에이터(115)를 포함한다. 특정 실시예에서, 핀 리프트 액츄에이터(115)는 기판 지지 핀(17)의 수직 이동을 작동시키도록 구성된다. 특정 실시예에서, 핀 리프트 액츄에이터(115)로부터 내부 챔버(130)로의 피드스루는 내부 챔버 바닥을 통해, 예를 들어 특정 실시예에서 플랜지(113) 영역에, 또는 바람직하게는 플랜지(113) 영역 외측에 배열된다. 화살표(15)는 예를 들어, 특정 실시예에서 플랜지(113)의 영역에 배열된 내부 챔버 바닥을 통해 유체를 냉각, 및/또는 유체를 가열 및/또는 기판 후측 보호 가스를 위한 피드스루를 묘사한다.
특정 실시예에서, 장치는 부분(18)을 이동시킴으로써 핀을 수직으로 이동시키도록 리프터 핀(17)이 부착된 받침대(111) 주위의 부분(18)(원형 부분일 수 있음)을 포함한다. 특정 실시예에서, 부분(18)의 이동 및 그에 따른 핀(17)의 이동은, 예를 들어 내부 챔버(130)의 하부 부분의 바닥을 통해 연장되는 연결 요소(또는 로드)(116)에 의해 아래로부터 작동된다.
특정 실시예에서, 기판 지지부(110)는 회전 가능하다. 특정 실시예에서, 기판 지지부는 수직 회전축을 중심으로 회전 가능하다. 특정 실시예에서, 기판 지지부(110)의 회전은 풋 부분(111)에 의해 생성되고 및/또는 내부 챔버(130) 바닥 또는 플랜지(113)를 통해 전달된다. 특정 실시예에서, 기판은 기판 지지부(110) 상에서 사이클 당 1회전 이하로 회전된다.
기판 프로세싱 동안, 기판 홀더(110)에 의해 지지되는 하나 이상의 기판은 ALD 또는 PEALD 또는 ALE와 같이, 원하는 대로 내부 챔버(130) 내에서 처리된다. 예를 들어, 기판 표면은 다음과 같이 교대로 노출될 수 있다.
- 제1 반응 화학 물질 및 제2(다른) 반응 화학 물질;
- 제1 반응 화학 물질 및 플라즈마에 의해 보완된 제2 반응 화학물질;
- 제1 반응 화학 물질 및 플라즈마(단일 전구물 프로세스); 또는
- 반응 층을 생성하기 위한 제1 반응 화학 물질 및 형성된 반응 층을 제거하기 위한 제2 반응 화학 물질(또는 이온).
마지막으로 언급된 프로세싱 방법에서, 형성된 반응 층의 제거는 이온 충격에 의해 얻어질 수 있다. 특정 실시예에서, 플라즈마 시스템은, 기판 표면에 플라즈마 생성기(또는 제1 플라즈마 생성기(175)) 중 하나로부터의 이온과, 다른 플라즈마 생성기(또는 제2 플라즈마 생성기(185))로부터 이온이 없는 플라즈마(예를 들어, 단순한 라디칼)를 제공한다. 특정 실시예에서, 특정 실시예의 제1 플라즈마 소스(175)가 기판에 플라즈마 라디칼 및 이온 모두를 제공하지만 제2 플라즈마 소스(185)는 라디칼만을 제공하는 2개의 플라즈마 소스의 적용으로 인해, 증착 단계 및 에칭 단계(또는 층 제거 단계)를 모두 포함하는 기판 프로세스 사이클이 얻어진다. 특정 실시예에서, 전자의 단계(증착 단계 또는 반응 층 생성 단계) 및 후자의 단계(제거)는 플라즈마 강화 단계로서 구현되는 반면, 다른 실시예에서 전자의 단계는 플라즈마 강화 없이 수행되지만 후자의 단계는 플라즈마 강화로 수행된다. 따라서, 제2 플라즈마 소스(185)가 단순한 세정 이외의 목적으로 사용될 수 있는 실시예가 있다.
세정 동작과 관련하여, 특정 실시예에서, 제2 플라즈마 생성기(원격 플라즈마 생성기)는 내부 표면 내부 챔버(130)의 인-시튜(In-situ) 세정을 위해 NF3 또는 CF4와 같은 F-가스를 적용한다. 예를 들어, NF3 플라즈마 또는 CF4 플라즈마와 같은, 인-시튜 세정은, 세정 서비스를 위해 반응기를 개방할 필요 없이 반응기를 사용할 수 있는 더 긴 기간을 제공한다.
도 4는 특정 실시예에 따른 장치(100)를 위한 배기 시스템을 도시한다. 특정 실시예에서, 내부 챔버의 하부 부분(132)은 2개의 배기 개구를 포함한다. 특정 실시예에서, 제1 배기 개구는 터보 분자 펌프(145)를 위한 것이고, 제2 배기 개구는 건식 펌프와 같은 다른 배기 펌프(445)(또는 배출 펌프)를 위한 것이다. 특정 실시예에서, 제2 배기 개구를 통한 배기 라인(401)은 터보 분자 펌프(145)를 바이-패스한다.
특정 실시예에서, 제1 배기 라인(또는 펌프 라인, 또는 진공 라인)(144)은 제1 배기 개구에서 시작하고 내부 챔버의 하부 부분(132)으로부터 제1 밸브(143)를 통해 터보 분자 펌프(145)까지 연장된다. 제2 배기 라인(401)은 제2 배기 개구에서 시작하고 내부 챔버의 하부 부분(132)으로부터 제2 밸브(412)를 통해 상기 다른 배기 펌프(445)까지 연장된다. 제1 배기 라인(144)은 제1 합류 지점에서 제2 배기 라인(401)과 합류하고, 공통 합류된 배기 라인(403)으로서 배기 펌프(445)를 향해 계속되고, 그로부터 선택적인 스크러버(451) 또는 이와 유사한 것으로 계속된다. 제1 합류 지점은 터보 분자 펌프(145) 및 제2 밸브(412)로부터의 하류, 및 상기 다른 배기 펌프(445)(건식 펌프와 같은 비-터보 분자 진공 펌프)의 상류에 위치된다. 특정 실시예에서, 제1 밸브(143)는 진자 밸브와 같은 제1 유동 제한 제어 밸브이다. 특정 실시예에서, 제2 밸브(412)는 폐쇄 밸브이다.
특정 실시예에서, 제3 배기 라인(402)은 외부 챔버(140)로부터 제3 밸브(411)로 연장되고 제3 밸브(411)로부터 하류 및 제2 밸브(412)로부터 상류의 제2 합류 지점에서 제2 배기 라인(401)과 합류한다. 특정 실시예에서, 제3 밸브(411)는 버터플라이 밸브와 같은 제2 유동 제한 제어 밸브이다.
이러한 배기 라인 시스템에서, 제2 밸브(412)가 개방되고 제1 밸브(143)가 폐쇄된 일 실시예에서, 재료(예를 들어, 가스 및/또는 입자)는 제1 배기 라인(144)을 통해 내부 챔버(130)로부터 재료를 제거하지 않고(이를 통해 터보 분자 펌프(145)를 우회함), 제2 배기 라인(401) 및 제2 밸브(412)를 통해 내부 챔버(130)로부터 상기 다른 배기 펌프(455)로 또는 상기 다른 펌프(455)를 향해 제거된다.
또한, 제1 밸브(143) 및 제3 밸브(411)가 개방되고 제2 밸브(412)가 폐쇄된 실시예와 같은, 배기 라인 시스템에서, 재료는 제3 밸브(411)를 통해 제3 배기 라인(402)을 따라 이동하고 제2 배기 라인(401)을 따라 내부 챔버(130)(또는 그 하부 부분(132))로 이동하는 경로를 통해 외부 챔버(140)로부터 제거되고, 제1 밸브(143) 및 터보 분자 펌프(145)를 통해 제1 배기 라인(144)을 따라 내부 챔버(130)로부터 더 배출된다(또는 상기 다른 배기 펌프(445)로 또는 상기 다른 배기 펌프(445)를 향함). 후자의 실시예에서, 제2 합류 지점에서 전환하는 내측 챔버(130)를 향하는 중간 공간(139)으로부터의 유동은 제2 밸브(412)를 우회한다. 따라서, 중간 공간으로부터 나가는 유동은 터보 분자 펌프(145)를 통해 유동된다.
특정 다른 실시예에서, 터보 분자 펌프(145)는 생략되고 다른(덜 효과적인) 진공 펌프로 대체된다. 펌프(145)의 펌프 유형과 무관하게 제1 밸브(143)는 유동 제한 제어 밸브에 의해 구현될 수 있다.
특정 실시예에서, 펌프(145)를 바이-패스하는 배기 라인(401)은 선택적이다.
도 5는 특정 실시예에 따른 장치(100)를 위한 기판 지지부(110)를 도시한다. 서셉터 부분(112)은 받침대(111)의 상부 상에 위치한다. 특정 실시예에서, 서셉터 부분(112)은 원통형 형상이다. 특정 실시예에서, 서셉터 부분(112)은 (서셉터 부분(112)의 에지 상에서) 세라믹 재료로 만들어진 림(rim)(505)을 포함한다. 특정 실시예에서, 림(505)의 높이는 기판의 두께와 실질적으로 동일하거나 동일한 크기이다.
특정 실시예에서, 리프터 핀(17)은, 리프터 핀(17)이 그 하부 위치에 있을 경우 서셉터 부분(112)을 통해 연장되는 스루 홀을 폐쇄하도록 확장되는 상부 부분(57)을 갖는다.
특정 실시예에서, 기판(미도시)을 지지하는 서셉터 부분(112)의 상부 표면은 균일하지 않다. 특정 실시예에서, 장치(100)는 헬륨과 같은 보호 가스를 서셉터 부분(112)과 그 위의 기판 사이의 공간으로 안내하는 채널(501)을 받침대(111) 내부에 포함한다. 특정 실시예에서, 보호 가스는 화살표(502)에 의해 도시된 바와 같이 상기 공간으로부터 하향으로 받침대(111)의 내부로 다시 흡입된다. 따라서, 특정 실시예에서, 기판 후측 보호 가스 순환이 제공된다.
특정 실시예에서, 받침대(111)를 통해 영향을 받는 서셉터 부분(112) 내에 유체(예를 들어, 냉각 액체) 순환이 있다.
특정 실시예에서, 배기 펌프(145)로 이어지는 배기 개구(내부 챔버 풋 부분의 측벽에서)의 직경은 적어도 15cm이다. 보다 바람직한 특정 실시예에서, 직경은 15cm로부터 30cm의 범위 내에 있다. 더욱 바람직한 실시예에서, 직경은 20cm로부터 25cm의 범위 내에 있다.
특정 실시예에서, 내부 챔버 풋 부분은 원통형 형상이다. 특정 실시예에서, 내부 챔버 풋 부분의 직경은 적어도 20cm이다. 보다 바람직한 특정 실시예에서, 직경은 20cm로부터 50cm의 범위 내에 있다. 더욱 바람직한 실시예에서, 직경은 20cm로부터 30cm의 범위 내에 있다.
특정 실시예에서, 내부 챔버(130)는 외부 챔버(140)의 외측으로 하향 연장된다. 특정 실시예에서, 연장부의 높이는 적어도 25cm이다. 보다 바람직한 특정 실시예에서, 연장부의 높이는 적어도 30cm이다.
특정 실시예에서, 내부 챔버(130)의 바닥과 기판 홀더(110)의 상부 표면 사이의 수직 거리는 적어도 40cm이다. 보다 바람직한 특정 실시예에서, 내부 챔버(130)의 바닥과 기판 홀더(110)의 상부 표면 사이의 수직 거리는 적어도 50cm이다. 보다 바람직한 특정 실시예에서, 내부 챔버(130)의 바닥과 기판 홀더(110)의 상부 표면 사이의 수직 거리는 50cm 내지 100cm의 범위 내에 있다.
특정 실시예에서, 반응 챔버 보울(133)은 회전 대칭을 갖는 부분이다. 특정 실시예에서, 반응 챔버 보울(133)의 직경은 기판 홀더(110)의 직경보다 적어도 10cm 더 크다. 보다 바람직한 특정 실시예에서, 반응 챔버 보울(133)의 직경은 기판 홀더(110)의 직경보다 적어도 14cm 더 크다.
특정 실시예에서, 기판 홀더(110)는 적어도 200mm, 보다 바람직하게는 300mm 이상의 직경을 갖는 기판, 예를 들어 웨이퍼를 지지하도록 끼워 맞춰진다.
도 6은 특정 실시예에 따른 대안적인 구현을 도시한다. 이들 실시예에서, 이전의 도 1 내지 도 3에 도시된 것과 상이한 플라즈마 시스템 또는 플라즈마 생성기(들)가 사용된다. 그렇지 않은 경우, 도 1 내지 도 3을 참조하여 이전에 도시된 장치(100)의 구조 및 동작이 적용된다.
내부 챔버(130)에는 중공 음극 플라즈마 생성기(675)를 통해 상측으로부터 플라즈마 종이 제공된다. 플라즈마 종은 플라즈마 생성기(675)로부터 하나 이상의 기판(미도시)을 지지하는 기판 지지부(110)를 향해 하향으로 유동된다. 이 실시예 및 다른 실시예에서, 기판(들)은 수평으로 배향될 수 있다.
특정 실시예에서, 내부 챔버(130)에는 제1 기간 동안 제1 플라즈마 종 플라즈마 1(PLASMA 1)이 제공되고, 후속 또는 나중 기간 동안 선택적으로 세정을 위한 제2(상이한) 플라즈마 종 플라즈마 2(PLASMA 2)가 제공된다. 두 플라즈마 종 모두 플라즈마 생성기(675)에 의해 생성된다.
도 7은 특정 예시적인 실시예에 따른 장치(100)에 포함된 제어 시스템의 블록도를 도시한다. 제어 시스템(750)은 장치(100)의 동작을 제어하기 위한 적어도 하나의 프로세서(751) 및 컴퓨터 프로그램 또는 소프트웨어(753)를 포함하는 적어도 하나의 메모리(752)를 포함한다. 소프트웨어(753)는 장치(100)를 제어하기 위해 적어도 하나의 프로세서(751)에 의해 실행될 명령 또는 프로그램 코드를 포함한다. 소프트웨어(753)는 전형적으로 운영 체제 및 상이한 애플리케이션을 포함할 수 있다.
적어도 하나의 메모리(751)는 장치(100)의 일부를 형성할 수 있거나 부착 가능한 모듈을 포함할 수 있다. 제어 시스템(750)은 적어도 하나의 통신 유닛(754)을 더 포함한다. 통신 유닛(754)은 장치(100)의 내부 통신을 위한 인터페이스를 제공한다. 특정 실시예에서, 제어 유닛(750)은 통신 유닛(754)을 사용하여 장치(100)의 다른 부분, 예를 들어 측정 및 제어 장치, 밸브, 펌프, 질량 유동 제어기 및 기타 조정 장치, 및 히터에 명령 또는 명령들을 보내고 데이터를 수신한다.
제어 시스템(750)은 예를 들어 사용자로부터 프로세스 파라미터와 같은 입력을 수신하기 위해 사용자와 협력하기 위한 사용자 인터페이스(756)를 더 포함할 수 있다. 특정 실시예에서, 사용자 인터페이스(756)는 적어도 하나의 프로세서(751)에 연결된다.
장치(100)의 동작과 관련하여, 제어 시스템(750)은 예를 들어, 장치의 프로세스 타이밍을 제어한다. 특정 실시예에 따르면, 장치(100)는 예를 들어 플라즈마 강화 원자층 증착 시퀀스 또는 사이클과 같은 기판 프로세싱 시퀀스 또는 사이클을 수행하도록 프로그램되는 것에 의해 구성된다. 특정 실시예에 따르면, 장치(100)는 하나 이상의 기판의 로딩 및/또는 전처리 및/또는 후처리 및/또는 에칭 및/또는 세정 절차를 수행하도록 프로그램된다. 특정 실시예에 따르면, 제어 시스템(750)은 내부 챔버의 진공 레벨을 조정하도록 구성된다. 특정 실시예에서, 제어 시스템(750)은 내부 챔버(130)의 진공 레벨을 조정하기 위해 (예를 들어, 배기 라인(들)에서) 밸브 구성을 조정한다. 특정 실시예에서, 밸브 구성의 조정은 제1 밸브 또는 진자 밸브(143)의 밸브 개방 정도를 조정하는 것을 포함한다.
특정 실시예에서, 액츄에이터(115)에 의해 작동되는 리프터 핀(17)에 의해 기판이 상승되는 동안 가스 제거가 수행된다. 특정 실시예에서, 기판은 먼저 가열되고 이후 가스 제거를 위해 리프터 핀(17)에 의해 상승된다. 가스 제거는 증착 동안 적용된 것과 비교하여 상이한 온도, 압력 및/또는 상이한 유동 속도에서 수행될 수 있다.
특정 실시예에서, 압력-수정 증착 사이클이 수행된다. 특정 실시예에서, 압력 수정은 펌프 라인(펌프 포어라인)(144)에서 밸브(143)의 밸브 개방 정도를 조정함으로써 달성된다. 특정 실시예에서, 압력 수정은 증착 사이클 동안 수행된다. 그러면 단일 증착 사이클의 상이한 위상 동안 내부 챔버 내의 압력이 상이하다.
또 다른 실시예에서 소스 화학 컨테이너(예를 들어, 컨테이너 151, 151', 152)에는 펠티에 냉각기가 장착되어 있다. 특정 실시예에서, 펠티에 냉각기는 (온/오프 제어에 추가하여) 5V 및 12V와 같은 2개의 고정된(미리 결정된) 0이 아닌 전압 레벨을 갖는 하나의 전압 레벨 대신 제어된다. 따라서, 펠티에 냉각기는 2개의 고정된 0이 아닌 전압 레벨로 냉각기를 제어하도록 구성된 제어 장치를 포함한다. 얻은 기술적 효과는 간단한 비용 효율적인 방식으로, 보다 정확한 제어이다. 펠티에 냉각기의 개시된 제어는 이전 설명에 도시된 것과 상이한 장치의 소스 화학 컨테이너에도 적용될 수 있다.
도 8은 특정 실시예에 따른 챔버 덮개를 위한 냉각 장치(또는 냉각 시스템)의 개략도를 도시한다. 앞서 제시된 유형(및 유사한 구조 및 기능을 가짐)일 수 있는 기판 프로세싱 장치는 내부 챔버(또는 반응 챔버)(130) 및 챔버(130)로 이동 가능 및/또는 개방 가능한 덮개(또는 덮개 시스템)(161)를 포함한다. 덮개(또는 덮개 시스템)(161)는 챔버(130)에 플라즈마 종을 제공하기 위해 안테나(158)를 갖는 플라즈마 생성기의 플라즈마 어플리케이터를 적어도 포함한다. 어플리케이터에 의해 생성된 열에 대응하기 위해, 덮개(또는 덮개 시스템)(161)는 냉각 장치를 포함한다. 특정 실시예에서, 냉각 장치는 물과 같은 냉각제를 흐르게 하기 위해 덮개 또는 덮개 시스템(161)에 부착되거나 내장된 채널 또는 채널들(80)을 포함한다. 채널(들)은 덮개(또는 덮개 시스템)(161)의 에지(들)에 부착된 파이프에 의해 구현될 수 있다. 도 8에 도시된 바와 같은 특정 실시예에서, 파이프는 덮개(또는 덮개 시스템)(161)의 홈 또는 홈들 내로 위치될 수 있다. 화살표는 파이프의 냉각수 유동을 도시한다.
특허 청구항의 범위 및 해석을 제한하지 않고, 여기에 개시된 하나 이상의 예시적인 실시예의 특정 기술적 효과가 다음과 같이 나열된다. 기술적 효과는 전체 기판 영역에 걸쳐 균일한 막을 생성하기 위해 균일한 플라즈마를 제공하는 것이다. 추가적인 기술적 효과는 낮은 증착 속도와 함께 양호한 퍼지 조건이다. 또 다른 기술적 효과는 짧은 플라즈마 노출 시간을 얻는 것이다. 추가적인 기술적 효과는 낮은 금속 오염이다. 추가적인 기술적 효과는 증착된 필름의 낮은 탄소 함량이다. 추가 기술적 효과는 세정 서비스를 위해 기판 프로세싱 장치를 개방할 필요 없이 장기간 사용할 수 있는 인-시튜 세정이다. 추가 기술적 효과는 터보 분자 펌프에 의해 높은 레벨의 진공이 얻어지는 것이다.
전술한 설명은 본 발명의 특정의 구현 및 실시예들에 대한 비제한적인 예로써 본 발명을 수행하기 위하여 본 발명자가 현재 고려하는 최상의 태양에 대한 완전하고 유용한 정보의 설명을 제공하였다. 그러나, 본 발명이 위에 제시된 실시예들의 세부 사항에 제한되지 않고, 본 발명의 특징으로부터 벗어나지 않으면서 동등한 수단을 이용하여 다른 실시예로 구현될 수 있다는 점은 통상의 기술자에게 명백하다.
또한, 본 발명의 전술된 실시예들의 특징 중 일부는 다른 특징들의 대응하는 이용 없이도 유리하게 사용될 수 있다. 따라서, 전술한 설명은 본 발명의 원리에 대한 단지 예시적인 것으로 간주되어야 하며, 이에 제한되어서는 아니된다. 따라서, 본 발명의 범위는 첨부된 청구항에 의해서만 제한된다.

Claims (34)

  1. 기판 프로세싱 장치로서,
    상부 부분 및 하부 부분에 의해 형성되는 내부 챔버;
    상기 내부 챔버의 상기 상부 부분 내에서 기판을 지지하는 기판 지지부;
    상기 내부 챔버의 상측에서 플라즈마 종을 상기 내부 챔버에 제공하는 플라즈마 시스템;
    상기 내부 챔버의 상기 상부 부분을 둘러싸는 외부 챔버이나, 상기 내부 챔버의 상기 하부 부분은, 상기 외부 챔버의 외측으로 연장되고 상기 외부 챔버에 의해 덮이지 않은 채로 유지되는 외부 챔버를 포함하는 기판 프로세싱 장치.
  2. 청구항 1에 있어서,
    상기 내부 챔버의 상기 상부 부분을 가열하도록 상기 외부 챔버에 히터를 포함하는 기판 프로세싱 장치.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 외부 챔버에 열 반사기를 포함하는 기판 프로세싱 장치.
  4. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    상기 플라즈마 시스템은 상기 내부 챔버의 상기 상부 부분의 상부를 통해 상기 내부 챔버에 들어가는 두개의 상이한 플라즈마 종을 상기 내부 챔버에 제공하도록 구성되는 기판 프로세싱 장치.
  5. 청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
    이동 가능한 덮개 시스템을 포함하는 기판 프로세싱 장치.
  6. 청구항 5에 있어서,
    상기 덮개 시스템에 적어도 하나의 인-피드 라인(in-feed line)의 피드스루(feedthrough)를 포함하는 기판 프로세싱 장치.
  7. 청구항 5 또는 청구항 6에 있어서,
    상기 이동 가능한 덮개 시스템에 플라즈마 어플리케이터를 포함하는 기판 프로세싱 장치.
  8. 청구항 1 내지 청구항 7 중 어느 한 항에 있어서,
    상기 플라즈마 시스템은 마이크로웨이브 플라즈마 생성기 및/또는 중공 음극 플라즈마 생성기를 포함하는 기판 프로세싱 장치.
  9. 청구항 1 내지 청구항 8 중 어느 한 항에 있어서,
    상기 내부 챔버는 반응 챔버 보울을 포함하는 기판 프로세싱 장치.
  10. 청구항 9에 있어서,
    상기 기판 지지부는 상기 반응 챔버 보울에 대해 대칭적으로 위치하는 기판 프로세싱 장치.
  11. 청구항 1 내지 청구항 10 중 어느 한 항에 있어서,
    상기 플라즈마 시스템과 반응 챔버 보울 사이에 유동 가이드부를 포함하는 기판 프로세싱 장치.
  12. 청구항 9 내지 청구항 11 중 어느 한 항에 있어서,
    상기 기판 프로세싱 장치는, 선택적으로 그 사이에 시일(seal)을 갖는, 유동 가이드부, 상기 내부 챔버의 상기 상부 부분의 상기 상부를 형성하는 반응 챔버 상부 또는 반응 챔버 대응물에 대해 상기 반응 챔버 보울을 누르도록 구성되는 기판 프로세싱 장치.
  13. 청구항 12에 있어서,
    상기 시일은 진공 시일인 기판 프로세싱 장치.
  14. 청구항 9 내지 청구항 13 중 어느 한 항에 있어서,
    상기 반응 챔버 보울은 기판 로딩을 위한 보울 리프트 액츄에이터에 의해 하강되도록 구성되는 기판 프로세싱 장치.
  15. 청구항 1 내지 청구항 14 중 어느 한 항에 있어서,
    상기 기판 지지부의 둘레로부터 주변 반응 챔버 보울의 가장 가까운 표면까지 적어도 50mm의 자유 측방 거리를 포함하는 기판 프로세싱 장치.
  16. 청구항 1 내지 청구항 15 중 어느 한 항에 있어서,
    화학 소스 컨테이너에 부착된 펠티에 냉각기(Peltier cooler)를 포함하고, 상기 펠티에 냉각기는 두개의 고정된 0이 아닌 전압 레벨로 상기 냉각기를 제어하도록 구성되는 제어 장치를 포함하는 기판 프로세싱 장치.
  17. 기판 프로세싱 장치로서,
    내부 챔버;
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버;
    사익 내부 챔버에서 기판을 지지하는 기판 지지부; 및
    상기 내부 챔버 내에서 제1 플라즈마 종을 생성하는 제1 플라즈마 생성기, 및 상기 내부 챔버의 외측 상에서 제2 플라즈마 종을 생성하는 원격 플라즈마 생성기인 제2 플라즈마 생성기를 포함하는 플라즈마 시스템을 포함하는 기판 프로세싱 장치.
  18. 기판 프로세싱 장치로서,
    내부 챔버;
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버;
    상기 내부 챔버에서 기판을 지지하는 기판 지지부;
    이동 가능한 덮개 시스템; 및
    상기 덮개 시스템의 플라즈마 어플리케이터를 포함하는 기판 프로세싱 장치.
  19. 청구항 18에 있어서,
    상기 이동 가능한 덮개 시스템은 힌지 덮개 시스템 또는 리프트 덮개인 기판 프로세싱 장치.
  20. 청구항 18 또는 청구항 19에 있어서,
    상기 덮개 시스템을 통해 적어도 하나의 인-피드 라인의 피드스루를 포함하는 기판 프로세싱 장치.
  21. 기판 프로세싱 장치로서,
    내부 챔버;
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버; 및
    상기 기판 프로세싱 장치의 기판 로딩 개구를 덮도록 반응 챔버 보울과 함께 이동하는 상기 외부 챔버의 열 반사기 부분(또는 플레이트)을 포함하는 기판 프로세싱 장치.
  22. 기판 프로세싱 장치로서,
    내부 챔버;
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버; 및
    외부 챔버 덮개를 통과하도록 적어도 하나의 가스 인-피드 라인을 위한 스루-홀 피드스루(through-hole feedthrough)를 포함하는 기판 프로세싱 장치.
  23. 기판 프로세싱 장치로서,
    반응 챔버;
    기판 지지부;
    부착 플랜지를 통해 반응 챔버 바닥에 부착되는 상기 기판 지지부의 받침대로서, 상기 부착 플랜지는 상기 반응 챔버 내에 위치하는 받침대를 포함하는 기판 프로세싱 장치.
  24. 기판 프로세싱 장치로서,
    하부 부분을 갖는 반응 챔버로서, 상기 반응 챔버의 상기 하부 부분은 터보 분자 펌프를 위한 제1 배기 개구, 및 다른 배기 펌프를 위한 제2 배기 개구를 포함하고, 상기 제2 배기 개구를 통해 이동하는 배기 라인은 상기 터보 분자 펌프를 바이-패스하는 기판 프로세싱 장치.
  25. 기판 프로세싱 장치로서,
    내부 챔버;
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버를 포함하고,
    상기 내부 챔버는 하부 부분을 포함하고, 상기 기판 프로세싱 장치는, 상기 내부 챔버의 상기 하부 부분으로 들어가고 배기 개구를 통해 배기 펌프를 향해 상기 하부 부분을 나가는, 외부 챔버로부터의 배기 라인을 포함하는 기판 프로세싱 장치.
  26. 청구항 25에 있어서,
    상기 외부 챔버로부터의 출력과 상기 하부 부분으로 들어가는 것은, 버터플라이 밸브와 같은, 제2 유동 제한 제어 밸브를 통해 발생하는 기판 프로세싱 장치.
  27. 청구항 25 또는 청구항 26에 있어서,
    상기 배기 펌프를 향해 나가는 것은, 진자 밸브와 같은, 제1 유동 제한 제어 밸브를 통해 발생하는 기판 프로세싱 장치.
  28. 청구항 25 내지 청구항 27 중 어느 한 항에 있어서,
    상기 배기 펌프는 터보 분자 펌프인 기판 프로세싱 장치.
  29. 기판 프로세싱 장치로서,
    반응 챔버;
    기판 지지부;
    반응 챔버 바닥에 부착된 상기 기판 지지부의 받침대; 및
    상기 반응 챔버의 상기 바닥을 통해 연장되는 연결 요소를 통해 아래로부터 이동이 작동되는 상기 기판 지지부의 리프터 핀(lifter pin)을 포함하는 기판 프로세싱 장치.
  30. 청구항 29에 있어서,
    상기 받침대 주위의 부분으로서, 상기 연결 요소로 상기 부분을 이동시킴으로써 상기 핀을 수직으로 이동시키도록 상기 리프터 핀이 부착되는 상기 받침대 주위의 부분을 포함하는 기판 프로세싱 장치.
  31. 기판 프로세싱 장치로서,
    반응 챔버 보울을 포함하는 내부 챔버, 및
    상기 내부 챔버를 적어도 부분적으로 둘러싸는 외부 챔버를 포함하고,
    상기 기판 프로세싱 장치는 상기 외부 챔버의 외측 상에서 상기 보울의 이동을 작동시킴으로써 상기 반응 챔버를 이동시키도록 구성되는 기판 프로세싱 장치.
  32. 청구항 31에 있어서,
    연결 요소가 상기 반응 챔버 보울을 통과하고 상기 반응 챔버 보울에 수직 모션을 전달하기 위해 상기 외부 챔버를 통한 실링된 피드스루를 포함하는 기판 프로세싱 장치.
  33. 기판 프로세싱 장치로서,
    반응 챔버;
    플라즈마 종을 반응 챔버에 제공하도록 플라즈마 어플리케이터를 포함하는 덮개 또는 덮개 시스템; 및
    상기 덮개 또는 덮개 시스템의 냉각 장치를 포함하는 기판 프로세싱 장치.
  34. 청구항 33에 있어서,
    상기 냉각 장치는, 물과 같은, 냉각제를 유동시키기 위해 상기 덮개 또는 덮개 시스템에 부착되거나 내장되는 채널을 포함하는 기판 프로세싱 장치.
KR1020227026989A 2020-01-10 2020-12-21 기판 프로세싱 장치 및 방법 KR20220143019A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI20205023 2020-01-10
FI20205023A FI129609B (en) 2020-01-10 2020-01-10 SUBSTRATE PROCESSING EQUIPMENT
PCT/FI2020/050861 WO2021140270A1 (en) 2020-01-10 2020-12-21 Substrate processing apparatus and method

Publications (1)

Publication Number Publication Date
KR20220143019A true KR20220143019A (ko) 2022-10-24

Family

ID=74003816

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026989A KR20220143019A (ko) 2020-01-10 2020-12-21 기판 프로세싱 장치 및 방법

Country Status (10)

Country Link
US (2) US11004707B1 (ko)
EP (1) EP4087955A1 (ko)
JP (1) JP2023509943A (ko)
KR (1) KR20220143019A (ko)
CN (1) CN113106419A (ko)
CA (1) CA3165295A1 (ko)
FI (1) FI129609B (ko)
IL (1) IL294524A (ko)
TW (1) TW202126850A (ko)
WO (1) WO2021140270A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11889740B2 (en) * 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
CN117467976B (zh) * 2023-10-31 2024-05-17 北京北方华创微电子装备有限公司 用于气相沉积工艺腔室的上衬环、下衬环、进气衬体和内衬

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3130374B2 (ja) * 1992-06-17 2001-01-31 株式会社日立製作所 半導体装置の製造方法
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050066902A1 (en) 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
DE102005002142A1 (de) 2005-01-12 2006-07-20 Forschungsverbund Berlin E.V. Mikroplasmaarray
GB0510051D0 (en) 2005-05-17 2005-06-22 Forticrete Ltd Interlocking roof tiles
KR100689037B1 (ko) 2005-08-24 2007-03-08 삼성전자주식회사 마이크로파 공명 플라즈마 발생장치 및 그것을 구비하는플라즈마 처리 시스템
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR100724571B1 (ko) 2006-02-13 2007-06-04 삼성전자주식회사 인시투 클리닝 기능을 갖는 플라즈마 처리장치 및 그사용방법
EP2006888A4 (en) 2006-03-30 2011-11-09 Mitsui Shipbuilding Eng METHOD AND DEVICE FOR GROWING A PLASMAATOMIC LAYER
KR20070111383A (ko) * 2006-05-16 2007-11-21 에이에스엠 저펜 가부시기가이샤 플라즈마 차단 절연판이 장착된 플라즈마 cvd 장치
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
WO2008120946A1 (en) * 2007-04-02 2008-10-09 Sosul Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR101012345B1 (ko) 2008-08-26 2011-02-09 포항공과대학교 산학협력단 저 전력 휴대용 마이크로파 플라즈마 발생기
KR20120023030A (ko) 2009-04-28 2012-03-12 트러스티즈 오브 터프츠 칼리지 마이크로플라즈마 생성기 및 마이크로플라즈마 생성 방법들
US8800483B2 (en) 2009-05-08 2014-08-12 Peter F. Vandermeulen Methods and systems for plasma deposition and treatment
JP2013532387A (ja) 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
CN103270578B (zh) 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
KR20180128514A (ko) * 2011-04-07 2018-12-03 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기
KR101283571B1 (ko) 2012-03-12 2013-07-08 피에스케이 주식회사 공정 처리부 및 기판 처리 장치, 그리고 이를 이용한 기판 처리 방법
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
WO2015187389A2 (en) 2014-05-23 2015-12-10 Board Of Trustees Of Michigan State University Methods and apparatus for microwave plasma assisted chemical vapor deposition reactors
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR102264257B1 (ko) * 2014-12-30 2021-06-14 삼성전자주식회사 막 형성 방법 및 이를 이용한 반도체 장치 제조 방법
EP3309815B1 (de) 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
EP3559307B1 (en) * 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
CN116504679A (zh) * 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
US11244808B2 (en) 2017-05-26 2022-02-08 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
KR102449621B1 (ko) 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US20190119815A1 (en) 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering

Also Published As

Publication number Publication date
CN113106419A (zh) 2021-07-13
FI129609B (en) 2022-05-31
CA3165295A1 (en) 2021-07-15
US20230067579A1 (en) 2023-03-02
US11004707B1 (en) 2021-05-11
JP2023509943A (ja) 2023-03-10
WO2021140270A1 (en) 2021-07-15
TW202126850A (zh) 2021-07-16
FI20205023A1 (en) 2021-07-11
EP4087955A1 (en) 2022-11-16
IL294524A (en) 2022-09-01

Similar Documents

Publication Publication Date Title
JP4232330B2 (ja) 励起ガス形成装置、処理装置及び処理方法
CN110582591B (zh) 原子层沉积设备、方法和阀
KR102182995B1 (ko) 성막 장치 및 성막 방법
KR20220143019A (ko) 기판 프로세싱 장치 및 방법
TWI744502B (zh) 基板處理設備及方法
TWI762931B (zh) 基板背側保護技術
JP7467506B2 (ja) 多孔質インレット
FI20225231A1 (en) SUBSTRATE PROCESSING EQUIPMENT AND PROCEDURE
KR20220106129A (ko) 기재 처리 장치 및 방법
TW202200835A (zh) 基材處理裝置及方法
JP2022077993A (ja) 反応器および関連する方法
KR101408506B1 (ko) 성막 장치