KR20220054271A - 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴 - Google Patents

멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴 Download PDF

Info

Publication number
KR20220054271A
KR20220054271A KR1020220048438A KR20220048438A KR20220054271A KR 20220054271 A KR20220054271 A KR 20220054271A KR 1020220048438 A KR1020220048438 A KR 1020220048438A KR 20220048438 A KR20220048438 A KR 20220048438A KR 20220054271 A KR20220054271 A KR 20220054271A
Authority
KR
South Korea
Prior art keywords
substrate processing
processing stations
stations
central cavity
substrate
Prior art date
Application number
KR1020220048438A
Other languages
English (en)
Other versions
KR102502793B1 (ko
Inventor
칼 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220054271A publication Critical patent/KR20220054271A/ko
Application granted granted Critical
Publication of KR102502793B1 publication Critical patent/KR102502793B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)

Abstract

기판 프로세싱 툴은, 중앙 캐비티 둘레에서 제 1 이송 플레인 내에 배열된 N 개의 기판 프로세싱 스테이션들을 포함하고, N은 1보다 큰 정수이다. N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 기판을 프로세싱하도록 구성된다. M 개의 기판 프로세싱 스테이션들이 중앙 캐비티 둘레에서 제 2 이송 플레인 내에 배열되고, M은 1보다 큰 정수이다. 제 2 이송 플레인은 제 1 이송 플레인과 평행하게 제 1 이송 플레인 위에 배열된다. 상부 툴 부분은 M 개의 기판 프로세싱 스테이션들 및 N 개의 기판 프로세싱 스테이션들의 제 1 부분을 포함한다. 회전가능한 하부 툴 부분은 상부 툴 부분에 대해 회전한다. N 개의 기판 프로세싱 스테이션들의 제 2 부분은 회전가능한 하부 툴 부분과 함께 회전한다.

Description

멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴{COMPACT SUBSTRATE PROCESSING TOOL WITH MULTI-STATION PROCESSING AND PRE-PROCESSING AND/OR POST-PROCESSING STATIONS}
관련 출원의 교차 참조
본 출원은 2014년 2월 24일 출원된 미국 가 출원 번호 제 61/943,729 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시 내용은 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 툴들에 관한 것이고, 특히 반도체 프로세싱 툴들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 프로세싱 시스템들과 같은 기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에 막 층들, 금속 층들 또는 다른 타입들의 층들을 증착하거나 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템은 하나 이상의 프로세싱 스테이션들을 포함할 수도 있다. 기판 프로세싱 시스템에서, 기판 처리는 비용 및 처리량에 상당한 영향을 줄 수 있다. 처리량을 증가시키고 비용을 감소시키기 위해, 기판들은 가장 효율적인 방식으로 그리고 최소 또는 오염이 없는 상이한 프로세싱 단계들을 통해 프로세싱되어야 한다.
일부 기판 프로세싱 시스템들에서, 기판들은 기판 카세트로부터 반응기로 이동된 후 다시 기판 카세트 또는 다른 위치로 이동된다. 처리량을 개선하고 기판 처리를 감소시키기 위해, 단일 반응기는 다수의, 연속하는 프로세싱 스테이션들을 포함할 수도 있다. 이러한 타입의 기판 프로세싱 시스템에서, 기판은 반응기로 이동되고, 프로세싱 스테이션들에서 순차적으로 프로세싱된 후 기판 카세트 또는 또 다른 위치로 이동된다. 이 프로세싱 장치는 기판 처리를 감소시킴으로써 처리량을 증가시키는 경향이 있다.
기판 프로세싱 툴들이 고 처리량 및 저 재료 비용을 제공하기 위해 개발되었지만, 이들 기판 프로세싱 툴들은 통상적으로 동일한 툴 상에서 선-프로세싱 또는 후-프로세싱 옵션들을 허용하지 않는다. 일부 툴들은 선-세정 모듈 또는 선-처리 모듈과 같은 단일 스테이션 모듈들과 멀티-스테이션 시퀀셜 프로세싱 (MSSP: multiple station, sequential processing) 을 결합하였다. 그러나, 이들 툴들을 위한 재료 비용은, 툴의 많은 서브시스템들이 모듈 각각에 대해 반복되기 때문에 높아지는 경향이 있다. 게다가, 모듈들이 중앙화된 웨이퍼 처리기 둘레에 불규칙하게 퍼져 있기 (sprawl) 때문에, 전체적으로 차지하는 공간이 비교적 크다.
기판 프로세싱 툴은, 중앙 캐비티 둘레에서 제 1 이송 플레인 내에 배열된 N 개의 기판 프로세싱 스테이션들을 포함하고, N은 1보다 큰 정수이다. N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 기판을 프로세싱하도록 구성된다. M 개의 기판 프로세싱 스테이션들이 중앙 캐비티 둘레에서 제 2 이송 플레인 내에 배열되고, M은 1보다 큰 정수이다. 제 2 이송 플레인은 제 1 이송 플레인과 평행하게 제 1 이송 플레인 위에 배열된다. 상부 툴 부분은 M 개의 기판 프로세싱 스테이션들 및 N 개의 기판 프로세싱 스테이션들의 제 1 부분을 포함한다. 회전가능한 하부 툴 부분은 상부 툴 부분에 대해 회전한다. N 개의 기판 프로세싱 스테이션들의 제 2 부분은 회전가능한 하부 툴 부분과 함께 회전한다.
다른 특징들에서, N 개의 기판 프로세싱 스테이션들은 멀티-스테이션 시퀀셜 프로세싱 (MSSP: multi-station sequential processing) 을 수행한다. M 개의 기판 프로세싱 스테이션들은 기판의 선-프로세싱 및 후-프로싱 중 적어도 하나를 수행한다. 로봇은 중앙 캐비티 내에 배열되고, 제 1 이송 플레인 내의 N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션 및 제 2 이송 플레인 내의 M 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션으로 기판들을 이송하도록 구성된다.
다른 특징들에서, M 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 선-세정, 선-처리, 핵생성 및 버퍼링으로 구성된 그룹으로부터 선택된 기능을 수행한다. N 개의 기판 프로세싱 스테이션들은 중앙 캐비티 둘레에 동일한 각도 오프셋으로 배열된다. N 개의 기판 프로세싱 스테이션들은 중앙 캐비티 둘레에 불규칙한 각도 오프셋들로 배열된다. 제 2 이송 플레인 내의 M 개의 기판 프로세싱 스테이션들은 제 1 이송 플레인 내의 N 개의 기판 프로세싱 스테이션들 위에 배열되고 N 개의 기판 프로세싱 스테이션들에 대해 번갈아 위치된다 (staggered).
다른 특징들에서, N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 원자층 증착 (ALD), 플라즈마-강화된 ALD (PEALD), 화학적 기상 증착 (CVD) 및 플라즈마-강화된 CVD (PECVD) 중 적어도 하나를 수행하도록 구성된다. N 개의 기판 프로세싱 스테이션들 각각은 회전가능한 하부 툴 부분과 함께 이동하는 페데스탈을 포함한다. N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 상부 툴 부분에 연결된 샤워헤드 및 회전가능한 하부 툴 부분에 연결된 페데스탈을 포함한다.
다른 특징들에서, 베어링 표면들이 상부 툴 부분과 회전가능한 하부 툴 부분 사이에 배열된다. 베어링 표면들은 가스 베어링 표면들 및 차동 펌핑 디바이스 (differential pumping device) 를 포함한다. 상부 툴 부분 내의 통로는 N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션을 중앙 캐비티에 연결한다.
다른 특징들에서, 상부 툴 부분 내의 M 개의 통로들은 M 개의 기판 프로세싱 스테이션들을 중앙 캐비티에 각각 연결한다. M 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션으로의 외부 통로는 외부에서 M 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션 내로의 기판의 로딩을 가능하게 한다. 로봇은 제 2 이송 플레인 내의 M 개의 기판 프로세싱 스테이션들 각각으로 기판들을 이송하도록 구성된다.
다른 특징들에서, 로드록이 중앙 캐비티에 연결된다. 로봇은 카세트로부터 로드록으로 그리고 로드록으로부터 중앙 캐비티로 기판들을 이송하도록 구성된다. 기판은 반도체 웨이퍼를 포함한다. 베어링 표면들은 자성유체 시일부들 (ferrofluidic seals) 및 차동 펌핑 디바이스를 포함한다.
다른 특징들에서, N 개의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 기판 상에 막의 증착을 수행하도록 구성된다. 기판은 반도체 웨이퍼를 포함한다.
본 개시의 다른 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 툴을 예시하는 평면도이다.
도 2는 도 1의 기판 프로세싱 툴을 보다 상세히 예시하는 사시도이다.
도 3은 도 1 및 도 2의 기판 프로세싱 툴을 보다 상세히 예시하는 다른 사시도이다.
이제 도 1을 참조하면, 본 개시에 따른 기판 프로세싱 툴 (10) 이 도시된다. 기판 프로세싱 툴 (10) 은 중앙 위치에 배열된 로봇 (12) 을 포함한다. 로봇 (12) 은 진공에서 동작할 수도 있다.
멀티-스테이션 시퀀셜 프로세싱 (MSSP) 은 하부 이송 플레인 (20) (또한 도 2 참조) 에서 로봇 (12) 둘레에 배열된 복수의 스테이션들 (16-1, 16-2, …, 및 16-M) (집합적으로, 스테이션들 (16)) (M은 1보다 큰 정수) 을 통해 수행된다. 부가적으로, 기판 스테이션들 (24-1, 24-2, …, 및 24-N) (집합적으로 스테이션들 (24)) (N은 1보다 큰 정수) 이 하부 이송 플레인 (20) 위에 배열된 상부 이송 플레인 (28) (또한 도 2 참조) 내에 위치된다. 하나 이상의 스테이션들 (24) 은 슬릿 밸브와 같은 격리 밸브 (25) 를 사용하여 이송 챔버 (50) 의 분위기 및/또는 다른 스테이션들로부터 격리될 수도 있다. 스테이션들 (24) 은 격리되거나 격리되지 않을 수도 있다.
스테이션들 (16) 은 동일하거나 불규칙한 각도 오프셋으로 기판 프로세싱 툴 (10) 의 중심 둘레에 배열될 수도 있다. 스테이션들 (24) 은 또한 동일하거나 불규칙한 각도 오프셋으로 기판 프로세싱 툴 (10) 의 중심 둘레에 배열될 수도 있다. 스테이션들 (16) 은 스테이션들 (24) 사이에 위치될 수도 있고 스테이션들 (24) 에 대한 각도 오프셋을 가질 수도 있다. 도 1에서 N 및 M이 6으로 같지만, N 및 M은 다른 값들로 설정될 수도 있다. 스테이션들 (24) 의 예들은 선-세정, 선-처리, 핵생성, 및 버퍼링을 포함할 수도 있지만, 다른 타입들의 스테이션들이 사용될 수도 있다. 스테이션들 (24) 은 기판들 상에서 선-프로세싱 및/또는 후-프로세싱을 수행하도록 사용될 수도 있다. 상부 스테이션들 (24) 및 하부 스테이션들 (16) 은 공유되거나 전용일 수도 있는 가스 전달, 펌핑, 계측 (instrumentation) 및/또는 플라즈마 전력 시스템들과 같은 지원 시스템들을 포함한다.
기판들은 처음에 카세트 (34) 내에 위치될 수 있다. 일반적으로 38로 식별된 로봇 및 로드록은 카세트 (34) 로부터 기판 프로세싱 툴 (10) 로 기판들을 이동시키기 위해 사용될 수도 있다. 프로세싱이 완료될 때, 로봇 및 로드록 (38) 은 카세트 (34) 및/또는 또 다른 카세트 (39) 로 기판들을 되돌려 줄 수도 있다. 하부 이송 플레인 및 상부 이송 플레인 (20 및 28) 은, 스테이션 하드웨어가 수직 방향으로 공간적으로 내포되기 때문에, 비교적 작은 거리로 각각 분리된다.
이제 도 2 및 도 3을 참조하면, 기판 프로세싱 툴 (10) 의 하부 이송 플레인 (20) 및 상부 이송 플레인 (28) 이 보다 상세히 도시된다. 로봇 (12) 은 기판 프로세싱 툴 (10) 의 중앙 부분에 규정된 수직 캐비티 (50) 내에 배열될 수도 있다.
스테이션들 (16) 은 하부 이송 플레인 (20) 내에 배열된다. 일부 구현예들에서, 하나 이상의 스테이션들 (16) 은 페데스탈 (64) 및 샤워헤드 (68) 를 포함할 수도 있지만, 다른 타입들의 스테이션들 (16) 이 사용될 수도 있다. 하부 이송 플레인 (20) 은 정지 부분 (70) 및 회전가능 부분 (72) 을 더 포함한다. 베어링 표면은 시일 및/또는 상대적인 회전을 가능하게 하도록 정지 부분 (70) 과 회전가능 부분 (72) 사이의 하나 이상의 위치들 (73) 에 배열될 수도 있다.
일부 애플리케이션들에서, 베어링 표면들 (73) 은 가스 베어링을 가로지르는 차동 펌핑 디바이스와 함께 내측 (원형 수송 동안 내측 직경) 및 외측 (외측 직경) 양측 상에서 가압된 불활성 가스 장치를 활용하는 가스 베어링을 포함할 수도 있다. 차동 펌핑 디바이스는 다수의 플레넘들을 포함할 수도 있다. 플레넘들은 시일을 형성하기 위해 상이한 레벨들의 진공에서 차동 펌프들에 연결된 그루브를 포함한다. 대안적으로, 차동 펌핑과 함께 자성 유체 시일부가 사용될 수도 있다.
회전가능 부분 (72) 은 정지 부분 (70) 에 대해 회전하고 캐로절 어셈블리로서 동작한다. 사용된다면, 스테이션들 (16) 내의 페데스탈 (64) 은 하부 이송 플레인 (20) 의 회전가능 부분 (72) 에 부착될 수도 있다. 사용된다면, 스테이션들 (16) 내의 샤워헤드 (68) 는 하부 이송 플레인 (20) 의 정지 부분 (70) 에 부착될 수도 있다.
기판들은 수직 캐비티 (50) 에 연결하는 하나 이상의 통로들 (88) 을 통해 로봇 (12) 으로부터 하부 이송 플레인 (20) 의 스테이션들 (16) 내로 로딩될 수 있다. 기판들 상에 프로세싱이 수행될 수도 있고 이어서 회전가능 부분 (72) (캐로절 어셈블리) 이 하부 이송 플레인 (20) 내의 다음 스테이션들 (16) 을 중심으로 회전될 수 있다. 기판이 스테이션들 (16) 내에서 프로세싱 완료되면, 기판은 필요에 따라 로봇 (12) 을 사용하여 후-프로세싱을 위해 상부 이송 플레인 (28) 내의 하나 이상의 스테이션들 (24) 또는 카세트들 (34 또는 39) 중 하나로 이동될 수 있다. 유사하게, 선-프로세싱이 스테이션들 (16) 내에서의 MSSP에 앞서 스테이션들 (24) 내에서 수행될 수 있다.
기판들은 수직 캐비티 (50) 에 연결되는 대응하는 통로들 (90-1, 90-2, …, 및 90-T) (T는 정수) 을 통해 로봇 (12) 으로부터 상부 이송 플레인 (28) 내의 스테이션들 (24) 내로 로딩될 수 있다. 하나 이상의 외부 통로들 (94) 은 스테이션들 (16 및/또는 24) 내로 바로 로딩될 수 있게 할 수 있다. 도 3에서, 스테이션 (24-5) 은 페데스탈 (98) 을 포함하는 것으로 도시된다.
기판 프로세싱 툴 (10) 의 컴포넌트들은 핵심 컴포넌트들을 내포할 수 있게 하는 3차원으로 전략적으로 위치된다. 이는 (이로 제한되는 것은 아니지만 450 ㎜와 같은) 대형 기판 직경들에 유용한 “더블 데커 (double decker)”가 최소 수직 변위만으로 가능하게 한다. 기판 프로세싱 툴 (10) 은 또한 대형 기판 직경들에 대해 특히 중요한 차지하는 공간 활용을 개선하였다. 개별 챔버 바디들의 수를 최소화함으로써, 기판 프로세싱 툴 (10) 은 또한 개선된 비용 구조를 갖는 경향이 있다.
비제한적인 예들로서, 기판 프로세싱 시스템은 원자층 증착 (ALD), 플라즈마-강화된 ALD (PEALD), 화학적 기상 증착 (CVD), 플라즈마-강화된 CVD (PECVD) 과 같은 프로세스들, 및 다른 타입들의 프로세스들에 사용될 수도 있다. 유사하게 설계된 기판 프로세싱 시스템들이 또한 광전지, 플랫 패널 디스플레이들 및 일렉트로크로믹 윈도와 같은 애플리케이션들을 위해 유리 판들을 프로세싱하도록 사용된다.
본 명세서에서 상기에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여, 워크피스, 즉 기판 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여 상기 레지스트 패턴을 그 아래의 막 또는 워크피스에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 동작을 포함할 수 있다.
전술한 기술은 본질적으로 단순히 예시적이고 개시, 어떠한 방법으로도 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 명확성을 위해, 도면들에서 동일한 참조 번호들이 유사한 엘리먼트들을 식별하도록 사용될 것이다. 본 명세서에서 사용된 바와 같이, "A, B, 및 C 중 적어도 하나"라는 구는 비배타적인 논리 OR를 사용하여, 논리적 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.

Claims (27)

  1. 기판 프로세싱 툴에 있어서,
    모놀리식 바디 (monolithic body) 로서,
    중앙 캐비티, 및
    상기 중앙 캐비티 둘레에 배열되고 상기 중앙 캐비티로부터 액세스가능한 복수의 캐비티들을 포함하는, 상기 모놀리식 바디; 및
    제 1 복수의 기판 프로세싱 스테이션들로서, 상기 제 1 복수의 기판 프로세싱 스테이션들 각각의 적어도 일부는 상기 복수의 캐비티들 중 각각의 캐비티 내에 배열되는, 상기 제 1 복수의 기판 프로세싱 스테이션들을 포함하는, 기판 프로세싱 툴.
  2. 제 1 항에 있어서,
    상기 중앙 캐비티로부터 상기 복수의 캐비티들로 연장하는 복수의 통로들을 더 포함하는, 기판 프로세싱 툴.
  3. 제 2 항에 있어서,
    상기 복수의 통로들은 이를 통해 기판들의 이송을 위해 사이징되는, 기판 프로세싱 툴.
  4. 제 1 항에 있어서,
    상기 중앙 캐비티 내에 배열되고 상기 중앙 캐비티와 상기 제 1 복수의 기판 프로세싱 스테이션들 사이에서 기판들을 이송하도록 구성된 로봇을 더 포함하는, 기판 프로세싱 툴.
  5. 제 4 항에 있어서,
    상기 로봇은 상기 제 1 복수의 기판 프로세싱 스테이션들 각각에 액세스하기 위한 멀티-링크 관절형 암 (multi-link articulating arm) 을 포함하는, 기판 프로세싱 툴.
  6. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들은 멀티-스테이션 시퀀셜 프로세싱 (MSSP: multi-station sequential processing) 을 수행하는, 기판 프로세싱 툴.
  7. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들은 기판의 선-프로세싱 및 후-프로싱 중 적어도 하나를 수행하는, 기판 프로세싱 툴.
  8. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 선-세정, 선-처리, 핵생성 및 버퍼링으로 구성된 그룹으로부터 선택된 기능을 수행하는, 기판 프로세싱 툴.
  9. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들은 상기 중앙 캐비티 둘레에 동일한 각도 오프셋으로 배열되는, 기판 프로세싱 툴.
  10. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들은 상기 중앙 캐비티 둘레에 불규칙한 각도 오프셋들로 배열되는, 기판 프로세싱 툴.
  11. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 원자층 증착 (ALD), 플라즈마-강화된 ALD (PEALD), 화학적 기상 증착 (CVD) 및 플라즈마-강화된 CVD (PECVD) 중 적어도 하나를 수행하도록 구성되는, 기판 프로세싱 툴.
  12. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들 중 적어도 하나는 기판 상에 막의 증착을 수행하도록 구성되는, 기판 프로세싱 툴.
  13. 제 1 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들은 제 1 이송 플레인 내에 배열되는, 기판 프로세싱 툴.
  14. 제 13 항에 있어서,
    상기 중앙 캐비티 둘레의 제 2 이송 플레인에 배열된 제 2 복수의 기판 프로세싱 스테이션들을 더 포함하고, 상기 제 2 이송 플레인은 상기 제 1 이송 플레인에 평행하게 배열되는, 기판 프로세싱 툴.
  15. 제 14 항에 있어서,
    상기 제 2 이송 플레인 내의 상기 제 2 복수의 기판 프로세싱 스테이션들은 상기 제 1 이송 플레인 내의 상기 제 1 복수의 기판 프로세싱 스테이션들 위에 배열되고 상기 제 1 복수의 기판 프로세싱 스테이션들에 대하여 번갈아 위치되는 (staggered), 기판 프로세싱 툴.
  16. 제 14 항에 있어서,
    상기 중앙 캐비티 내에 배열되고 상기 중앙 캐비티와 상기 제 1 복수의 기판 프로세싱 스테이션들 사이 그리고 상기 중앙 캐비티와 상기 제 2 복수의 기판 프로세싱 스테이션들 사이에서 기판들을 이송하도록 구성된 로봇을 더 포함하는, 기판 프로세싱 툴.
  17. 제 16 항에 있어서,
    상기 로봇은 상기 제 1 복수의 기판 프로세싱 스테이션들 및 상기 제 2 복수의 기판 프로세싱 스테이션들 각각에 액세스하기 위한 멀티-링크 관절형 암을 포함하는, 기판 프로세싱 툴.
  18. 제 16 항에 있어서,
    상기 로봇은 상기 제 1 복수의 기판 프로세싱 스테이션들과 상기 제 2 복수의 기판 프로세싱 스테이션들 사이에서 수직으로 기판을 이동시키도록 구성되는, 기판 프로세싱 툴.
  19. 제 14 항에 있어서,
    상기 제 2 복수의 기판 프로세싱 스테이션들 및 상기 제 1 복수의 기판 프로세싱 스테이션들의 제 1 부분을 포함하는 상부 툴 부분; 및
    상기 상부 툴 부분에 대해 회전하는 회전가능한 하부 툴 부분을 더 포함하고, 상기 제 1 복수의 기판 프로세싱 스테이션들의 제 2 부분은 상기 회전가능한 하부 툴 부분과 함께 회전하는, 기판 프로세싱 툴.
  20. 제 19 항에 있어서, 상기 모놀리식 바디는 상기 상부 툴 부분으로서 구현되는, 기판 프로세싱 툴.
  21. 제 19 항에 있어서,
    상기 모놀리식 바디는 상기 상부 툴 부분을 포함하는, 기판 프로세싱 툴.
  22. 제 19 항에 있어서,
    상기 회전가능한 하부 툴 부분은 상기 중앙 캐비티를 중심으로 회전하는, 기판 프로세싱 툴.
  23. 제 19 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들 각각은 상기 회전가능한 하부 툴 부분과 함께 이동하는 페데스탈을 포함하는, 기판 프로세싱 툴.
  24. 제 19 항에 있어서,
    상기 제 1 복수의 기판 프로세싱 스테이션들 중 적어도 하나의 기판 프로세싱 스테이션은 상기 상부 툴 부분에 연결된 샤워헤드 및 상기 회전가능한 하부 툴 부분에 연결된 페데스탈을 포함하는, 기판 프로세싱 툴.
  25. 제 19 항에 있어서,
    상기 상부 툴 부분과 상기 회전가능한 하부 툴 부분 사이에 배열된 베어링 표면들을 더 포함하는, 기판 프로세싱 툴.
  26. 제 25 항에 있어서,
    상기 베어링 표면들은 가스 베어링 표면들을 포함하는, 기판 프로세싱 툴.
  27. 제 25 항에 있어서,
    상기 베어링 표면들은 자성유체 시일부들 (ferrofluidic seals) 을 포함하는, 기판 프로세싱 툴.
KR1020220048438A 2014-02-24 2022-04-19 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴 KR102502793B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461943729P 2014-02-24 2014-02-24
US62/943,729 2014-02-24
US14/628,342 US9916995B2 (en) 2014-02-24 2015-02-23 Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US14/628,342 2015-02-23
KR1020150026008A KR102389920B1 (ko) 2014-02-24 2015-02-24 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150026008A Division KR102389920B1 (ko) 2014-02-24 2015-02-24 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴

Publications (2)

Publication Number Publication Date
KR20220054271A true KR20220054271A (ko) 2022-05-02
KR102502793B1 KR102502793B1 (ko) 2023-02-23

Family

ID=53881650

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150026008A KR102389920B1 (ko) 2014-02-24 2015-02-24 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
KR1020220048438A KR102502793B1 (ko) 2014-02-24 2022-04-19 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150026008A KR102389920B1 (ko) 2014-02-24 2015-02-24 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴

Country Status (3)

Country Link
US (1) US9916995B2 (ko)
KR (2) KR102389920B1 (ko)
TW (1) TWI665746B (ko)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111604810B (zh) * 2020-07-24 2020-11-03 杭州众硅电子科技有限公司 一种晶圆传输设备、化学机械平坦化装置及晶圆传输方法
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12002668B2 (en) * 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118077042A (zh) * 2021-10-08 2024-05-24 朗姆研究公司 多站处理模块和反应器架构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090116649A (ko) * 2008-05-06 2009-11-11 노벨러스 시스템즈, 인코포레이티드 포토레지스트 스트리핑 방법 및 장치

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US7066703B2 (en) * 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
JP4209658B2 (ja) 2002-10-22 2009-01-14 東京エレクトロン株式会社 基板処理装置
KR200365976Y1 (ko) 2004-08-07 2004-10-28 유원테크 주식회사 액정표시장치 백라이트용 광학필름의 자동조립장치
KR20100073670A (ko) * 2008-12-23 2010-07-01 황무성 웨이퍼 이송 시스템과 이를 포함하는 반도체 팹 구조물 및 웨이퍼 이송 방법
EP2659507B1 (en) * 2010-12-29 2022-09-14 Evatec AG Vacuum treatment apparatus
JP5403113B2 (ja) 2012-06-15 2014-01-29 東京エレクトロン株式会社 成膜装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090116649A (ko) * 2008-05-06 2009-11-11 노벨러스 시스템즈, 인코포레이티드 포토레지스트 스트리핑 방법 및 장치

Also Published As

Publication number Publication date
US9916995B2 (en) 2018-03-13
US20150240360A1 (en) 2015-08-27
TWI665746B (zh) 2019-07-11
KR102389920B1 (ko) 2022-04-21
KR20150100567A (ko) 2015-09-02
TW201546930A (zh) 2015-12-16
KR102502793B1 (ko) 2023-02-23

Similar Documents

Publication Publication Date Title
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
KR101331288B1 (ko) 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
US8039052B2 (en) Multi-region processing system and heads
JP6205368B2 (ja) 複合静的及びパスバイ処理用システム構成
US20200273681A1 (en) Apparatus and method for treating substrate
US20150184287A1 (en) Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US11257696B2 (en) Systems and methods for workpiece processing
KR20070052331A (ko) 다중-단일 웨이퍼 처리 장치
US20200381276A1 (en) Multisubstrate process system
WO2015151676A1 (ja) 基板処理システム
US11923215B2 (en) Systems and methods for workpiece processing
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
WO2017052958A1 (en) Large area dual substrate processing system
US20140261168A1 (en) Multiple chamber module and platform in semiconductor process equipment
US20140234057A1 (en) Apparatus And Methods For Moving Wafers
US8709270B2 (en) Masking method and apparatus
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
KR101626467B1 (ko) 기판처리장치
WO2022187459A1 (en) Systems and methods for workpiece processing
KR20230167676A (ko) 기판처리장치
KR20230029443A (ko) 기판 세정 라인 및 이를 포함하는 기판 세정 시스템
KR20160101478A (ko) 기판처리장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant