KR20210137641A - Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film - Google Patents

Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film Download PDF

Info

Publication number
KR20210137641A
KR20210137641A KR1020200055671A KR20200055671A KR20210137641A KR 20210137641 A KR20210137641 A KR 20210137641A KR 1020200055671 A KR1020200055671 A KR 1020200055671A KR 20200055671 A KR20200055671 A KR 20200055671A KR 20210137641 A KR20210137641 A KR 20210137641A
Authority
KR
South Korea
Prior art keywords
boron nitride
nitride film
amorphous boron
amorphous
manufacturing
Prior art date
Application number
KR1020200055671A
Other languages
Korean (ko)
Other versions
KR102353964B1 (en
Inventor
신현석
홍석모
Original Assignee
울산과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 울산과학기술원 filed Critical 울산과학기술원
Priority to KR1020200055671A priority Critical patent/KR102353964B1/en
Publication of KR20210137641A publication Critical patent/KR20210137641A/en
Application granted granted Critical
Publication of KR102353964B1 publication Critical patent/KR102353964B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Abstract

The present invention relates to a method for fabricating an amorphous boron nitride film, which can control a fine thickness, and a large area amorphous boron nitride film. More specifically, the present invention relates to a method for fabricating an amorphous boron nitride film comprising the steps of: preparing a substrate; and growing an amorphous boron nitride film on the substrate at the temperature of 700℃ or less, and the amorphous boron nitride film, wherein the step of growing an amorphous boron nitride film on the substrate deposits the amorphous boron nitride film on a wafer-scale scale. In addition, the present invention relates to a semiconductor device including the amorphous boron nitride film.

Description

대면적 비정질 질화붕소막의 제조방법 및 대면적 비정질 질화 붕소막{METHOD FOR PRODUCING LARGE AREA AMORPHOUS BORON-NITRIDE FILM AND LARGE AREA AMORPHOUS BORON-NITRIDE FILM}Method for manufacturing a large-area amorphous boron nitride film and a large-area amorphous boron nitride film

본 발명은, 대면적 비정질 질화붕소막의 제조방법 및 상기 방법으로 제조된 대면적 비정질 질화붕소막에 관한 것이며, 또한, 상기 대면적 비정질 질화붕소막을 포함하는 반도체 소자에 관한 것이다.The present invention relates to a method for manufacturing a large-area amorphous boron nitride film and a large-area amorphous boron nitride film manufactured by the method, and also to a semiconductor device including the large-area amorphous boron nitride film.

반도체 소자의 고집적화가 가속화됨에 따라, 소자의 크기는 점점 작아지게 되고, 칩의 성능 (속도)은 트랜지스터의 스위칭 속도에 좌우되었으나, 소자의 크기를 작게 하여 고집적 고밀도가 가속화됨에 따라 스위칭 속도보다 배선 구조에서 발생하는 신호전달 지연 (RC delay: R은 금속 배선의 저항, C는 금속 배선 사이의 유전체 커패시턴스)에 의해 좌우된다. 신호전달 지연을 감소시키기 위해 집적회로 BEOL (back-end-of-line)의 금속 배선 사이에 증착되는 유전체의 유전율을 감소시키거나 금속배선의 저항 감소가 절대적으로 필요하다. 트랜지스터 (transistor) 세대가 달라짐에 따라 전극 금속을 둘러싸고 있는 확산 장벽층 (diffusion barrier) 혹은 절연 (dielectric) 물질 구조의 특성 개선 및 차별성의 필요성이 높아지고 있다. As the high integration of semiconductor devices accelerates, the size of the device becomes smaller and the chip performance (speed) depends on the switching speed of the transistor. It depends on the signal propagation delay (RC delay: R is the resistance of the metal wires, C is the dielectric capacitance between the metal wires). In order to reduce the signal propagation delay, it is absolutely necessary to reduce the dielectric constant of the dielectric deposited between the metal wires of the integrated circuit BEOL (back-end-of-line) or to reduce the resistance of the metal wires. As the generations of transistors change, the need for improvement and differentiation of properties of a diffusion barrier layer or dielectric material surrounding an electrode metal is increasing.

미래의 반도체 구조가 될 퀀텀 디바이스 (quantum device)에서의 절연 물질은, 구조적으로는 유사하나, 양자물리 현상을 응용하려면 반도체 물질의 크기가 수 나노 정도로 작아져야 하고, 그에 따라 절연 물질이 차지하는 면적과 구조가 달라지고, 산업 적용을 위한 스케일 업이 필요하다. Insulating materials in quantum devices, which will become semiconductor structures of the future, are structurally similar, but in order to apply quantum physics, the size of semiconductor materials must be reduced to a few nanometers, and accordingly, the area occupied by the insulating material and The structure changes, and scale-up is required for industrial applications.

대표적으로 비정질 질화붕소는 차세대 BEOL에 사용될 수 있는 초저유전물질로 관심을 받고 있으나, 산업 적용을 위해서 단일 공정에서 증착 되는 기판의 크기가 증가되거나, 로딩되는 웨이퍼의 개수 등의 조절을 통해 경제성 확보가 필요하고, 다양한 산업에 적용하기 위한 두께, 면적 등의 조절이 용이한 비정질 질화붕소의 제조공정에 대한 개발이 필요하다.Typically, amorphous boron nitride is attracting attention as an ultra-low dielectric material that can be used for next-generation BEOL, but for industrial applications, it is difficult to secure economic feasibility by increasing the size of a substrate deposited in a single process or by controlling the number of loaded wafers. It is necessary, and it is necessary to develop a manufacturing process of amorphous boron nitride that is easy to control thickness, area, etc. for application to various industries.

본 발명의 상기 언급한 문제점을 해결하기 위해서, 미세한 두께 조절이 가능하고 웨이퍼 스케일 이상의 대면적 박막을 대량으로 생산할 수 있는, 비정질 질화붕소막의 제조방법을 제공하는 것이다. In order to solve the above-mentioned problems of the present invention, it is to provide a method for manufacturing an amorphous boron nitride film capable of finely controlling the thickness and mass-producing a large-area thin film larger than the wafer scale.

본 발명은, 본 발명에 의한 비정질 질화붕소막의 제조방법으로 제조되고, 적용 분야, 예를 들어, 반도체, 트랜지스터 등의 소자에 요구되는 우수한 물성을 갖는, 비정질 질화붕소막을 제공하는 것이다. The present invention is to provide an amorphous boron nitride film manufactured by the method for manufacturing an amorphous boron nitride film according to the present invention, and having excellent physical properties required for an application field, for example, a semiconductor, a transistor, or the like.

본 발명은, 본 발명에 의한 비정질 질화붕소막을 포함하는 반도체 소자를 제공하는 것이다. The present invention provides a semiconductor device including the amorphous boron nitride film according to the present invention.

그러나, 본 발명이 해결하고자 하는 과제는 이상에서 언급한 것들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 해당 분야 통상의 기술자에게 명확하게 이해될 수 있을 것이다.However, the problems to be solved by the present invention are not limited to those mentioned above, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명의 일 실시예에 따라, 기판을 준비하는 단계; 및 700 ℃ 이하의 온도에서 비정질 질화붕소막을 상기 기판 상에 성장시키는 단계; 를 포함하고, 상기 성장시키는 단계는, 웨이퍼 스케일(Wafer-scale)로 비정질 질화붕소막을 증착하는 것인, 비정질 질화붕소막의 제조 방법에 관한 것이다. According to an embodiment of the present invention, the method comprising: preparing a substrate; and growing an amorphous boron nitride film on the substrate at a temperature of 700° C. or less; Including, wherein the step of growing, is to deposit the amorphous boron nitride film on a wafer scale (Wafer-scale), relates to a method of manufacturing an amorphous boron nitride film.

본 발명의 일 실시예에 따라, 상기 온도는, 상온 내지 450 ℃인 것일 수 있다. According to an embodiment of the present invention, the temperature may be from room temperature to 450 °C.

본 발명의 일 실시예에 따라, 상기 기판을 준비하는 단계는, 상기 기판을 플라즈마 처리하는 단계; 및 플라즈마 공정 챔버 내에 상기 기판을 수용하는 단계; 를 포함하는 것일 수 있다. According to an embodiment of the present invention, preparing the substrate may include plasma processing the substrate; and receiving the substrate in a plasma processing chamber; may include.

본 발명의 일 실시예에 따라, 상기 기판은, 반도체 물질, 금속 물질 및 절연 물질 중 적어도 하나를 포함하는 것일 수 있다. According to an embodiment of the present invention, the substrate may include at least one of a semiconductor material, a metal material, and an insulating material.

본 발명의 일 실시예에 따라, 상기 기판은, 고집적 반도체 소자를 포함하고, 상기 반도체 소자는, 트랜지스터(transistor), 커패시터(capacitor), 다이오드(diode) 및 저항기(resistor) 중 적어도 어느 하나를 포함하는 것일 수 있다. According to an embodiment of the present invention, the substrate includes a highly integrated semiconductor device, and the semiconductor device includes at least one of a transistor, a capacitor, a diode, and a resistor. may be doing

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, PECVD, PEALD 또는 이 둘을 이용하고, 상기 PECVD 및 PEALD는 콜드월(cold wall) 또는 핫월(hot wall) 타입인 것일 수 있다. According to an embodiment of the present invention, the growing step uses PECVD, PEALD or both, and the PECVD and PEALD may be a cold wall or a hot wall type.

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, 대기압 또는 진공 상태에서 실시되는 것일 수 있다. According to an embodiment of the present invention, the growing step may be carried out under atmospheric pressure or vacuum.

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, 단일층(monolayer) 수준의 두께의 질화붕소막을 성장시키는 것일 수 있다. According to an embodiment of the present invention, the growing step may be to grow a boron nitride film having a thickness of a monolayer level.

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, 10 옴스트롱 (Å) 이하의 두께의 질화붕소막을 성장시키는 것일 수 있다. According to an embodiment of the present invention, in the growing step, a boron nitride film having a thickness of 10 angstroms (Å) or less may be grown.

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, 1 옴스트롱 내지 100 마이크로미터 (㎛) 두께로 질화붕소막을 성장시키는 것일 수 있다. According to an embodiment of the present invention, the growing step may be to grow a boron nitride film to a thickness of 1 angstrom to 100 micrometers (㎛).

본 발명의 일 실시예에 따라, 상기 성장시키는 단계는, 면적 4인치 이상으로 비정질 질화붕소막을 형성하고, 상기 성장시키는 단계는 단일 또는 연속 공정으로 비정질 질화붕소막을 형성하고 비정질 질화붕소막의 두께를 조절하거나 또는 상기 성장시키는 단계는 기판을 지지하는 스테이지의 높이, 전압 또는 이 둘을 조절하여 비정질 질화붕소막의 두께를 조절하는 것일 수 있다. According to an embodiment of the present invention, the growing step forms an amorphous boron nitride film with an area of 4 inches or more, and the growing step forms an amorphous boron nitride film in a single or continuous process and adjusts the thickness of the amorphous boron nitride film Alternatively, the growing step may be to control the thickness of the amorphous boron nitride film by adjusting the height, voltage, or both of the stage supporting the substrate.

본 발명의 일 실시예에 따라, 상기 성장시키는 단계에서 질화붕소 소스의 도입 유량(flow rate)은, 2 sccm 이하로 제공하는 것일 수 있다. According to an embodiment of the present invention, the introduction flow rate (flow rate) of the boron nitride source in the growing step may be to provide 2 sccm or less.

본 발명의 일 실시예에 따라, 상기 질화붕소 소스는, 붕소-함유 전구체, 붕소 및 질소 함유 전구체 또는 이 둘을 포함하는 것일 수 있다. According to an embodiment of the present invention, the boron nitride source may include a boron-containing precursor, a boron- and nitrogen-containing precursor, or both.

본 발명의 일 실시예에 따라, 상기 질화붕소 소스는, 비활성 가스를 포함하는 가스 혼합물로 공급되는 것일 수 있다. According to an embodiment of the present invention, the boron nitride source may be supplied as a gas mixture including an inert gas.

본 발명의 일 실시예에 따라, 상기 질화붕소막 중, 질소에 대한 붕소의 비율은 0.9 내지 1.1인 것일 수 있다. According to an embodiment of the present invention, the ratio of boron to nitrogen in the boron nitride film may be 0.9 to 1.1.

본 발명의 일 실시예에 따라, 상기 질화붕소막의 제조방법은, 복수 개의 플라즈마 공정챔버로 배열된 연속 증착 모듈화 장비 또는 롤투롤 웨이퍼 모듈화 장비를 이용하는 것일 수 있다. According to an embodiment of the present invention, the method for manufacturing the boron nitride film may be to use a continuous deposition modularization equipment or roll-to-roll wafer modularization equipment arranged in a plurality of plasma process chambers.

본 발명의 일 실시예에 따라, 본 발명에 의한 비정질 질화붕소막의 제조 방법으로 제조되고, 웨이퍼 스케일의 면적을 갖는, 비정질 질화붕소막에 관한 것이다. According to an embodiment of the present invention, it relates to an amorphous boron nitride film manufactured by the method for manufacturing an amorphous boron nitride film according to the present invention, and having an area of a wafer scale.

본 발명의 일 실시예에 따라, 상기 질화붕소막의 표면거칠기는 0.3 RMS(Root-Mean-Square) 이하인 것일 수 있다. According to an embodiment of the present invention, the surface roughness of the boron nitride layer may be 0.3 RMS (Root-Mean-Square) or less.

본 발명의 일 실시예에 따라, 상기 질화붕소막에서 질소와 붕소의 sp2 결합을 포함하고, 유전 상수가 2.5 이하인 것일 수 있다. According to an embodiment of the present invention, the boron nitride layer may include sp2 bonding of nitrogen and boron and have a dielectric constant of 2.5 or less.

본 발명의 일 실시예에 따라, 상기 비정질 질화붕소막은, 반도체 소자의 초저유전소재, 확산 방지층 또는 이 둘로 적용되는 것일 수 있다.According to an embodiment of the present invention, the amorphous boron nitride film may be applied as an ultra-low dielectric material of a semiconductor device, a diffusion barrier layer, or both.

본 발명의 일 실시예에 따라, 본 발명에 의한 방법으로 제조되고, 웨이퍼 스케일의 면적을 갖는, 비정질 질화붕소막을 포함하는, 반도체 소자에 관한 것이다. According to an embodiment of the present invention, it relates to a semiconductor device comprising an amorphous boron nitride film, manufactured by the method according to the present invention, and having an area of a wafer scale.

본 발명에 의한 비정질 질화붕소(a-BN) 박막은 열전도도가 우수하여 소자 작동중 발생하는 열을 분산시키는 히크 싱크(heat sink), 써멀 스프레드(thermal spread) 등의 기능을 제공하여, 소자 성능 향상을 기대할 수 있다. The amorphous boron nitride (a-BN) thin film according to the present invention has excellent thermal conductivity and thus provides functions such as a heat sink and thermal spread that dissipate heat generated during device operation, thereby providing device performance improvement can be expected.

본 발명은, 본 발명에 의한 비정질 질화붕소와 질화붕소 동소체, 탄화물 등과 함께 복합재의 형성이 가능하고, 초저유전물질 뿐만 아니라 배터리, 철강산업, 고분자산업 등 여러 분야에 효과적으로 적용할 수 있는 맞춤형 성장법 및 대면적 성장법을 제공할 수 있다. The present invention enables the formation of a composite material with amorphous boron nitride, boron nitride allotrope, carbide, etc. according to the present invention, and a customized growth method that can be effectively applied to various fields such as batteries, steel industry, and polymer industry as well as ultra-low dielectric materials and a large-area growth method.

본 발명에 의한 비정질 질화붕소는, 초저유전상수를 가지면서도 밀도가 높고 기계적 물성이 우수하여 반도체 소자에 적용 가능한 소재로 활용할 수 있고, 예를 들어, 차세대 BEOL에 사용될 수 있는 소재 또는 초저유전물질 또는 배리어(예를 들어, 확산 방지막)로 적용 가능할 수 있다. 또한, 반도체 산업뿐만 아니라 고분자, 철강, 배터리, 고분자 등 여러 산업분야의 신소재로 활용할 수 있다. The amorphous boron nitride according to the present invention has an ultra-low dielectric constant and high density and excellent mechanical properties, so it can be used as a material applicable to semiconductor devices, for example, a material that can be used for next-generation BEOL or an ultra-low dielectric material or It may be applicable as a barrier (eg, a diffusion barrier). In addition, it can be used as a new material not only in the semiconductor industry but also in various industrial fields such as polymers, steel, batteries, and polymers.

도 1은, 본 발명의 일 실시예에 따라, 본 발명에 의한 질화붕소막의 제조 시스템의 구성을 개략적으로 도시한 것이다.
도 2는, 본 발명의 다른 실시예에 따라 본 발명에 의한 질화붕소막의 제조 시스템의 구성을 개략적으로 도시한 것이다.
도 3a 내지 도 3c는, 본 발명의 일 실시예에 따라, 본 발명에 의한 질화붕소막의 제조 공정을 예시적으로 나타낸 것이다.
도 4는, 본 발명의 일 실시예에 따라, 본 발명에 의한 약 400 ℃에서 성장된 질화붕소막의 고해상도 TEM(transmission electron microscope) 분석 결과를 나타낸 것이다.
1 schematically shows the configuration of a system for manufacturing a boron nitride film according to the present invention, according to an embodiment of the present invention.
2 schematically shows the configuration of a system for manufacturing a boron nitride film according to the present invention according to another embodiment of the present invention.
3a to 3c, according to an embodiment of the present invention, shows an exemplary process for manufacturing a boron nitride film according to the present invention.
4 shows a high-resolution TEM (transmission electron microscope) analysis result of a boron nitride film grown at about 400° C. according to an embodiment of the present invention.

이하 첨부된 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 본 발명을 설명함에 있어서, 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명을 생략할 것이다. 또한, 본 명세서에서 사용되는 용어들은 본 발명의 바람직한 실시예를 적절히 표현하기 위해 사용된 용어들로서, 이는 사용자, 운용자의 의도 또는 본 발명이 속하는 분야의 관례 등에 따라 달라질 수 있다. 따라서, 본 용어들에 대한 정의는 본 명세서 전반에 걸친 내용을 토대로 내려져야 할 것이다. 각 도면에 제시된 동일한 참조 부호는 동일한 부재를 나타낸다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. In describing the present invention, if it is determined that a detailed description of a related well-known function or configuration may unnecessarily obscure the gist of the present invention, the detailed description thereof will be omitted. In addition, the terms used in this specification are terms used to properly express the preferred embodiment of the present invention, which may vary according to the intention of the user or operator or customs in the field to which the present invention belongs. Accordingly, definitions of these terms should be made based on the content throughout this specification. Like reference numerals in each figure indicate like elements.

명세서 전체에서, 어떤 부재가 다른 부재 "상에" 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다.Throughout the specification, when a member is said to be located “on” another member, this includes not only a case in which a member is in contact with another member but also a case in which another member is present between the two members.

명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 다른 구성요소를 제외하는 것이 아니라 다른 구성 요소를 더 포함할 수 있는 것을 의미한다.Throughout the specification, when a part "includes" a certain component, it means that other components may be further included, rather than excluding other components.

이하, 본 발명의 비정질 질화붕소막의 제조방법, 비정질 질화붕소막 및 비정질 질화붕소막을 포함하는 반도체 소자에 대하여 실시예 및 도면을 참조하여 구체적으로 설명하도록 한다. 그러나, 본 발명이 이러한 실시예 및 도면에 제한되는 것은 아니다.Hereinafter, a method of manufacturing an amorphous boron nitride film of the present invention, an amorphous boron nitride film, and a semiconductor device including an amorphous boron nitride film will be described in detail with reference to Examples and drawings. However, the present invention is not limited to these examples and drawings.

본 발명은, 비정질 질화붕소막의 제조 방법에 관한 것으로, 본 발명의 일 실시예에 따라, 상기 제조방법은, 기판을 준비하는 단계; 및 비정질 질화붕소막을 기판 상에 성장시키는 단계; 를 포함할 수 있다. The present invention relates to a method for manufacturing an amorphous boron nitride film, and according to an embodiment of the present invention, the manufacturing method includes: preparing a substrate; and growing an amorphous boron nitride film on the substrate; may include.

본 발명의 일 실시예에 따라, 상기 기판을 준비하는 단계는, 기판을 플라즈마 처리하는 단계; 및 플라즈마 공정 챔버 내에 기판을 수용하는 단계; 를 포함할 수 있다. According to an embodiment of the present invention, preparing the substrate may include plasma processing the substrate; and receiving the substrate in the plasma processing chamber; may include.

본 발명의 일 예로, 상기 기판을 준비하는 단계에서 상기 기판은, 질화붕소막의 성장에 촉매가 되지 않는 물질(즉, 비촉매 기판)로 구성될 수 있으며, 보다 구체적으로 반도체 물질, 금속 물질 및 절연 물질 중 적어도 하나를 포함할 수 있다. 다른 예로, 상기 기판은 금속을 포함하지 않는 기판일 수 있다. As an example of the present invention, in the step of preparing the substrate, the substrate may be composed of a material that does not catalyze the growth of the boron nitride film (ie, a non-catalytic substrate), and more specifically, a semiconductor material, a metal material, and an insulating material. It may include at least one of the substances. As another example, the substrate may be a substrate that does not include a metal.

예를 들어, 상기 반도체 물질은, IV족 반도체 물질 및 반도체 화합물이며, 보다 구체적으로IV족 반도체 물질은, Si, Ge 또는 Sn을 포함할 수 있다. 상기 반도체 화합물은, 예를 들면, Si, Ge, C, Zn, Cd, Al, Ga, In, B, C, N, P, S, Se, As, Sb 및 Te 중에서 적어도 2개의 원소가 결합된 물질을 포함할 수 있다.For example, the semiconductor material is a group IV semiconductor material and a semiconductor compound, and more specifically, the group IV semiconductor material may include Si, Ge, or Sn. The semiconductor compound is, for example, Si, Ge, C, Zn, Cd, Al, Ga, In, B, C, N, P, S, Se, As, Sb and Te at least two elements are bonded material may be included.

예를 들어, 상기 금속 물질은, Al, Cu, Co, W, Ti, Ag, Ni, Rh, Pd, Ir, Ru, Mg, Zn, Pt, Au, Hf, Sn, Ga, In, Bi, Mo, Nb, Cr, Ta, Pt 및 Si 중 적어도 하나를 포함할 수 있다. 상기 금속 물질은 소자의 금속 배선, BEOL, FEOL 등에 적용되는 것일 수 있다.For example, the metal material is Al, Cu, Co, W, Ti, Ag, Ni, Rh, Pd, Ir, Ru, Mg, Zn, Pt, Au, Hf, Sn, Ga, In, Bi, Mo , Nb, Cr, Ta, Pt, and may include at least one of Si. The metal material may be applied to metal wiring of a device, BEOL, FEOL, and the like.

예를 들어, 상기 절연 물질은, Si, Al, Hf, Zr, Zn, Ti, Ta, W 및 Mn 중 적어도 하나를 포함하거나 또는 Si, Ni, Al, W, Ru, Co, Mn, Ti, Ta, Au, Hf, Zr, Zn, Y, Cr, Cu, Mo 및 Gd 중 적어도 하나의 산화물, 질화물, 탄화물 및 이들의 유도체 중 적어도 하나를 포함할 수 있다. 상기 산화물, 질화물, 탄화물 및 이들의 유도체 중 적어도 하나는 H를 더 포함할 수도 있다. For example, the insulating material may include at least one of Si, Al, Hf, Zr, Zn, Ti, Ta, W and Mn, or Si, Ni, Al, W, Ru, Co, Mn, Ti, Ta , Au, Hf, Zr, Zn, Y, Cr, Cu, at least one of Mo and Gd oxide, nitride, carbide, and may include at least one of derivatives thereof. At least one of the oxides, nitrides, carbides, and derivatives thereof may further include H.

다른 예로, 상기 기판은 도펀트(dopant)를 더 포함하고, 3차원 구조체를 포함할 수 있고, 예를 들어, 상기 3차원 구조체는 상기 반도체 물질을 포함할 수 있다. As another example, the substrate may further include a dopant and include a 3D structure, for example, the 3D structure may include the semiconductor material.

다른 예로, 상기 기판은, 반도체 소자를 포함하고, 상기 반도체 소자는, 트랜지스터(transistor), 커패시터(capacitor), 다이오드(diode) 및 저항기(resistor) 중 적어도 어느 하나를 포함할 수 있다. 즉, 웨이퍼 스케일의 면적을 갖거나 미세하게 두께가 조절된, 비정질 질화붕소막을 포함하는, 반도체 소자를 제공할 수 있다. As another example, the substrate may include a semiconductor device, and the semiconductor device may include at least one of a transistor, a capacitor, a diode, and a resistor. That is, it is possible to provide a semiconductor device including an amorphous boron nitride film having a wafer-scale area or finely adjusted in thickness.

상기 기판은, 4인치 이상; 8 인치 이상; 또는 12 인치 이상의 면적일 수 있다. The substrate is 4 inches or more; 8 inches or larger; or 12 inches or more.

본 발명의 일 예로, 상기 기판을 플라즈마 처리하는 단계는, 플라즈마 공정 챔버 내부에 기판을 배치시키기 전에 기판을 전처리할 수 있다. 예를 들어, 기판을 아세톤과 같은 유기 용매에 담궈 초음파 처리한 후, IPA(iso-propenyl alcohol) 등과 같은 알콜과 질소 가스로 세정할 수 있다. 세정된 기판의 표면을 플라즈마 처리함으로써 표면에 남아 있는 탄소 불순물 등 불순물을 제거할 수 있다. 상기 플라즈마 처리는 산소, 수소 및 아르곤 중 적어도 하나의 가스 플라즈마를 이용하고, 바람직하게는 수소 플라즈마를 이용할 수 있다. 상기 플라즈마 처리는 탄소 불순물 등의 불순물을 제거할 뿐만 아니라 표면을 친수성으로 만들고 표면 젖음성(surface wettability)을 향상시킬 수 있다. 또한, 기판을 HF 용액에 담그고 자연 산화물을 제거할 수도 있고, 무수 에탄올과 N2 가스를 사용하여 잔류 HF 용액을 제거할 수도 있다.In one embodiment of the present invention, in the plasma processing of the substrate, the substrate may be pretreated before disposing the substrate in the plasma processing chamber. For example, the substrate may be sonicated by immersing it in an organic solvent such as acetone, and then cleaned with an alcohol such as iso-propenyl alcohol (IPA) and nitrogen gas. By plasma-treating the surface of the cleaned substrate, impurities such as carbon impurities remaining on the surface can be removed. The plasma treatment uses at least one gas plasma of oxygen, hydrogen, and argon, and preferably, a hydrogen plasma. The plasma treatment may not only remove impurities such as carbon impurities, but also make the surface hydrophilic and improve surface wettability. Alternatively, the native oxide may be removed by immersing the substrate in the HF solution, or the residual HF solution may be removed using absolute ethanol and N 2 gas.

본 발명의 일 예로, 상기 플라즈마 공정 챔버 내에 기판을 수용하는 단계는, 성장을 위한 반응 가스가 이동할 수 있는 공간을 포함하는 플라즈마 공정 챔버 내에 상기 준비된 기판을 수용하는 단계이다.In one embodiment of the present invention, the step of accommodating the substrate in the plasma process chamber is a step of accommodating the prepared substrate in a plasma process chamber including a space through which a reaction gas for growth can move.

본 발명의 일 실시예에 따라, 상기 비정질 질화붕소막을 기판 상에 성장시키는 단계는, 플라즈마 공정 챔버 내부에 비정질 질화붕소막의 성장을 위한 반응 가스를 주입하여 비정질 질화붕소막의 증착 및 성장 공정을 진행할 수 있다. According to an embodiment of the present invention, in the step of growing the amorphous boron nitride film on the substrate, a reaction gas for growth of the amorphous boron nitride film is injected into the plasma process chamber to perform the deposition and growth process of the amorphous boron nitride film. have.

본 발명의 일 예로, 상기 반응 가스는, 질화붕소막의 성장을 위한 질화붕소 소스를 포함하고, 상기 질화붕소 소스는, 붕소-함유 전구체; 질소-함유 전구체; 및 붕소 및 질소 함유 전구체; 로 이루어진 군에서 선택된 적어도 하나를 포함할 수 있다. 상기 질화붕소 소스는, 고상, 기상, 증기상 및 액상 중 적어도 하나일 수 있다. In an embodiment of the present invention, the reaction gas includes a boron nitride source for growth of a boron nitride film, and the boron nitride source includes: a boron-containing precursor; nitrogen-containing precursors; and boron and nitrogen containing precursors; It may include at least one selected from the group consisting of. The boron nitride source may be at least one of a solid phase, a gas phase, a vapor phase, and a liquid phase.

예를 들어, 상기 붕소-함유 전구체는, 보란(BH3), 디보란(B2H6), 트리클로로보란(BCl3), 트리플루오로보란(BF3), 보라진의 알킬-치환된 유도체(예를 들어, 트리-메틸 보린(borine), 트리-에틸 보린, (CH3)3B, (CH3CH2)3B) 및 보란의 테트라히드로푸란 용액((THF-BH3)으로 이루어진 군에서 선택된 적어도 하나를 포함할 수 있다. For example, the boron-containing precursor is, borane (BH 3 ), diborane (B 2 H 6 ), trichloroborane (BCl 3 ), trifluoroborane (BF 3 ), alkyl-substituted derivatives of borazine (eg tri-methyl borine, tri-ethyl borine, (CH 3 ) 3 B, (CH 3 CH 2 ) 3 B) and a tetrahydrofuran solution of borane ((THF-BH 3 ) It may include at least one selected from the group.

예를 들어, 상기 붕소 및 질소 함유 전구체는, 보라진(B3H6N3), 트리클로로보라진(예를 들어, 2,4,6-트리클로로보라진, H3B3Cl3N3), 아미노보란(BH2NH2), 암모니아 보란(BH3-NH3), 암모니아 보란 복합체(H3N-BH3), 보라진(B3N3H6), 디보란의 디암모네이트[(NH3)2BH2]+[BH4]- 및 BN 폴리머 복합체(폴리보라질렌)로 이루어진 군에서 선택된 적어도 하나를 포함할 수 있다. For example, the boron- and nitrogen-containing precursor is borazine (B 3 H 6 N 3 ), trichloroborazine (eg, 2,4,6-trichloroborazine, H 3 B 3 Cl 3 N 3 ) , aminoborane (BH 2 NH 2 ), ammonia borane (BH 3 -NH 3 ), ammonia borane complex (H 3 N-BH 3 ), borazine (B 3 N 3 H 6 ), diammonate of diborane [ (NH 3 ) 2 BH 2 ] + [BH 4 ] - and BN polymer composite (polyborazylene) may include at least one selected from the group consisting of.

예를 들어, 상기 질소 함유 전구체는, 질소, 암모니아(NH3) 및 히드라진(N2H4)으로 이루어진 군에서 선택된 적어도 하나 이상을 포함할 수 있다. For example, the nitrogen-containing precursor may include at least one selected from the group consisting of nitrogen, ammonia (NH 3 ), and hydrazine (N 2 H 4 ).

본 발명의 일 예로, 상기 질화붕소 소스는, 불활성 가스 및/또는 수소 가스를 포함하는 캐리어 가스와 함께 공급될 수 있다. 상기 불활성 가스는, 아르곤 가스, 네온 가스, 질소 가스, 헬륨 가스, 크립톤 가스 및 크세논 가스로 이루어진 군에서 선택된 적어도 하나 이상을 포함할 수 있다. 챔버 내부로 주입되는 반응 가스의 혼합비는 질화붕소막의 성장 조건에 따라 다양하게 변형될 수 있다. 상기 불활성 가스의 도입 유량(flow rate)은, 10 sccm 이상; 20 sccm 이상; 30 sccm 이상; 50 sccm; 또는 20 sccm 내지 50 sccm이고, 수소 가스의 유량은 5 sccm 이상; 10 sccm 이상 또는 10 sccm 내지 20 sccm일 수 있다. As an example of the present invention, the boron nitride source may be supplied together with a carrier gas including an inert gas and/or hydrogen gas. The inert gas may include at least one selected from the group consisting of argon gas, neon gas, nitrogen gas, helium gas, krypton gas, and xenon gas. The mixing ratio of the reaction gas injected into the chamber may be variously modified according to the growth conditions of the boron nitride film. The introduction flow rate of the inert gas is 10 sccm or more; more than 20 sccm; 30 sccm or more; 50 sccm; or 20 sccm to 50 sccm, and the flow rate of hydrogen gas is 5 sccm or more; It may be 10 sccm or more or 10 sccm to 20 sccm.

본 발명의 일 예로, 상기 질화붕소 소스의 도입 유량(flow rate)은, 다른 반응 가스 비해 낮을 수 있고, 예를 들어, 2 sccm 이하; 0.05 sccm 이하; 0.05 sccm 내지 1 sccm; 또는 0.02 sccm 내지 0.1 sccm일 수 있고, 유량을 낮게 하여 비정질 박막의 미세한 두께 조절에 용이할 수 있다. 즉, 플라즈마를 이용하여 비정질 질화붕소막을 성장하고자 하는 경우에는 챔버의 내부로 주입되는 반응 가스의 혼합비 (mixing ratio), 즉 질화붕소 소스, 불활성 가스 및 수소 가스의 부피비 (volume ratio)는, 예를 들면 대략 1:100~5000:100~500 정도가 될 수 있다. 즉, 질화붕소 소스가 다른 반응 가스에 비해 비율이 현저히 작기 때문에 질화붕소들의 결정성이 약해지고, 다른 질화붕소막은 비정질로 형성되고, 과량의 질화붕소 소스가 공급되면, 질화붕소막이 불규칙적으로 성장할 수도 있고, 전구체가 흡착될 수도 있기 때문에 질화붕소 소스의 유량은 낮은 것이 유리할 수 있다. In one embodiment of the present invention, the introduction flow rate (flow rate) of the boron nitride source may be lower than other reactive gases, for example, 2 sccm or less; 0.05 sccm or less; 0.05 sccm to 1 sccm; Alternatively, it may be 0.02 sccm to 0.1 sccm, and by lowering the flow rate, it may be easy to control the fine thickness of the amorphous thin film. That is, when an amorphous boron nitride film is to be grown using plasma, the mixing ratio of the reaction gas injected into the chamber, that is, the volume ratio of the boron nitride source, the inert gas, and the hydrogen gas is, for example, For example, it can be about 1:100-5000:100-500. That is, since the ratio of the boron nitride source is significantly smaller than that of other reactive gases, the crystallinity of the boron nitride is weakened, the other boron nitride film is formed in an amorphous form, and when an excess boron nitride source is supplied, the boron nitride film may grow irregularly and , it may be advantageous for the flow rate of the boron nitride source to be low because the precursor may be adsorbed.

본 발명의 일 예로, 상기 비정질 질화붕소막을 기판 상에 성장시키는 단계는, 유기금속 화학적 증착(MOCVD; metalorganic chemical vapor deposition), 물리적 증착(PVD; physical vapor deposition), 화학적 증착(CVD; chemical vapor deposition), 저압 화학적 증착(LPCVD; low pressure chemical vapor deposition), 플라즈마 강화된 화학적 증착(PECVD; plasma enhanced chemical vapor deposition), PEALD(plasma enhanced atomic layer deposition) 등을 이용하고, 또는 분자빔 에피텍시(MBE; molecular beam epitaxy) 등을 이용하여 증착하고 성장시킬 수 있으며, 바람직하게는 PECVD 및 PEALD일 수 있다. 더 바람직하게는 PECVD 및 PEALD은 콜드월(cold wall) 또는 핫월(hot wall) 타입이며, 더욱더 바람직하게는 콜드월(cold wall) 타입일 수 있다. 즉, PECVD 및 PEALD는 웨이퍼 스케일(Wafer scale)의 플라즈마를 이용하여 화학기상 증착에 의해 대면적의 비정질 질화붕소막을 저온에서 증착시키고, 비정질 질화붕소의 두께, 면적, 물성 등의 제어하는데 유리할 수 있다. 더욱이, 콜드월(cold wall) 타입은 기질, 즉 기판이 위치하는 기판 스테이지를 가열하는 것으로, 상기 스테이지, 예를 들어, 도 1에서 제시한 바와 같이, 플라즈마 반응 챔버 내에서 기판 스테이지의 온도 조절, 높이 및 전압 중 적어도 하나의 조절이 가능하여 옴스트롱 단위의 두께에서 마이크로미터 두께까지 다양한 범위의 막 두께를 미세하고 정밀하게 조절할 수 있다. 예를 들어, 기판 스테이지의 전압은 0.05 V 내지 10 V로 조절하여 플라즈마가 기판 스테이지에 도달하는 양, 속도 또는 이 둘을 조절함으로써, 비정질 질화붕소 박막의 두께를 정밀하고 미세하게 조절할 수 있다. 더욱이, PECVD 및 PEALD는 상기 언급한 모듈화 장비를 이용하여 단일 또는 연속 증착 공정에서 대기압 또는 대기압과 불활성 기체 분위기에서 비정질 질화붕소막을 제조할 수 있다. In one embodiment of the present invention, the step of growing the amorphous boron nitride film on the substrate may include metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), and chemical vapor deposition (CVD). ), low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), plasma enhanced atomic layer deposition (PEALD), etc., or molecular beam epitaxy ( It may be deposited and grown using molecular beam epitaxy (MBE) or the like, and preferably PECVD and PEALD. More preferably, PECVD and PEALD may be a cold wall or hot wall type, and even more preferably a cold wall type. That is, PECVD and PEALD use wafer-scale plasma to deposit a large-area amorphous boron nitride film by chemical vapor deposition at a low temperature, and may be advantageous in controlling the thickness, area, and physical properties of the amorphous boron nitride. . Furthermore, the cold wall type is to heat the substrate, i.e., the substrate stage on which the substrate is located, for example, temperature control of the substrate stage in the plasma reaction chamber, as shown in FIG. 1 , Since at least one of height and voltage can be adjusted, it is possible to finely and precisely control a film thickness in a wide range from a thickness of angstroms to a thickness of micrometers. For example, the thickness of the amorphous boron nitride thin film can be precisely and finely controlled by adjusting the voltage of the substrate stage to 0.05 V to 10 V to control the amount, speed, or both of the plasma reaching the substrate stage. Furthermore, PECVD and PEALD can produce an amorphous boron nitride film at atmospheric pressure or atmospheric pressure and inert gas atmosphere in a single or continuous deposition process using the above-mentioned modular equipment.

본 발명의 일 예로, 상기 플라즈마 공정 챔버는, 복수 개의 플라즈마 공정 챔버가 배열된 연속 증착(Continuous coating) 모듈화 장비 또는 롤투롤(Role to Role) 웨이퍼 모듈화 장비 내에 배치될 수 있다. 이는 웨이퍼 스케일의 플라즈마로 대면적의 박막 형성이 가능할 뿐만 아니라 연속 증착 공정에 의한 모듈화가 가능하고 대량 생산이 가능할 수 있다. 더욱이, 본 발명에 의한 제조방법은, 상기 언급한 모듈화 장비를 활용하여 유연한 고분자 소재의 기판, 금속 등 위에 연속적으로 증착공정이 가능하고, 고분자의 기체투과 방지막 및 금속의 산화 방지막 등으로 비정질 질화붕소막을 제조하고 적용할 수 있다. 예를 들어, 도 2를 참조하면, 도 2는 본 발명의 일 실시예에 따라, 본 발명에 의한 질화붕소막의 제조 시스템의 구성을 개략적으로 도시한 것으로, 즉, 롤투롤 웨이퍼 모듈화 장비를 예시적으로 나타낸 것이다. 상기 롤투롤 웨이퍼 모듈화 장치는, 단일 또는 복수개의 플라즈마 공정 챔버 및 상기 공정 챔버 내에 단일 또는 복수개의 플라즈마 반응 영역을 포함할 수 있다. 또한, 절연성 물질층(200)을 갖는 상부 플라즈마 발생 헤드(300), 및 기판(100)을 지지하거나 증착 공정에 이용 가능한 절연성 물질층(200')을 갖는 하부 플라즈마 발생 헤드(300')을 포함할 수 있다. 상기 롤투롤 웨이퍼 모듈화 장비는 웨이퍼 스케일로 비정질 질화붕소막의 증착이 가능할 뿐만 아니라 원하는 영역에서 비정질 질화붕소막의 두께 조절이 가능할 수 있다.As an example of the present invention, the plasma process chamber may be disposed in a continuous coating module or a roll to role wafer module in which a plurality of plasma process chambers are arranged. This enables not only the formation of a large-area thin film with wafer-scale plasma, but also modularization and mass production by a continuous deposition process. Furthermore, in the manufacturing method according to the present invention, a continuous deposition process is possible on a flexible polymer substrate, metal, etc. by utilizing the above-mentioned modular equipment, and amorphous boron nitride as a gas permeation prevention film of a polymer and an oxidation prevention film of a metal, etc. The membrane can be prepared and applied. For example, referring to FIG. 2, FIG. 2 schematically shows the configuration of a system for manufacturing a boron nitride film according to the present invention according to an embodiment of the present invention, that is, an exemplary roll-to-roll wafer modularization equipment. is shown as The roll-to-roll wafer modularization apparatus may include a single or a plurality of plasma process chambers and a single or a plurality of plasma reaction regions in the process chamber. It also includes an upper plasma generating head 300 having an insulating material layer 200, and a lower plasma generating head 300' having an insulating material layer 200' usable for a deposition process or supporting the substrate 100. can do. The roll-to-roll wafer modularization equipment may be capable of depositing an amorphous boron nitride film on a wafer scale, as well as controlling the thickness of the amorphous boron nitride film in a desired area.

본 발명의 일 예로, 상기 비정질 질화붕소막을 기판 상에 성장시키는 단계에서 플라즈마 생성을 위한 파워는, 대략 10 W 내지 4000 W 정도가 될 수 있다. 예를 들어, 플라즈마 생성을 위한 파워는 약 30 W일 수 있으나, 제한되지 않는다. 또한, 플라즈마 전원으로는 예를 들면 RF(Radio Frequency) 플라즈마 발생장치 또는 MW(Microwave) 플라즈마 발생장치가 사용될 수 있다. 여기서, 비정질 질화붕소막을 성장시키기 위해서, RF 플라즈마 발생장치는 예를 들면 대략 3 MHz 내지 100 MHz의 주파수 영역을 가지는 RF 플라즈마를 발생시킬 수 있으며, MW 플라즈마 발생장치는 예를 들면, 대략 0.7 GHz 내지 2.5 GHz의 주파수 영역을 가지는 MW 플라즈마를 발생시킬 수 있다. 하지만, 이러한 주파수 영역은 단지 예시적인 것으로 이외에도 다른 주파수 영역이 사용될 수도 있다. 한편, 플라즈마 전원으로 복수의 RF 플라즈마 발생장치 또는 복수의 MW 플라즈마 발생장치가 사용될 수도 있다. As an example of the present invention, the power for plasma generation in the step of growing the amorphous boron nitride film on the substrate may be about 10 W to about 4000 W. For example, the power for plasma generation may be about 30 W, but is not limited thereto. In addition, as the plasma power source, for example, a radio frequency (RF) plasma generator or a microwave (MW) plasma generator may be used. Here, in order to grow the amorphous boron nitride film, the RF plasma generator may generate an RF plasma having a frequency range of, for example, about 3 MHz to 100 MHz, and the MW plasma generator is, for example, about 0.7 GHz to about 0.7 GHz to It is possible to generate MW plasma having a frequency range of 2.5 GHz. However, these frequency domains are merely exemplary and other frequency domains may be used. Meanwhile, a plurality of RF plasma generators or a plurality of MW plasma generators may be used as the plasma power source.

플라즈마 전원으로부터 챔버 내부에 플라즈마 생성을 위한 파워가 인가되면, 챔버의 내부에는 전기장이 유도될 수 있다. 이와 같이 반응 가스가 주입된 상태에서 전기장이 유도되면 질화붕소막의 성장을 위한 플라즈마가 형성된다. When power for plasma generation is applied to the inside of the chamber from the plasma power source, an electric field may be induced in the inside of the chamber. When the electric field is induced in the state in which the reaction gas is injected, plasma for the growth of the boron nitride film is formed.

본 발명의 일 예로, 상기 비정질 질화붕소막을 기판 상에 성장시키는 단계에서 상기 비정질 질화붕소막을 성장시키기 위한 공정 온도는, 기존의 화학 기상 증착 공정에 사용되는 온도보다 낮은 대략 700 ℃ 이하; 600 ℃ 이하; 500 ℃ 이하; 450 ℃ 이하; 상온(rt) 내지 400 ℃; 100 ℃ 내지 400 ℃; 200 ℃ 내지 400 ℃; 또는 250 ℃ 내지 400 ℃; 일 수 있다. 질화붕소막을 성장시키기 위한 공정 압력은, 대기압 또는 진공 상태 환경일 수 있다. 예를 들어, 대략 1 torr 이하; 10-3 torr 이하; 10-4 torr 이하; 또는 10-6 torr 이하일 수 있다. As an example of the present invention, the process temperature for growing the amorphous boron nitride film in the step of growing the amorphous boron nitride film on the substrate is about 700 ° C. or less, lower than the temperature used in the conventional chemical vapor deposition process; 600℃ or less; below 500℃; 450 ° C or less; room temperature (rt) to 400 °C; 100°C to 400°C; 200°C to 400°C; or 250° C. to 400° C.; can be The process pressure for growing the boron nitride film may be atmospheric pressure or a vacuum environment. For example, about 1 torr or less; 10 -3 torr or less; 10 -4 torr or less; or 10 -6 torr or less.

상기 공정 온도 및/또는 공정 압력에서 성장 공정의 적용으로 고품질 및 대면적의 비정질 질화붕소막을 성장시킬 수 있을 뿐 아니라, 반도체, 고분자, 철강, 배터리 등의 여러 분야의 활용에 유연하게 적용할 수 있는 맞춤형 제조공정을 제공할 수 있다. By applying the growth process at the above process temperature and/or process pressure, it is possible to grow a high-quality and large-area amorphous boron nitride film, as well as to be flexibly applied to various fields such as semiconductors, polymers, steel, and batteries. We can provide custom manufacturing processes.

예를 들어, 상기 비정질 질화붕소막의 성장 공정은, 도 3을 참조하여 설명할 수 있다. 즉, 도 3a에서 질화붕소 소스, 불활성 가스 및 수소 가스가 혼합된 반응 가스의 플라즈마에 의해 활성화된 질소(N*) 및 활성화된 붕소(B*)이 생성되어 기판(100)의 표면에 흡착될 수 있다. 다음으로, 불활성 가스의 플라즈마가 기판(100)의 활성화를 지속적으로 유도함으로써 기판의 표면에 활성화된 질소(N*) 및 활성화된 붕소(B*)의 흡착이 가속화될 수 있다. 활성화된 질소(N*) 및 활성화된붕소(B*)는 비정질로 흡착된다. 활성화된 질화 및 붕소들끼리 결합된다 하더라도 그 양이 작아 나노 크기의 결정으로 흡착될 수 있다. For example, the growth process of the amorphous boron nitride film may be described with reference to FIG. 3 . That is, in FIG. 3A , activated nitrogen (N*) and activated boron (B*) are generated by plasma of a reaction gas in which a boron nitride source, an inert gas, and a hydrogen gas are mixed to be adsorbed on the surface of the substrate 100 . can Next, since the plasma of the inert gas continuously induces activation of the substrate 100 , adsorption of activated nitrogen (N*) and activated boron (B*) on the surface of the substrate may be accelerated. Activated nitrogen (N*) and activated boron (B*) are adsorbed as amorphous. Even if the activated nitride and boron are combined with each other, the amount is small and can be adsorbed into nano-sized crystals.

도 3b를 참조하면, 저온에서도 기판(100)의 표면에 활성화된 질소(N*) 및 활성화된 붕소(B*)의 흡착이 가속화됨에 따라 기판(100)의 표면에는 질화붕소막(110)이 성장될 수 있다. 예를 들어, 저온에서, 즉, 700 ℃ 이하의 온도에서 비촉매 기판의 표면에 낮은 비율의 활성화된 질소(N*) 및 활성화된붕소(B*)에 의해 질화붕소막(110)이 직접 성장하기 때문에 성장한 질화붕소막은 결정성이 약할 수 있다. 성장 후, 플라즈마를 끄고, 증착 장치를 실온으로 서서히 냉각시키며, 이때 비활성 가스 및 수소 가스 중 적어도 하나의 유량은 각각 50 sccm으로 그대로 유지시킬 수 있다.Referring to FIG. 3B , as adsorption of activated nitrogen (N*) and activated boron (B*) on the surface of the substrate 100 is accelerated even at a low temperature, a boron nitride film 110 is formed on the surface of the substrate 100 . can be grown For example, the boron nitride film 110 is directly grown by a low ratio of activated nitrogen (N*) and activated boron (B*) on the surface of the non-catalyst substrate at a low temperature, that is, at a temperature of 700° C. or less. Therefore, the grown boron nitride film may have weak crystallinity. After growth, the plasma is turned off, and the deposition apparatus is slowly cooled to room temperature, wherein the flow rates of at least one of inert gas and hydrogen gas may be maintained at 50 sccm, respectively.

본 발명의 일 예로, 상기 비정질 질화붕소막을 상기 기판 상에 성장시키는 단계는, 웨이퍼 스케일(Wafer-scale)로 대면적의 비정질 질화붕소막을 증착하고 원하는 두께로 증착 및/또는 성장시킬 수 있다. 예를 들어, 상기 질화붕소막의, 결정성 및/또는 두께 등을 고려해서 공정 시간을 조절할 수 있으며, 즉, 1초 이상; 1분 이상; 30분 이상; 1 시간 이상; 2시간 이상; 또는 10 시간 이상 동안 증착 및/또는 성장될 수 있다. 상기 비정질 질화붕소막은, 4인치 이상; 6 인치 이상; 8 인치 이상; 또는 12 인치 이상의 면적으로 단일 또는 연속 증착 공정으로 형성될 수 있다. As an example of the present invention, the step of growing the amorphous boron nitride film on the substrate may include depositing a large-area amorphous boron nitride film on a wafer-scale scale and depositing and/or growing the amorphous boron nitride film to a desired thickness. For example, the process time may be adjusted in consideration of the crystallinity and/or thickness of the boron nitride film, that is, 1 second or more; 1 minute or longer; more than 30 minutes; 1 hour or more; more than 2 hours; or deposited and/or grown for at least 10 hours. The amorphous boron nitride film, 4 inches or more; 6 inches or more; 8 inches or larger; Alternatively, it can be formed in a single or continuous deposition process with an area of 12 inches or more.

본 발명의 일 예로, 상기 비정질 질화붕소막은, 단일층(monolayer) 수준(옴스트롱 단위)의 두께에서 수백 또는 수십 마이크로미터의 두께로 증착 및/또는 성장될 수 있다. 보다 구체적으로, 상기 비정질 질화붕소막은, 10 옴스트롱 이하의 두께, 또는 1 옴스트롱 이상의 두께일 수 있고, 예를 들어, 1 옴스트롱 이상; 10 옴스트롱 이상; 50 옴스트롱 이상; 0.1 마이크로미터 이상; 0.5 마이크로미터 이상; 1 마이크로미터 이상; 10 마이크로미터 이상; 80 마이크로미터 이상; 1 옴스트롱 내지 100 마이크로미터; 1 옴스트롱 내지 80 마이크로미터; 2 옴스트롱 내지 50 마이크로미터; 10 옴스트롱 내지 10 마이크로미터; 10 옴스트롱 내지 1 마이크로미터; 10 옴스트롱 내지 0.1 마이크로미터; 또는 10 옴스트롱 내지 10 나노미터 두께일 수 있다. As an example of the present invention, the amorphous boron nitride film may be deposited and/or grown from a thickness of a monolayer level (in Angstroms) to a thickness of several hundred or several tens of micrometers. More specifically, the amorphous boron nitride film may have a thickness of 10 angstroms or less, or a thickness of 1 angstrom or more, for example, 1 angstrom or more; 10 angstroms or more; 50 angstroms or more; 0.1 micrometer or more; 0.5 micrometers or more; 1 micrometer or more; 10 micrometers or more; 80 micrometers or more; 1 angstrom to 100 micrometers; 1 angstrom to 80 micrometers; 2 angstroms to 50 micrometers; 10 angstroms to 10 micrometers; 10 angstroms to 1 micrometer; 10 angstroms to 0.1 micrometers; or 10 angstroms to 10 nanometers thick.

본 발명의 일 예로, 상기 비정질 질화붕소막은, 결정을 포함한다 하더라도 나노 크기의 결정을 포함할 수 있고, 낮은 밀도의 활성화된 질소 및 붕소가 기판 상에 저온에서 직접 성장되었기 때문에 결정성이 약하다. 성장 온도가 낮을수록 비정질의 함유율이 높아질 수 있다. 예를 들어, 상기 비정질 질화붕소막 중 50 % 이하; 20 % 이하; 10 % 이상; 5% 이하; 2 % 이하; 1 % 이하; 0.5 % 이하; 또는 0.1 % 이하의 결정을 포함할 수 있다. As an example of the present invention, the amorphous boron nitride film may include nano-sized crystals even if it contains crystals, and has weak crystallinity because activated nitrogen and boron of low density are directly grown on a substrate at a low temperature. The lower the growth temperature, the higher the amorphous content may be. For example, 50% or less of the amorphous boron nitride film; 20% or less; over 10; 5% or less; 2% or less; 1% or less; 0.5% or less; or 0.1% or less of crystals.

본 발명의 일 예로, 상기 비정질 질화붕소막에서 질소와 붕소의 sp2 결합을 포함하고, 유전 상수가 2.5 이하의 초저유전상수를 나타낼 수 있다. 상기와 같이 유전 상수가 낮음으로서 질화붕소막의 의한 기생 용량을 줄일 수 있다.As an example of the present invention, the amorphous boron nitride layer may include an sp2 bond of nitrogen and boron, and a dielectric constant may exhibit an ultra-low dielectric constant of 2.5 or less. As described above, since the dielectric constant is low, the parasitic capacitance of the boron nitride layer can be reduced.

본 발명의 일 예로, 상기 비정질 질화붕소막 중, 질소에 대한 붕소의 비율은 질소와 붕소의 비율이 거의 동일할 수 있고, 0.9 내지 1.1; 또는 1:1.08일 수 있다. As an example of the present invention, in the amorphous boron nitride film, the ratio of boron to nitrogen may be substantially the same as that of nitrogen and boron, and may be 0.9 to 1.1; or 1:1.08.

본 발명의 일 예로, 상기 비정질 질화붕소막은 약 6.00 eV 이하의 에너지 밴드 갭을 가지며, 이는 일반적으로 3층의 육방정계의 질화붕소막의 약 6.05 eV의 에너지 밴드 갭보다 낮은 값을 가질 수 있다. As an example of the present invention, the amorphous boron nitride film has an energy band gap of about 6.00 eV or less, which is generally lower than the energy band gap of about 6.05 eV of the three-layered hexagonal boron nitride film.

본 발명의 일 예로, 상기 비정질 질화붕소막은 표면은 매끄러울 수 있고, 예를 들어, 질화붕소막의 표면은 RMS(Root-Mean-Square, nm) 거칠기 값이 약 0.3 이하; 0.01 내지 0.3; 또는 0.05 내지 0.2이거나; 또는 약 0.3 내지 0.6일 수 있다. 구체적으로, 비정질만으로 형성된 질화붕소막은 약 0.4 내지 0.6의 거칠기 값을 가질 수 있으며, 상기한 질화붕소막의 표면 거칠기는 질화붕소 소스의 유량에 의해 결정될 수 있다. 예를 들어, 질화붕소막의 표면 거칠기는 질화붕소용 소스의 유량에 반비례한다. 이에 질화붕소 소스의 유량(flow rate)을 작게 할수록 질화붕소의 표면 거칠기는 더 매끄러워질 수 있고, 질화붕소막은 비정질을 더 포함할 수 있다. 상기 비정질 질화붕소막의 표면은 매끄럽기 때문에 질화붕소막에 다른 층의 형성이 용이함으로써 장치의 제조가 용이해질 수 있다. In one embodiment of the present invention, the surface of the amorphous boron nitride film may be smooth, for example, the surface of the boron nitride film has a root-mean-square (nm) roughness value of about 0.3 or less; 0.01 to 0.3; or 0.05 to 0.2; or about 0.3 to 0.6. Specifically, the boron nitride film formed of only the amorphous may have a roughness value of about 0.4 to 0.6, and the surface roughness of the boron nitride film may be determined by the flow rate of the boron nitride source. For example, the surface roughness of the boron nitride film is inversely proportional to the flow rate of the source for boron nitride. Accordingly, as the flow rate of the boron nitride source decreases, the surface roughness of the boron nitride may become smoother, and the boron nitride film may further include amorphous material. Since the surface of the amorphous boron nitride film is smooth, it is easy to form another layer on the boron nitride film, thereby making it easier to manufacture a device.

본 발명은, 본 발명에 의한 비정질 질화붕소막을 포함하는 소자에 관한 것으로, 상기 비정질 질화붕소막은, 다양한 소자에서 직접 성장되거나 또는 기저 기판에 질화붕소막을 성장시켜 소자에 전사될 수 있다. The present invention relates to a device including an amorphous boron nitride film according to the present invention, wherein the amorphous boron nitride film can be directly grown in various devices or transferred to the device by growing a boron nitride film on a base substrate.

상기 비정질 질화붕소막은, 낮은 온도 및/또는 대기압에서 성장되므로 소자의 일부 구성요소상에 직접 성장될 수 있다. 또한, 비정질 질화붕소막의 표면 거칠기가 낮기 때문에 성장된 비정질 질화붕소막 상에 소자의 다른 구성요소를 직접 적층시킬 수 있다. 상기 비정질 질화붕소막은 유전체 물질, 확산 방지막 등으로 활용될 수 있다. Since the amorphous boron nitride film is grown at low temperature and/or atmospheric pressure, it can be directly grown on some components of the device. In addition, since the surface roughness of the amorphous boron nitride film is low, other components of the device can be directly laminated on the grown amorphous boron nitride film. The amorphous boron nitride layer may be used as a dielectric material, a diffusion barrier layer, or the like.

본 발명의 일 예로, 상기 소자는 전자 장치 또는 반도체 장치이며, 예를 들어, 트랜지스터(transistor), 커패시터(capacitor), 다이오드(diode), 저항기(resistor), CMOS, 트랜지스터, 인터커넥터 등의 부품을 포함하는 고집적회로일 수 있다. 예를 들어, 퀀텀 디바이스, 차세대 BEOL의 low k 물질에 삽입되는 유전체 물질(예를 들어, 초저유전소재), 및 확산방지막, 트랜지스터의 금속 확산 방지막 등에 포함될 수 있다. 예를 들어, 두 물질층 사이의 물질(또는, 원자)의 이동(확산)을 억제 또는 성능이 우수하여 얇은 두께, 예를 들어, 5nm 이하의 두께로도 확산 배리어 기능을 수행할 수 있고, 집적회로의 집적도를 높일 수 있다. In one embodiment of the present invention, the device is an electronic device or a semiconductor device, for example, a transistor (transistor), capacitor (capacitor), diode (diode), resistor (resistor), CMOS, transistors, components such as interconnectors It may be a highly integrated circuit including For example, it may be included in a quantum device, a dielectric material (eg, an ultra-low dielectric material) inserted into a low k material of a next-generation BEOL, and a diffusion barrier, a metal diffusion barrier of a transistor, and the like. For example, it is possible to suppress the movement (diffusion) of a material (or atoms) between two material layers or to perform a diffusion barrier function even with a thin thickness, for example, a thickness of 5 nm or less, due to excellent performance, and integration The degree of integration of the circuit can be increased.

본 발명은, 본 발명에 의한 비정질 질화붕소막을 포함하는 복합재에 관한 것으로, 상기 복합재는, 비정질 질화붕소막 및 기능성 물질 및/또는 첨가제를 포함하는 복합재를 제공할 수 있다. 상기 기능성 물질 및/또는 첨가제는, 질화붕소 동소체, 탄화물, 그래핀, 흑연 등의 탄소계 물질, 전도성 중합체, 고분자, 금속 등을 포함할 수 있다. The present invention relates to a composite material including an amorphous boron nitride film according to the present invention, wherein the composite material may provide a composite material including an amorphous boron nitride film and a functional material and/or additive. The functional material and/or additive may include a carbon-based material such as boron nitride allotrope, carbide, graphene, graphite, or the like, a conductive polymer, a polymer, or a metal.

이상과 같이 실시예들이 비록 한정된 실시예와 도면에 의해 설명되었으나, 해당 기술분야에서 통상의 지식을 가진 자라면 상기의 기재로부터 다양한 수정 및 변형이 가능하다. 예를 들어, 설명된 기술들이 설명된 방법과 다른 순서로 수행되거나, 및/또는 설명된 구성요소들이 설명된 방법과 다른 형태로 결합 또는 조합되거나, 다른 구성요소 또는 균등물에 의하여 대치되거나 치환되더라도 적절한 결과가 달성될 수 있다. 그러므로, 다른 구현들, 다른 실시예들 및 특허청구범위와 균등한 것들도 후술하는 특허청구범위의 범위에 속한다.As described above, although the embodiments have been described with reference to the limited embodiments and drawings, various modifications and variations are possible from the above description by those skilled in the art. For example, even if the described techniques are performed in an order different from the described method, and/or the described components are combined or combined in a different form from the described method, or replaced or substituted by other components or equivalents Appropriate results can be achieved. Therefore, other implementations, other embodiments, and equivalents to the claims are also within the scope of the following claims.

Claims (21)

기판을 준비하는 단계; 및
700 ℃ 이하의 온도에서 비정질 질화붕소막을 상기 기판 상에 성장시키는 단계;
를 포함하고,
상기 성장시키는 단계는, 웨이퍼 스케일(Wafer-scale)로 비정질 질화붕소막을 증착하는 것인,
비정질 질화붕소막의 제조 방법.
preparing a substrate; and
growing an amorphous boron nitride film on the substrate at a temperature of 700° C. or less;
including,
The growing step is to deposit an amorphous boron nitride film on a wafer scale (Wafer-scale),
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 온도는, 상온 내지 450 ℃인 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The temperature is from room temperature to 450 ℃,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 기판을 준비하는 단계는,
상기 기판을 플라즈마 처리하는 단계; 및
플라즈마 공정 챔버 내에 상기 기판을 수용하는 단계;
를 포함하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The step of preparing the substrate,
plasma processing the substrate; and
receiving the substrate in a plasma processing chamber;
which includes,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 기판은, 반도체 물질, 금속 물질 및 절연 물질 중 적어도 하나를 포함하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The substrate comprises at least one of a semiconductor material, a metallic material, and an insulating material,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 기판은, 고집적 반도체 소자를 포함하고,
상기 반도체 소자는, 트랜지스터(transistor), 커패시터(capacitor), 다이오드(diode) 및 저항기(resistor) 중 적어도 어느 하나를 포함하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The substrate includes a highly integrated semiconductor device,
The semiconductor device, including at least one of a transistor (transistor), a capacitor (capacitor), a diode (diode) and a resistor (resistor),
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, PECVD, PEALD 또는 이 둘을 이용하고,
상기 PECVD 및 PEALD는 콜드월(cold wall) 또는 핫월(hot wall) 타입인 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step uses PECVD, PEALD or both,
The PECVD and PEALD will be a cold wall (cold wall) or hot wall (hot wall) type,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, 대기압 또는 진공 상태에서 실시되는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step will be carried out under atmospheric pressure or vacuum,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, 단일층(monolayer) 수준의 두께의 질화붕소막을 성장시키는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step is to grow a boron nitride film having a thickness of a single layer (monolayer) level,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, 10 옴스트롱 이하의 두께의 질화붕소막을 성장시키는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step is to grow a boron nitride film with a thickness of 10 angstroms or less,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, 1 옴스트롱 내지 100 마이크로미터 두께로 질화붕소막을 성장시키는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step is to grow a boron nitride film to a thickness of 1 angstrom to 100 micrometers,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계는, 면적 4인치 이상으로 비정질 질화붕소막을 형성하고,
상기 성장시키는 단계는 단일 또는 연속 공정으로 비정질 질화붕소막을 형성하고 비정질 질화붕소막의 두께를 조절하거나 또는
상기 성장시키는 단계는 기판을 지지하는 스테이지의 높이, 전압 또는 이 둘을 조절하여 비정질 질화붕소막의 두께를 조절하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The growing step is to form an amorphous boron nitride film with an area of 4 inches or more,
The growing step is a single or continuous process to form an amorphous boron nitride film and adjust the thickness of the amorphous boron nitride film, or
The growing step is to control the thickness of the amorphous boron nitride film by adjusting the height, voltage, or both of the stage supporting the substrate,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 성장시키는 단계에서 질화붕소 소스의 도입 유량(flow rate)은, 2 sccm이하로 제공하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The introduction flow rate (flow rate) of the boron nitride source in the growing step is to provide less than 2 sccm,
A method for manufacturing an amorphous boron nitride film.
제12항에 있어서,
상기 질화붕소 소스는, 붕소-함유 전구체, 붕소 및 질소 함유 전구체, 또는 이 둘을 포함하는 것인,
비정질 질화붕소막의 제조 방법.
13. The method of claim 12,
wherein the boron nitride source comprises a boron-containing precursor, a boron and nitrogen-containing precursor, or both,
A method for manufacturing an amorphous boron nitride film.
제12항에 있어서,
상기 질화붕소 소스는, 비활성 가스를 포함하는 가스 혼합물로 공급되는 것인,
비정질 질화붕소막의 제조 방법.
13. The method of claim 12,
Wherein the boron nitride source is supplied as a gas mixture comprising an inert gas,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 질화붕소막 중 질소에 대한 붕소의 비율은 0.9 내지 1.1인 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The ratio of boron to nitrogen in the boron nitride film is 0.9 to 1.1,
A method for manufacturing an amorphous boron nitride film.
제1항에 있어서,
상기 질화붕소막의 제조방법은, 복수 개의 플라즈마 공정챔버로 배열된 연속 증착 모듈화 장비 또는 롤투롤 웨이퍼 모듈화 장비를 이용하는 것인,
비정질 질화붕소막의 제조 방법.
According to claim 1,
The method for producing the boron nitride film is to use a continuous deposition modularization equipment or roll-to-roll wafer modularization equipment arranged in a plurality of plasma process chambers,
A method for manufacturing an amorphous boron nitride film.
제1항의 방법으로 제조되고,
웨이퍼 스케일의 면적을 갖는, 비정질 질화붕소막.
It is prepared by the method of claim 1,
An amorphous boron nitride film having an area on a wafer scale.
제17항에 있어서,
상기 질화붕소막의 표면거칠기는 0.3 RMS(Root-Mean-Square) 이하인 것인,
비정질 질화붕소막.
18. The method of claim 17,
The surface roughness of the boron nitride film will be 0.3 RMS (Root-Mean-Square) or less,
Amorphous boron nitride film.
제17항에 있어서,
상기 질화붕소막에서 질소와 붕소의 sp2 결합을 포함하고, 유전 상수가 2.5 이하인 것인,
비정질 질화붕소막.
18. The method of claim 17,
In the boron nitride film, including the sp2 bond of nitrogen and boron, and the dielectric constant is 2.5 or less,
Amorphous boron nitride film.
제17항에 있어서,
상기 비정질 질화붕소막은, 반도체 소자의 초저유전소재, 확산 방지층 또는 이 둘로 적용되는 것인,
비정질 질화붕소막.
18. The method of claim 17,
The amorphous boron nitride film, which is applied as an ultra-low dielectric material of a semiconductor device, a diffusion barrier layer, or both,
Amorphous boron nitride film.
제17항의 웨이퍼 스케일의 면적을 갖는, 비정질 질화붕소막을 포함하는,
반도체 소자.
Claim 17 comprising an amorphous boron nitride film having an area of the wafer scale,
semiconductor device.
KR1020200055671A 2020-05-11 2020-05-11 Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film KR102353964B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200055671A KR102353964B1 (en) 2020-05-11 2020-05-11 Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200055671A KR102353964B1 (en) 2020-05-11 2020-05-11 Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film

Publications (2)

Publication Number Publication Date
KR20210137641A true KR20210137641A (en) 2021-11-18
KR102353964B1 KR102353964B1 (en) 2022-01-24

Family

ID=78717289

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200055671A KR102353964B1 (en) 2020-05-11 2020-05-11 Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film

Country Status (1)

Country Link
KR (1) KR102353964B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0841633A (en) * 1994-06-16 1996-02-13 Ford Motor Co Transparent amorphous hydrogenated hard boron nitride film and its production
KR980011883A (en) * 1996-07-23 1998-04-30 김광호 Method for forming a metal silicide layer in a semiconductor device
KR20020001584A (en) * 2000-06-28 2002-01-09 마스다 노부유키 Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma cvd apparatus
JP2010103361A (en) * 2008-10-24 2010-05-06 Sumitomo Electric Ind Ltd Heat dissipating material and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0841633A (en) * 1994-06-16 1996-02-13 Ford Motor Co Transparent amorphous hydrogenated hard boron nitride film and its production
KR980011883A (en) * 1996-07-23 1998-04-30 김광호 Method for forming a metal silicide layer in a semiconductor device
KR20020001584A (en) * 2000-06-28 2002-01-09 마스다 노부유키 Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma cvd apparatus
JP2010103361A (en) * 2008-10-24 2010-05-06 Sumitomo Electric Ind Ltd Heat dissipating material and method of manufacturing the same

Also Published As

Publication number Publication date
KR102353964B1 (en) 2022-01-24

Similar Documents

Publication Publication Date Title
US11180373B2 (en) Nanocrystalline graphene and method of forming nanocrystalline graphene
US11094538B2 (en) Method of forming graphene
US20230207312A1 (en) Graphene structure and method of forming graphene structure
KR101874258B1 (en) A transition metal dichalcogenide thin film and method of manufacturing the same
US20210210346A1 (en) Graphene structure and method of forming the graphene structure
US20220048773A1 (en) Nanocrystalline graphene and method of forming nanocrystalline graphene
US10593871B2 (en) Atomic layer deposition of ultrathin tunnel barriers
KR102353964B1 (en) Method for producing large area amorphous boron-nitride film and large area amorphous boron-nitride film
KR20170100984A (en) A transition metal dichalcogenide thin film and method of manufacturing the same
KR20210027893A (en) Method of fabricating hexagonal boron nitride
KR20230130437A (en) Amorphous boron nitride with multi-layered thin film structure and electronic device comprising same
KR20230124385A (en) Method for producing large area amorphous boron-nitride film and large area amorphous boron-carbon-nitride film
JP3718297B2 (en) Thin film manufacturing method and thin film manufacturing apparatus
US20210355582A1 (en) Conductive structure and method of controlling work function of metal
CN112899654A (en) Ag2Preparation method of S film
KR20210069474A (en) method of forming graphene
US20230017244A1 (en) Method of forming nanocrystalline graphene
Park et al. Transformer coupled plasma enhanced metal organic chemical vapor deposition of ta (Si) N thin films and their cu diffusion barrier properties
US20220415825A1 (en) Interconnect structure and electronic device including the same
US11713248B2 (en) Method of growing graphene selectively
US20220316052A1 (en) Nanocrystalline graphene and method of forming nanocrystalline graphene
He Molecular Beam Epitaxy Growth of Monolayer Hexagonal Boron Nitride Films for Metal-Insulator-Metal Devices
US20230104966A1 (en) Method for atomically manipulating an artificial two-dimensional material and apparatus therefor
KR102619401B1 (en) Thin film deposition method through controlled formation of vapor phase transition species
KR100434307B1 (en) Method for forming aluminum thin layer in semiconductor device

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant