KR20210087084A - 3d nand 애플리케이션을 위한 막 스택 오버레이 개선 - Google Patents

3d nand 애플리케이션을 위한 막 스택 오버레이 개선 Download PDF

Info

Publication number
KR20210087084A
KR20210087084A KR1020217017714A KR20217017714A KR20210087084A KR 20210087084 A KR20210087084 A KR 20210087084A KR 1020217017714 A KR1020217017714 A KR 1020217017714A KR 20217017714 A KR20217017714 A KR 20217017714A KR 20210087084 A KR20210087084 A KR 20210087084A
Authority
KR
South Korea
Prior art keywords
substrate
film layer
density
showerhead
compressive stress
Prior art date
Application number
KR1020217017714A
Other languages
English (en)
Inventor
신하이 한
디네쉬 패디
대미안 라즈 벤자민 라즈
크리스토퍼 엔슬로우
웬지아오 왕
마사키 오가타
사이 서스미타 아디팔리
니킬 수딘드라라오 조라푸르
그레고리 유진 치카노프
샤일렌드라 스리바스타바
종훈 백
자카리아 이브라히미
주안 카를로스 로카-앨바레즈
차-징 궁
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210087084A publication Critical patent/KR20210087084A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

본 개시내용의 실시예들은, 일련의 증착 및 리소그래피 노출 프로세스들 후에 오버레이 에러에 최소한의 기여를 할 수 있는, 막 층을 증착하기 위한 장치 및 방법을 설명한다. 일 예에서, 방법은, 프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계, 및 기판의 응력 프로파일에 따라, 기판 지지부를 향하는 볼록 표면 또는 기판 지지부를 향하는 오목 표면을 갖는 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 프로세스 챔버로 유동시키는 단계를 포함한다. 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 프로세스 챔버에서 증착 가스 혼합물의 존재 시 플라즈마가 형성된다. 그런 다음, 기판에 대해 증착 프로세스가 수행된다.

Description

3D NAND 애플리케이션을 위한 막 스택 오버레이 개선
[0001] 본 개시내용의 실시예들은 일반적으로, 기판의 프로세싱 동안 막 응력 불균일(film stress non-uniformity)에 의해 도입되는 막 스택 오버레이(overlay) 이슈들의 감소를 위한 프로세스 챔버 및 방법에 관한 것이다.
[0002] 수직으로 통합되는 디바이스들을 생산할 때 오랫동안 인식된 난제는, 제조 프로세스 동안 도입되는 기판 및 층 스택의 왜곡을 감소시키는 것이다. 플라즈마 에칭 또는 플라즈마 증착 프로세스들 동안 열 팽창, 플라즈마 불균일 분배 및/또는 플라즈마 밀도의 차이들로 인해 하나의 층과 다음 층 사이에 다양한 막 응력들이 생성될 수 있으며, 이는 기판 표면의 국부화된 변형 및 바람직하지 않은 오버레이 에러를 초래한다. 오버레이 에러들이 발생할 때, 기판 상에 형성되는 디바이스 다이들의 크기, 치수 또는 구조들이 불규칙하게 변형되거나 또는 왜곡되어서, 이러한 디바이스 다이들 상에 적층되는 막 층들 사이의 오정렬 가능성을 증가시킬 수 있으며, 이는 불리하게, 후속 제조 프로세스에서 오정렬 확률을 증가시킬 수 있다.
[0003] 일 실시예에서, 기판 상에 막 층을 형성하기 위한 방법이 제공된다. 방법은, 프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계, 기판의 응력 프로파일에 따라, 기판 지지부를 향하는 볼록 표면 또는 기판 지지부를 향하는 오목 표면을 갖는 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 프로세스 챔버로 유동시키는 단계, 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 프로세스 챔버에서 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계, 및 기판 상에 막 층을 증착하기 위해 기판을 회전시키면서 증착 프로세스를 수행하는 단계를 포함한다.
[0004] 다른 실시예에서, 방법은, 프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계 ―기판 지지부는 기판의 응력 프로파일에 따라 오목 기판 지지 표면 또는 볼록 기판 지지 표면을 가짐―, 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 프로세스 챔버로 유동시키는 단계, 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 프로세스 챔버에서 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계, 및 기판 상에 막 층을 증착하기 위해 기판을 회전시키면서 증착 프로세스를 수행하는 단계를 포함한다.
[0005] 다른 실시예에서, 방법은, 프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계 ―기판 지지부는 기판의 응력 프로파일에 따라 오목 기판 지지 표면 또는 볼록 기판 지지 표면을 가짐―, 기판의 응력 프로파일에 따라, 기판 지지부를 향하는 볼록 표면 또는 기판 지지부를 향하는 오목 표면을 갖는 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 프로세스 챔버로 유동시키는 단계, 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 프로세스 챔버에서 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계, 및 기판 상에 막 층을 증착하기 위해 기판을 회전시키면서 증착 프로세스를 수행하고, 기판 지지부의 높이를 조정함으로써 기판 위의 좌우 또는 전후 플라즈마 밀도를 조절하는 단계를 포함한다.
[0006] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 예시적인 실시예들만을 예시하며, 그러므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0007] 도 1은 하나 이상의 실시예들에 따른 프로세스 챔버의 개략적인 단면도이다.
[0008] 도 2a-도 2b는 하나 이상의 실시예들에 따른 샤워헤드의 개략적인 단면도들이다.
[0009] 도 3a-도 3b는 하나 이상의 실시예들에 따른 기판 지지부의 개략적인 단면도들이다.
[0010] 도 4는 일 실시예에 따른 샤워헤드의 개략적인 평면도이다.
[0011] 도 5는 하나 이상의 실시예들에 따른, 막 층을 형성하기 위한 방법을 예시한다.
[0012] 도 6a-도 6b는 도 5의 방법에 따른 기판 상의 막 층의 단면도들이다.
[0013] 도 7은 하나 이상의 실시예들에 따른 계단형 구조의 단면도이다.
[0014] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0015] 본 개시내용의 실시예들은, 일련의 증착 및 리소그래피 노출 프로세스들 후에 오버레이 에러에 최소한의 기여를 할 수 있는, 막 층을 증착하기 위한 장치 및 방법을 설명한다. 일 실시예에서, 증착 방법은 기판 상에 형성된 각각의 막 층에 일관된 막 응력을 제공하기 위하여 막 층 표면에 걸쳐 최소 응력 변화 또는 면내 왜곡(IPD; in-plane distortion)을 갖는 막 층을 형성할 수 있다. 그러므로, 반도체 디바이스들을 형성하기 위해 일련의 증착 및 리소그래피 노출 프로세스들로부터 모든 막 층들을 통합할 때 오버레이 에러가 최소화되거나 또는 제거될 수 있다.
[0016] 도 1은 하나 이상의 실시예들에 따른 프로세스 챔버(100)의 개략적인 단면도이다. 프로세스 챔버(100)는, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 스택 또는 교번하는 옥사이드 및 다결정질 실리콘 층들을 갖는 스택과 같은 유전체 막 스택들을 증착하기 위해 활용되는 플라즈마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition) 챔버일 수 있다. 도 1에 도시된 바와 같이, 프로세스 챔버(100)는 챔버 벽(102), 챔버 벽(102) 내에 배치된 기판 지지부(104), 및 챔버 벽(102) 내에 배치된 샤워헤드(예컨대, 가스 분배 플레이트)(106)를 포함한다. 기판 지지부(104)는 샤워헤드(106) 아래에 배치되고, 기판(108)을 지지하도록 구성된다. 기판(108)은 더미 웨이퍼 또는 생산 웨이퍼일 수 있다. 슬릿 밸브 개구(152)가 하나 이상의 기판들, 이를테면, 기판(108)을 로딩 및 언로딩하기 위해 챔버 벽에 형성될 수 있다. 진공 펌프(111)가 프로세스 챔버(100)를 진공배기시키기 위해 프로세스 챔버(100)의 최하부에 커플링된다. 밸브(113)가 진공 펌프(111) 내의 진공도를 제어하기 위해 선택적으로 개폐될 수 있다.
[0017] 동작 동안, 기판 지지부(104)는 상부에 배치된 기판(108)을 회전시키기 위하여 회전하고 있을 수 있다. 기판 지지부(104)의 회전은 하나의 방향(시계방향 또는 반시계방향)으로의 연속적인 회전일 수 있거나, 또는 180도 회전한 후에 회전 방향을 변화시키는 것과 같이 반대 방향으로 왔다 갔다 하는 것(oscillating)일 수 있다. 기판 지지부(104)의 회전은 증착된 막 스택의 두께 균일성을 개선시킬 수 있다. 일부 실시예들에서, 기판 지지부(104)는 고온 프로세스들을 위해 상승된 온도, 이를테면, 최대 700 ℃로 가열될 수 있다.
[0018] RF 전력원(107)이 샤워헤드(106) 위에 배치된 차단 플레이트(140)에 커플링된다. 차단 플레이트(140)와 샤워헤드(106)는 함께, 프로세스 챔버(100)를 위해 (차단 플레이트(140)와 샤워헤드(106) 사이의) 가스 혼합 볼륨(142) 및 (차단 플레이트(140)와 기판 지지부(104) 사이의) 반응 볼륨(144)을 정의한다. 복수의 애퍼처들(146)이 차단 플레이트(140)를 관통하여 형성된다.
[0019] 샤워헤드(106)는 기판 지지부(104)를 향하는 제1 표면(114), 및 제1 표면(114)에 대향하는 제2 표면(116)을 포함한다. 제1 표면(114)으로부터 제2 표면(116)으로 연장되는 복수의 가스 통로들(115)이 샤워헤드(106)에 형성된다. 샤워헤드(106)는 기판 지지부(104)를 커버하도록 크기가 정해진다. 샤워헤드(106)는 통상적으로, 스테인리스 강, 알루미늄(Al), 양극처리된(anodized) 알루미늄, 니켈(Ni) 또는 다른 RF 전도성 재료로 제작된다. 샤워헤드(106)는 주조, 브레이징, 단조, 열간 정수압 성형 또는 소결될 수 있다. 샤워헤드(106)는 원형 또는 다각형, 이를테면, 직사각형 또는 정사각형일 수 있다.
[0020] 가스 소스(110)가 하나 이상의 프로세스 가스들을 프로세스 챔버(100) 내로 전달하기 위해 차단 플레이트(140)에 커플링될 수 있다. 원격 플라즈마 소스(112)가 또한, 세정제, 이를테면, 해리된 플루오린을 프로세스 챔버(100) 내로 전달하여 샤워헤드(106)와 같은 프로세스 챔버 하드웨어로부터 증착 부산물들 및 막들을 제거하기 위해 샤워헤드(106)에 커플링될 수 있다.
[0021] 기판 상의 막 스택의 프로세스-유도되는 IPD(in-plane distortion)를 최소화하거나 또는 없애기 위해, 프로세스 챔버(100)에서 다양한 접근법들이 활용될 수 있다. IPD는 반경방향 왜곡 및/또는 평면 왜곡을 포함한다. 본원에서 사용되는 반경방향 왜곡들은 기판의 주어진 반경을 따르는 지점들 사이의 왜곡을 지칭하며, 이는 2 개의 관심 지점들에서 원점들을 갖는 왜곡 벡터들에 대한, 왜곡의 반경방향 성분들 사이의 차이를 계산함으로써 결정될 수 있다. 본원에서 사용되는 평면 왜곡은 기판의 작은 영역에서 또는 전체 표면에 걸쳐 발생할 수 있는 팽창 왜곡(expansion distortion)들, 회전 왜곡들 및 병진 왜곡들을 지칭한다. 교번하는 옥사이드 및 나이트라이드 층들을 갖는 스택과 같은 막 스택에 대한 반경방향 왜곡 및/또는 평면 왜곡을 감소시키기 위해, 아래에서 논의되는 다양한 접근법들이 사용될 수 있다.
[0022] 프로파일링된 전극들이 기판(108) 상의 막 스택의 반경방향 왜곡들을 감소시키거나 또는 없애기 위해 사용될 수 있다는 것이 관찰되었다. 일부 실시예들에서, 샤워헤드(106) 및 기판 지지부(104)는 기판(108) 위의 플라즈마 밀도를 조정하기 위한 곡률을 갖는다. 일부 실시예들에서, 샤워헤드(106) 및 기판 지지부(104)는 서로 상이한 곡률을 갖는다. 도 2a 및 도 2b는 샤워헤드(206)의 개략적인 측단면도들이다.
[0023] 기판(108)의 중심이 기판(108)의 에지보다 더 큰 인장 응력을 갖는 것으로 관찰되는 경우, 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안, 샤워헤드(106)와 기판 지지부(104) 사이의 간격(D1)을 변화시키기 위해, 볼록 형상의 샤워헤드 및/또는 오목 기판 지지부가 사용될 수 있다. 다양한 실시예들에서, 간격(D1)은 약 10 mil 내지 약 30 mil의 범위, 예컨대, 약 15 mil일 수 있다. 샤워헤드(106)와 기판 지지부(104) 사이의 간격(D1)이 기판(108) 위의 플라즈마 밀도를 변경하여서, 기판(108)의 중심이 더욱 압축적이 되게 할 수 있다. 예컨대, 도 2a에 도시된 샤워헤드(206)는 도 1의 샤워헤드(106)를 대체하기 위해 사용될 수 있다. 이 실시예에서, 샤워헤드(206)는 기판 지지부(도시되지 않음, 이를테면, 도 1의 기판 지지부(104))를 향하는 제1 표면(204), 및 제1 표면(204)에 대향하는 제2 표면(208)을 갖는다. 샤워헤드(206)는 제1 표면(204)으로부터 제2 표면(208)으로 연장되는, 샤워헤드(206)에 형성된 복수의 가스 통로들(207)을 더 포함한다. 도 1에 도시된, 평면의 제1 표면(114)과 달리, 제1 표면(204)은 곡률을 가질 수 있는데, 이를테면, 도 2a에 도시된 바와 같이 볼록 표면을 가질 수 있다. 볼록한 제1 표면(204)으로, 제1 표면(204)의 중심 구역은 제1 표면(204)의 에지 구역보다 기판 지지부(104) 또는 기판(108)에 더 가깝다. 샤워헤드(206)의 볼록 표면은 중심 구역에서 간격(D1)을 감소시킬 수 있으며, 이는 이어서, 기판(108)의 중심 구역에서 플라즈마 밀도를 증가시킨다. 그 결과, 기판(108)의 중심은, 기판(108)에 의해 원래 전달되는 인장 응력의 균형을 맞추도록 더욱 압축적이 될 수 있다. 그러므로, 기판(108) 상의 막 스택의 IPD/오버레이 에러들이 감소된다.
[0024] 부가적으로 또는 대안적으로, 기판 지지부, 이를테면, 도 3a에 도시된 기판 지지부(304)는 도 3a에 도시된 오목 표면(306)과 같은 곡률을 가질 수 있다. 기판 지지부(304)는 도 1의 기판 지지부(104)를 대체하기 위해 사용될 수 있다. 오목 표면(306)으로, 오목 표면(306)의 중심 구역은 오목 표면(306)의 에지 구역보다 샤워헤드(도시되지 않음, 이를테면, 도 1의 샤워헤드(106))로부터 더 멀리 떨어져 있다. 그러므로, 기판(108)의 중심 구역에서 플라즈마 밀도를 감소시키기 위해 중심 구역에서의 간격(D1)이 증가된다. 기판(108)의 중심은, 기판(108)에 의해 원래 전달되는 인장 응력의 균형을 맞추도록 더욱 압축적이 될 수 있다. 기판 지지부(304)의 오목 표면(306)은 기판(108) 상의 막 스택의 IPD/오버레이 에러들을 감소시키거나 또는 최소화하기 위하여 플라즈마 밀도를 제어하기 위해 도 2a 및 도 2b의 샤워헤드(206, 210) 중 임의의 샤워헤드와 함께 작동할 수 있다는 것이 고려된다.
[0025] 기판(108)의 중심이 기판(108)의 에지보다 더 큰 압축 응력을 갖는 것으로 관찰되는 경우, 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안, 샤워헤드(106)와 기판 지지부(104) 사이의 간격(D1)을 변화시키기 위해, 오목 형상의 샤워헤드 및/또는 볼록 기판 지지부가 사용될 수 있다. 샤워헤드(106)와 기판 지지부(104) 사이의 간격(D1)이 기판(108) 위의 플라즈마 밀도를 변화시켜서, 기판(108)의 중심이 덜 압축적이 되게 할 수 있다. 예컨대, 도 2b에 도시된 샤워헤드(210)는 도 1의 샤워헤드(106)를 대체하기 위해 사용될 수 있다. 이 실시예에서, 샤워헤드(210)는 기판 지지부(도시되지 않음, 이를테면, 도 1의 기판 지지부(104))를 향하는 제1 표면(212), 및 제1 표면(212)에 대향하는 제2 표면(214)을 포함한다. 제1 표면(212)은 도 2b에 도시된 오목 표면과 같은 곡률을 가질 수 있다. 오목한 제1 표면(212)으로, 제1 표면(212)의 중심 구역은 제1 표면(212)의 에지 구역보다 기판 지지부(104) 또는 기판(108)으로부터 더 멀리 떨어져 있다. 샤워헤드(210)의 오목 표면은 중심 구역에서 간격(D1)을 감소시킬 수 있으며, 이는 이어서, 기판(108)의 중심 구역에서 플라즈마 밀도를 낮출 수 있다. 그 결과, 기판(108)의 중심은, 기판(108)에 의해 원래 전달되는 더 높은 압축 응력의 균형을 맞추도록 덜 압축적이 될 수 있다. 그러므로, 기판(108) 상의 막 스택의 IPD/오버레이 에러들이 감소된다.
[0026] 부가적으로 또는 대안적으로, 기판 지지부, 이를테면, 도 3b에 도시된 기판 지지부(308)는 도 3b에 도시된 볼록 표면(310)과 같은 곡률을 가질 수 있다. 기판 지지부(308)는 도 1의 기판 지지부(104)를 대체하기 위해 사용될 수 있다. 볼록 표면(310)으로, 오목 표면(306)의 중심 구역은 볼록 표면(310)의 에지 구역보다 샤워헤드(도시되지 않음, 이를테면, 도 1의 샤워헤드(106))에 더 가깝다. 그러므로, 기판(108)의 중심 구역에서 플라즈마 밀도를 감소시키기 위해 중심 구역에서의 간격(D1)이 감소된다. 기판(108)의 중심은, 기판(108)에 의해 원래 전달되는 더 높은 압축 응력의 균형을 맞추도록 덜 압축적이 될 수 있다. 기판 지지부(308)의 볼록 표면(310)은 기판(108) 상의 막 스택의 IPD/오버레이 에러들을 감소시키거나 또는 최소화하기 위하여 플라즈마 밀도를 제어하기 위해 도 2a 및 도 2b의 샤워헤드(206, 210) 중 임의의 샤워헤드와 함께 작동할 수 있다는 것이 고려된다.
[0027] 본 개시내용에서 설명되는 다른 실시예들 중 임의의 다른 실시예를 포함하거나 또는 이와 조합할 수 있는 일부 실시예들에서, 기판 지지부(104)는 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 기판(108) 위의 플라즈마 밀도를 반경방향으로 조절하기 위한 2 개 이상의 전극들을 포함할 수 있다. 예컨대, 도 1에 도시된 실시예에서, 제1 전극(120)은 기판 지지부(104) 내에 내장되거나 또는 기판 지지부(104)의 표면에 커플링될 수 있다. 제1 전극(120)은 플레이트, 천공된 플레이트, 메시, 와이어 스크린 또는 전도성 엘리먼트들의 임의의 다른 분배 어레인지먼트일 수 있다. 제2 전극(122)은 또한, 기판 지지부(104) 내에 내장되거나 또는 기판 지지부(104)의 표면에 커플링될 수 있다. 마찬가지로, 제2 전극(122)은 플레이트, 천공된 플레이트, 메시, 와이어 스크린 또는 전도성 엘리먼트들의 임의의 다른 분배 어레인지먼트일 수 있다. 일 실시예에서, 제1 전극(120) 및 제2 전극(122) 둘 모두가 메시이다. 제1 전극(120)은 제1 높이에서 기판 지지부(104)를 가로질러 확장될 수 있다. 제1 전극(120)은 기판(108)의 직경과 동일한 길이를 가질 수 있다. 제2 전극(122)은 제1 높이와 상이한 제2 높이에서 기판 지지부(104)의 에지 구역에 배치될 수 있다. 다른 실시예들과 조합될 수 있는 일 실시예에서, 제2 높이는 제1 높이보다 더 높다. 다른 실시예들과 조합될 수 있는 일 실시예에서, 제2 높이는 제1 높이보다 더 낮다. 제2 전극(122)은 제1 전극(120)의 반경방향 외측에 배치되며, 제1 전극(120)의 일부분과 중첩될 수 있거나 또는 중첩되지 않을 수 있다.
[0028] 제1 전극(120)은 전도부(conduct)(126), 예컨대, 기판 지지부(104)의 샤프트(128)에 배치된 케이블에 의해 전력원(124)에 커플링된다. 임피던스 매칭 회로와 같은 제1 제어기(130)가 기판 지지부(104)의 내부 존에 있는 제1 전극(120)의 임피던스를 독립적으로 제어하기 위해 전력원(124)과 제1 전극(120) 사이에 배치될 수 있다. 전력원(124)은 DC 전력, 펄스식 DC 전력, RF 바이어스 전력, 펄스식 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다. 제2 전극(122)은 전도부(134), 예컨대, 기판 지지부(104)의 샤프트(128)에 배치된 케이블에 의해 전력원(132)에 커플링된다. 임피던스 매칭 회로와 같은 제2 제어기(136)가 기판 지지부(104)의 외부 존에 있는 제2 전극(122)의 임피던스를 독립적으로 제어하기 위해 전력원(132)과 제2 전극(122) 사이에 배치될 수 있다. 외부 존은 내부 존을 둘러싸며, 기판의 에지로부터 기판 지지부(104)의 에지까지의 영역을 커버하는 반경방향 거리를 가질 수 있다. 내부 존은 기판의 직경과 적어도 동일한 반경방향 거리를 가질 수 있다. 전력원(132)은 DC 전력, 펄스식 DC 전력, RF 바이어스 전력, 펄스식 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다. 따라서, 제1 전극(120) 및 제2 전극(122)은 임피던스를 독립적으로 제어할 수 있다.
[0029] 기판(108)의 중심이 기판(108)의 에지보다 더 많은 압축 응력을 갖는 것으로 관찰되는 경우, 제2 제어기(136)는 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 외부 존에 있는 제2 전극(122)의 임피던스가 내부 존에서의 임피던스보다 더 낮도록 구성될 수 있다. 대안적으로, 제1 제어기(130)는 외부 존에서의 임피던스보다 내부 존에서 더 높은 임피던스를 갖도록 구성될 수 있다. 어느 경우에나, 기판 지지부(104)의 외부 존에서의 더 낮은 임피던스는 더 많은 플라즈마가 외부 존에 커플링되게 하여서, 기판(108)이 에지 영역에서 더 높은 압축 응력을 갖게 할 수 있다. 그러므로, 기판(108)에 걸친 응력 균일성이 달성되어서, 기판(108) 상의 막 스택의 반경방향 왜곡들이 감소되거나 또는 없어진다.
[0030] 마찬가지로, 기판(108)의 중심이 기판(108)의 에지보다 더 적은 압축 응력을 갖는 것으로 관찰되는 경우, 제2 제어기(136)는 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 외부 존에 있는 제2 전극(122)의 임피던스가 내부 존에서의 임피던스보다 더 높도록 구성될 수 있다. 대안적으로, 제1 제어기(130)는 외부 존에서의 임피던스보다 내부 존에서 더 낮은 임피던스를 갖도록 구성될 수 있다. 어느 경우에나, 기판 지지부(104)의 외부 존에서의 더 높은 임피던스는 더 적은 플라즈마가 외부 존에 커플링되게 하여서, 기판(108)이 에지 영역에서 더 적은 압축 응력을 갖게 할 수 있다. 그러므로, 기판(108)에 걸친 응력 균일성이 달성되어서, 기판(108) 상의 막 스택의 반경방향 왜곡들이 감소되거나 또는 없어진다.
[0031] 본 개시내용에서 설명되는 다른 실시예들 중 임의의 다른 실시예를 포함하거나 또는 이와 조합할 수 있는 일부 실시예들에서, 차단 플레이트(140)의 애퍼처들(146)은 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 증착 레이트를 조절하도록 구성될 수 있다. 기판(108)의 중심이 기판(108)의 에지보다 더 많은 압축 응력을 갖는 것으로 관찰되는 경우, 차단 플레이트(140)는 도 1에 도시된 바와 같이 차단 플레이트(140)의 에지 구역에 있는 애퍼처들보다 중심 구역에서 더 많은 애퍼처들(146)을 가질 수 있다. 예컨대, 중심 구역에 있는 애퍼처들(146)은 제1 밀도를 가질 수 있고, 에지 구역에 있는 애퍼처들(146)은 제2 밀도를 가질 수 있으며, 제1 밀도 대 제2 밀도의 비(ratio)는 약 1.2:1 내지 약 5:1, 예컨대, 약 1.5:1 내지 약 3:1일 수 있다.
[0032] 애퍼처들(146)의 밀도는, (기판 지지부(104)를 향하는) 제1 표면(148)에서의 애퍼처들(146)의 개구들에 의해 정의된 총 면적(total area)을, 측정된 구역의 차단 플레이트(140)의 제1 표면(148)의 총 면적으로 나눔으로써 계산될 수 있다. 차단 플레이트(140)의 중심 구역에 있는 애퍼처들(146)의 밀도는 약 10% 내지 약 100%, 예컨대, 약 60% 내지 약 100%의 범위일 수 있다. 애퍼처들(146)의 밀도는, 중심 구역에서 가스 유동을 증가시키기 위하여 에지 구역보다 중심 구역에서 더 높다. 중심 구역으로부터 에지 구역으로의 밀도 변화들은, 균일하고 매끄러운 증착 및 막 특성 프로파일들을 보장하기 위해 점진적이고 매끄러워야 한다. 차단 플레이트(140)의 중심에 있는 더 높은 밀도의 애퍼처들(146)은 가스 혼합 볼륨(142)의 중심 구역에서 가스 유동을 증가시킬 수 있고, 따라서 반응 볼륨(144)의 중심 구역에서 가스 유동 및 플라즈마 밀도를 증가시킬 수 있다. 그러므로, 막 스택은 기판(108)의 중심 구역에서 더 높은 증착 레이트를 가질 것이다. 기판(108)의 중심 구역에서 더 높은 증착 레이트를 가짐으로써, 기판(108)의 중심은 기판(108)의 에지보다 덜 압축적이 될 수 있다. 그 결과, 기판(108) 상의 막 스택의 IPD(예컨대, 반경방향 왜곡) 및 오버레이 에러들이 감소되거나 또는 최소화된다.
[0033] 기판(108)의 중심이 기판(108)의 에지보다 더 적은 압축 응력을 갖는 것으로 관찰되는 경우, 차단 플레이트(140)는 차단 플레이트(140)의 에지 구역에 있는 애퍼처들보다 중심 구역에서 더 적은 수의 애퍼처들(146)을 가질 수 있다. 예컨대, 중심 구역에 있는 애퍼처들(146)은 제1 밀도를 가질 수 있고, 에지 구역에 있는 애퍼처들(146)은 제2 밀도를 가질 수 있으며, 제1 밀도 대 제2 밀도의 비는 약 1:1.2 내지 약 1:5, 예컨대, 약 1:1.5 내지 약 1:3일 수 있다.
[0034] 이 실시예에서, 차단 플레이트(140)의 중심 구역에 있는 애퍼처들(146)의 밀도는 약 10% 내지 약 100%, 예컨대, 약 10% 내지 약 40%의 범위일 수 있다. 애퍼처들(146)의 밀도는 에지 구역보다 중심 구역에서 더 낮아서, 중심 구역에서 가스 유동이 감소된다. 중심 구역으로부터 에지 구역으로의 밀도 변화들은, 균일하고 매끄러운 증착 및 막 특성 프로파일들을 보장하기 위해 점진적이고 매끄러워야 한다. 차단 플레이트(140)의 중심에 있는 더 낮은 밀도의 애퍼처들(146)은 가스 혼합 볼륨(142)의 중심 구역에서 가스 유동을 감소시킬 수 있고, 따라서 반응 볼륨(144)의 중심 구역에서 가스 유동 및 플라즈마 밀도를 낮출 수 있다. 그러므로, 막 스택은 기판(108)의 중심 구역에서 더 낮은 증착 레이트를 가질 것이다. 기판(108)의 중심 구역에서 더 낮은 증착 레이트를 가짐으로써, 기판(108)의 중심은 기판(108)의 에지보다 더욱 압축적이 될 수 있다. 그 결과, 기판(108) 상의 막 스택의 IPD(예컨대, 반경방향 왜곡)/오버레이 에러들이 감소되거나 또는 최소화된다.
[0035] 애퍼처들(146)의 밀도의 설계 개념은 또한, 중심 대 에지 균일성을 개선시키기 위해 가스 통로(115) 설계들에 적용될 수 있다.
[0036] 다양한 실시예들에서, 매칭 네트워크(154)가 프로세스 챔버(100)의 최상부 위에 배치될 수 있다. 매칭 네트워크(154)는 송신 라인(156)에 의해 RF(radio frequency) 소스(158)에 전기적으로 연결될 수 있다. RF 전력이 RF 소스(158)에 의해 생성되고 RF 피드(160)에 의해 샤워헤드(106)에 인가될 수 있다. RF 피드(160)는 매칭 네트워크(154)에 전기적으로 커플링된 제1 단부(162), 및 샤워헤드(106)에 전기적으로 커플링된 제2 단부(164)를 가질 수 있다. RF 피드(160)는 구리 스트립과 같은 유연한 전기 전도성 재료로 만들어질 수 있다. 아래에서 더욱 상세히 논의될 바와 같이, RF 피드(160)의 제2 단부(164)는, 플라즈마 불균일성을 감소시키기 위하여 샤워헤드(106) 상의 상이한 위치들에 커플링될 수 있다.
[0037] 도 4는 일 실시예에 따른 샤워헤드(406)의 개략적인 평면도이다. 샤워헤드(406)는 도 1의 샤워헤드(106) 대신에 사용될 수 있다. 도 4에 도시된 바와 같이, 샤워헤드(406)는 원형일 수 있고, 기판 지지부(104)(도 1)를 등지는 최상부 표면(408)을 가질 수 있다. 복수의 커플링 지점들(410a, 410b, 410c, 410d)이 샤워헤드(406)의 최상부 표면(408) 상에 위치될 수 있다. 각각의 커플링 지점(410a-410d)은 도 1에 도시된 RF 피드(160)의 제2 단부(예컨대, 제2 단부(164))를 고정하기 위해 활용될 수 있다. 커플링 지점들(410a-410d)은 샤워헤드(406)의 중심점(412)을 중심으로 대칭인 샤워헤드(406)의 위치들에 배치된다. RF 전력원(402)은 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 송신 라인들(총괄하여, 414로서 라벨링됨)을 통해 다수의 위치들에서 이러한 커플링 지점들(410a-410d)에 전기적으로 커플링된다. 매칭 네트워크(403)(예컨대, 매칭 네트워크(154))가 RF 전력원(402)과 커플링 지점들(410a-410d) 사이에 배치될 수 있다. 커플링 지점들(410a-410d)은 기판(108)(도 1)에 걸친 플라즈마 균일성을 개선시키기 위해 대칭 방식으로 배열된다. 그 결과, IPD 잔류 균일성이 감소된다.
[0038] 4 개의 커플링 지점들(410a-410d)이 도 4에 도시되어 있지만, 더 많거나 또는 더 적은 커플링 지점들이 고려된다. 일 실시예에서, 6 개의 커플링 지점들이 활용된다. 다른 실시예에서, 8 개의 커플링 지점들이 활용된다. 또 다른 실시예에서, 단일 커플링 지점이 활용되며, 샤워헤드(406)의 중심에 배치될 수 있다. 다수의 커플링 지점들이 사용되는 경우, 커플링 지점들은, 기판(108) 상의 막 스택의 평면 및/또는 잔류 왜곡(residual distortion)들을 감소시키거나 또는 없애기 위해 샤워헤드(106)에서 대칭적으로 배열될 수 있다. 본원에서 설명되는 개념은 또한, RF 전력이 기판 지지부에 커플링되는 경우 이러한 기판 지지부에 적용될 수 있다는 것이 고려된다. 그러한 경우에, 하나 이상의 RF 피드들이 기판 지지부(104)의 전극들(예컨대, 도 1에 도시된 제1 전극(120) 및/또는 제2 전극(122))의 다수의 위치들에 커플링될 수 있다.
[0039] 기판 프로세스 동안 기판(108) 상의 막 스택의 평면 및/또는 잔류 왜곡들을 감소시키거나 또는 없애는 것을 돕기 위해 다른 접근법들이 또한 사용될 수 있다. 예컨대, 조정 디바이스들(170, 172)이 도 1에 도시된 바와 같이 기판 지지부(104)의 최하부에 부착될 수 있다. 조정 디바이스들(170, 172)은 샤프트(128)의 길이 방향을 통과하는 중심 축(도시되지 않음)을 중심으로 대칭 방식으로 배치될 수 있다. 조정 디바이스들(170, 172)은 프로세스 챔버(100)의 최하부를 통해 연장될 수 있고, 기판 지지부(104)의 레벨링을 조정하기 위해 기판 프로세스 동안, 그 전에 또는 그 후에 회전할 수 있다. 조정 디바이스들(170, 172)은 기판 지지부(104)의 높이를 조정하기에 적절한 임의의 디바이스 또는 메커니즘일 수 있다. 기판 지지부(104)의 레벨링은 기판(108)에 걸쳐 좌우 또는 전후 플라즈마 밀도를 조절하도록 변화될 수 있다. 그 결과, 막 스택의 균일한 좌우 응력 프로파일이 획득된다.
[0040] 본 개시내용의 임의의 다른 실시예들을 포함하거나 또는 이들과 조합될 수 있는 일부 실시예들에서, 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 기판 지지부(104)를 회전시킴으로써 막 스택의 평면 왜곡들이 감소되거나 또는 없어질 수 있다. 기판 지지부(104)의 회전은 하나의 방향으로의 연속적인 회전일 수 있거나, 또는 180도 회전한 후에 회전 방향을 변화시키는 것과 같이 반대 방향으로 왔다 갔다하는 것일 수 있다. 일 실시예에서, 막 스택의 증착은, IPD 평면 왜곡들을 없애기 위해 기판(108)이 180도 회전하는 2 개의 증착 프로세스들로 분할된다. 예컨대, 막 스택은 총 약 2 내지 90 쌍들의 옥사이드 층들 및 나이트라이드 층들을 포함할 수 있고, 막 스택의 제1 절반(예컨대, 1 내지 45 쌍들의 옥사이드 층들 및 나이트라이드 층들)은 기판 지지부(104)가 고정 상태로 유지된 채로 증착되고, 막 스택의 제2 절반(예컨대, 46 내지 90 쌍들의 옥사이드 층들 및 나이트라이드 층들)은 기판 지지부(104)가 180도 회전된 채로 증착되어서, IPD 왜곡들에 의해 유발되는, 막 증착 프로파일의 차이를 보상할 수 있다. 그 결과, 증착된 막 스택의 두께 균일성이 개선된다.
[0041] 본원에서 설명되는 개념은 다수의 증착 프로세스들에 동일하게 적용될 수 있다는 것이 고려된다. 예컨대, 막 스택의 증착은 기판(108)이 120도 회전하는 3 개의 증착 프로세스들로 분할될 수 있다. 대안적으로, 막 스택의 증착은 기판(108)이 90도 회전하는 4 개의 증착들로 분할되는 식일 수 있다.
[0042] 샤워헤드(106) 또는 전극의 넓은 면적으로 인해, 샤워헤드(106) 또는 전극에 인가되는 RF 전압은 플라즈마를 왜곡시키는 간섭파 패턴을 가질 수 있다. 파의 간섭 패턴에 대한 예는 정상파이다. 정상파는 샤워헤드(106)와 같은 전극의 중심에서 또는 그 근처에서 발생할 수 있다. 플라즈마가 정상파 효과 또는 간섭파 패턴으로 인해 균일하게 분배되지 않기 때문에, 기판(108) 상에 증착된 막 스택은 기판(108)에 걸쳐 균일한 두께를 갖지 않을 수 있다. 그 결과, 막 응력 균일성이 손상된다.
[0043] 플라즈마 균일성을 개선시키기 위해, 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스 동안 RF 전력은 낮은 RF 주파수에서 샤워헤드(106)와 같은 전극에 인가될 수 있다. 낮은 RF 주파수는 약 0.3 MHz 내지 약 60 MHz, 예컨대, 약 13.56 MHz 내지 약 40 MHz의 범위일 수 있다. 일 실시예에서, 낮은 RF 주파수는 약 14 MHz 내지 약 27 MHz이다. 더 낮은 RF 주파수의 사용은 RF 전력이 더 긴 파장에서 송신되게 할 수 있다. 예컨대, 13.56 MHz의 RF 주파수는 22 미터의 파장에 대응하고, 27 MHz의 RF 주파수는 11 미터의 파장에 대응한다. 더 짧은 파장들로 전달되는 RF 전력은, 샤워헤드(106)와 유사하거나 또는 동일한 차수(order)인 것으로 밝혀졌다. 그 결과, 샤워헤드(106)의 중심에서 또는 그 근처에서 발생하는 정상파 효과 또는 간섭파 패턴이 완화될 수 있다.
[0044] 낮은 RF 주파수를 갖는 RF 전력은, 위에서 논의된 바와 같이 샤워헤드(106) 상에 배치된 커플링 지점들(410a-410d)과 같은 다수의 커플링 지점들을 통해 전극에 인가될 수 있다. 부가적으로 또는 대안적으로, 낮은 RF 주파수를 갖는 RF 전력은 기판 지지부(104)의 전극들, 예컨대, 도 1에 도시된 제1 전극(120) 및/또는 제2 전극(122)에 인가될 수 있다.
[0045] 낮은 RF 주파수를 전극들에 인가하는 접근법은 본 개시내용에서 논의되는 실시예들 중 임의의 실시예와 조합될 수 있다는 것이 고려된다. 예컨대, 일부 실시예들에서, 낮은 RF 주파수는, 간격(D1)(도 1)을 15 mil로 유지하기 위한 볼록한 또는 오목한 샤워헤드(106) 및/또는 볼록한 또는 오목한 기판 지지부(104)와 함께 사용될 수 있다. 일 실시예에서, 낮은 RF 주파수는, 다수의 RF 피드 위치들, 그리고 간격(D1)을 15 mil로 유지하기 위한 볼록한 또는 오목한 샤워헤드(106) 및/또는 볼록한 또는 오목한 기판 지지부(104)와 함께 사용될 수 있다. 일부 실시예들에서, 낮은 RF 주파수는, 다수의 RF 피드 위치들, 차단 플레이트의 중심 구역에 있는 애퍼처들의 상이한 밀도, 그리고 간격(D1)을 미리 결정된 범위들 내로 또는 미리 결정된 값으로, 이를테면, 15 mil로 유지하기 위한 볼록한 또는 오목한 샤워헤드(106) 및/또는 볼록한 또는 오목한 기판 지지부(104)와 함께 사용될 수 있다.
[0046] 다양한 실시예들은 기판 프로세스, 이를테면, 교번하는 옥사이드 및 나이트라이드 층들을 갖는 막 스택의 증착 프로세스를 수행하기 위해 임의의 순서로 조합될 수 있다. 도 5는 유전체 층과 같은 막 층을 형성하기 위한 방법(500)을 예시하며, 이는 반도체 디바이스들을 위한 게이트 구조를 형성하기 위해 나중에 활용될 수 있다. 일 예에서, 막 층은 3D(three dimensional) NAND 반도체 애플리케이션들을 위한 게이트 구조들을 형성하기 위해 활용될 수 있다. 3D(three dimensional) NAND 반도체 애플리케이션들을 제조할 때, 회로 밀도를 증가시키기 위하여 높은 종횡비 게이트 스택 NAND 셀들에 계단형 옥사이드-나이트라이드 쌍들의 구조들이 종종 활용된다.
[0047] 도 5에서 설명되는 순서는 아래에서 논의되는 도 6a-도 6b에 묘사된 제작 스테이지들에 대응한다. 방법(500)은, 동작(502)에서, 증착 프로세스 챔버, 이를테면, 도 1에 도시된 프로세스 챔버(100) 내로 기판(602)을 제공함으로써 시작한다. 기판(602)은 상부에 형성된 제1 막 층(606)을 갖는다. 제1 막 층(606)은 실리콘 옥사이드 함유 층, 실리콘 나이트라이드 함유 층, 실리콘 함유 층, 이를테면, 비정질 실리콘, 다결정질 실리콘 또는 임의의 적절한 결정질 실리콘 층들일 수 있다. 도 6a에 묘사된 예에서, 제1 막 층(606)은 실리콘 옥사이드 함유 층, 이를테면, 실리콘 옥사이드 층이다. 기판(602)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 옥사이드, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 그리고 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리 또는 사파이어와 같은 재료일 수 있다.
[0048] 동작(504)에서, 도 6b에 도시된 바와 같이 제1 막 층(606) 상에 실리콘 나이트라이드 함유 층과 같은 제2 막 층(608)을 형성하기 위해 증착 가스 혼합물이 프로세스 챔버 내로 제공된다. 증착 가스 혼합물은 실리콘 함유 가스 및 반응 가스를 포함할 수 있다. 적절한 실리콘 함유 가스는 실란(SiH4), 디실란(Si2H6), 실리콘 테트라플루오라이드(SiF4), 실리콘 테트라클로라이드(SiCl4), 디클로르실란(dichlorsilane)(SiH2Cl2), 테트라에틸 오르쏘실리케이트(TEOS) 등을 포함(그러나, 이에 제한되지 않음)할 수 있다. 반응 가스는 실리콘 옥사이드 함유 층을 형성하기 위한 산소 함유 가스, 실리콘 나이트라이드 함유 층을 형성하기 위한 질소 함유 가스, 또는 실리콘 카바이드 함유 층을 형성하기 위한 탄소 함유 가스일 수 있다. 산소 함유 가스의 적절한 예들은 O2, N2O, NO2, O3, H2O 등을 포함한다. 질소 함유 가스의 적절한 예들은 N2, N2O, NO2, NH3, N2H2 등을 포함한다. 탄소 함유 가스의 적절한 예들은 CO2, CO, CH4, CF4, 다른 적절한 탄소 기반 폴리머 가스들 등을 포함한다. 하나 이상의 불활성 가스들이 증착 가스 혼합물에 포함될 수 있다. 불활성 가스는 희가스, 이를테면, Ar, He 및 Xe, 또는 N2 등을 포함(그러나, 이에 제한되지 않음)할 수 있다. 챔버 압력은 약 10 mTorr 내지 약 15 Torr의 범위로 유지될 수 있고, 기판 온도는 약 200 ℃ 내지 약 700 ℃의 범위로 유지될 수 있다. 기판의 응력 프로파일에 따라, 프로세스 챔버의 샤워헤드 및/또는 기판 지지부는 도 1, 도 2a, 도 2b, 도 3a 및 도 3b와 관련하여 위에서 논의된 바와 같이 샤워헤드와 기판 지지부 사이에 미리 결정된 간격, 이를테면, 약 10 mil 내지 약 30 mil을 획득하기 위한 곡률을 가질 수 있다.
[0049] 동작(506)에서, RF 전력이 전력원, 이를테면, RF(radio frequency) 소스(158)(도 1)에 의해 생성되고 증착 가스 혼합물에 커플링되어, 플라즈마의 반응성 종(reactive species) 내로의 증착 가스 혼합물의 해리를 보조한다. RF 전력은 위에서 논의된 바와 같이 약 13.56 MHz 내지 약 40 MHz 범위의 낮은 RF 주파수, 예컨대, 약 27 MHz에서 동작될 수 있다. RF 전력은 약 10 와트 내지 약 5000 와트, 이를테면, 약 500 와트에서 동작될 수 있다. 증착 프로세스 동안 플라즈마 밀도를 개선시키기 위해 위에서 논의된 방식으로 하나 이상의 전력원들, 이를테면, 전력원(124, 132)에 의해 RF 바이어스 전력이 제공될 수 있다. RF 바이어스 전력은 300 kHz의 주파수에서 약 10 와트 내지 약 100 와트로 공급될 수 있다. 일 실시예에서, RF 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다. 달리 언급되지 않는 한, 본 개시내용에서 논의되는 프로세스 파라미터들은 직경 200 mm, 직경 300 mm 또는 직경 450 mm 중 하나를 갖는 기판들에 기반한다.
[0050] 동작(508)에서, 증착 가스 혼합물로부터 플라즈마를 형성하는 동안, 기판 지지부, 이를테면, 기판 지지부(104)(도 1)는, 기판이 더욱 균일한 방식으로 플라즈마에 노출되는 것을 보조하기 위해, 연속적인 방식으로 회전될 수 있거나, 또는 180도 회전한 후에 회전 방향을 변화시키는 것과 같이 반대 방향으로 왔다 갔다 할 수 있다. 기판 지지부(104)는 위에서 논의된 분할들의 수에 따라 약 1° 내지 약 360°, 이를테면, 약 30° 내지 약 270°, 예컨대, 약 90° 내지 약 180° 회전할 수 있다. 기판 지지부는 증착 프로세스 전체에 걸쳐 기판을 계속 회전시킬 수 있다.
[0051] 동작(510)에서, 제2 막 층(608)은 원하는 두께로 증착된다. 원하는 두께로의 증착 후에, 증착 프로세스는 그런 다음 종료된다. 일 예에서, 제2 막 층(608)은 약 10 nm 내지 약 60 nm, 이를테면, 약 30 nm의 두께를 가질 수 있다. 제2 막 층(608)이 실리콘 나이트라이드 층인 실시예들에서, 실리콘 나이트라이드 층은 50% 미만의 응력 균일성으로 약 -200 MPa 내지 약 +1200 MPa의 응력 범위를 가질 수 있다. 제2 막 층(608)은 50 ㎛ 미만의 국부적 보우 범위를 가질 수 있다. 본원에서 논의되는 응력 균일성(U%)은, 기판 표면에 걸쳐 측정된 절대 응력 값들의 변화량(Δ)(예컨대, 9 지점들, 49 지점들 또는 69 지점들의 응력 측정으로부터 획득된, 최대 응력 값과 최소 응력 값 사이의 변화량)을, 막 층으로부터 측정된 응력의 평균(σ)(예컨대, 9 지점, 49 지점들 또는 69 지점들의 응력 측정으로부터 획득된 응력 값들로부터의 평균)으로 나눈 것(이를테면, σ/Δ = U%)을 지칭한다는 것이 주목된다.
[0052] 제1 막 층(606) 및 제2 막 층(608)을 형성하기 위한 증착 프로세스들은 막 스택(702)을 형성하기 위해 반복적으로 수행될 수 있으며, 이러한 막 스택(702)은 나중에, 3D NAND 반도체 디바이스들을 위한 계단형 구조들을 형성하도록 패터닝될 수 있다. 도 7은 하나 이상의 실시예들에 따른 계단형 구조(704)의 단면도를 예시한다. 도 7에 도시된 예에서, 막 스택(702)은 위에서 논의된, 제1 막 층(606) 및 제2 막 층(608)의 교번하는 층들(606a, 608a, 606b, 608b, ......, 606n, 608n으로서 도시됨)을 포함할 수 있다. 막 스택(702)은 약 600 nm 내지 약 4000 nm의 총 두께를 가질 수 있다. 막 스택(702)은 총 약 5 내지 90 쌍들의 제1 막 층(606) 및 제2 막 층(608)을 포함할 수 있다. 후속 패터닝 또는 에칭 프로세스에서, 포토레지스트 층이, 3D NAND 반도체 디바이스들을 위한 게이트 구조들을 완성하기 위해 계단형 구조(704)를 위한 에칭 마스크 역할을 하면서 상이한 치수들로 순차적으로 트리밍하는 데 사용될 수 있다.
[0053] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 상에 막 층을 형성하기 위한 방법으로서,
    프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계;
    상기 기판의 응력 프로파일에 따라, 상기 기판 지지부를 향하는 볼록 표면 또는 상기 기판 지지부를 향하는 오목 표면을 갖는 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 상기 프로세스 챔버로 유동시키는 단계;
    상기 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 상기 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 상기 프로세스 챔버에서 상기 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계; 및
    상기 기판 상에 막 층을 증착하기 위해 상기 기판을 회전시키면서 증착 프로세스를 수행하는 단계
    를 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  2. 제1 항에 있어서,
    상기 실리콘 함유 가스는 실란, 디실란, 실리콘 테트라플루오라이드, 실리콘 테트라클로라이드, 디클로르실란(dichlorsilane) 및 테트라에틸 오르쏘실리케이트 중 적어도 하나를 포함하고; 상기 반응 가스는 산소 함유 가스, 질소 함유 가스 및 탄소 함유 가스 중 적어도 하나를 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  3. 제1 항에 있어서,
    상기 증착 프로세스 동안 상기 기판 지지부의 높이를 조정함으로써, 상기 기판 위의 좌우 또는 전후 플라즈마 밀도를 조절하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  4. 제1 항에 있어서,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 제1 밀도를 갖는, 상기 샤워헤드 위에 배치된 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제1 밀도보다 더 낮은 제2 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 제3 밀도를 갖는, 상기 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제3 밀도보다 더 높은 제4 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써,
    상기 차단 플레이트를 통해 상기 증착 가스 혼합물을 전달하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  5. 제1 항에 있어서,
    상기 막 층은 제1 막 층 및 상기 제1 막 층 상에 배치된 제2 막 층을 포함하는 막 스택이고, 상기 제1 막 층과 상기 제2 막 층은 교번적으로 그리고 반복적으로 상기 막 스택에 형성되고, 상기 막 스택은 200 ㎛ 미만의 국부적 보우(bow) 범위를 갖고, 상기 제1 막 층은 실리콘 옥사이드 층이며, 상기 제2 막 층은 실리콘 나이트라이드 층인,
    기판 상에 막 층을 형성하기 위한 방법.
  6. 제1 항에 있어서,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 제1 전극을 제1 임피던스로 유지하고 상기 기판의 내부 존에 있는 제2 전극을 상기 제1 임피던스보다 더 높은 제2 임피던스로 유지함으로써; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 상기 제1 전극을 제3 임피던스로 유지하고 상기 기판의 내부 존에 있는 상기 제2 전극을 상기 제3 임피던스보다 더 낮은 제4 임피던스로 유지함으로써,
    상기 기판 위의 플라즈마 밀도를 조절하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  7. 기판 상에 막 층을 형성하기 위한 방법으로서,
    프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계 ―상기 기판 지지부는 상기 기판의 응력 프로파일에 따라 오목 기판 지지 표면 또는 볼록 기판 지지 표면을 가짐―;
    샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 상기 프로세스 챔버로 유동시키는 단계;
    상기 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 상기 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 상기 프로세스 챔버에서 상기 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계; 및
    상기 기판 상에 막 층을 증착하기 위해 상기 기판을 회전시키면서 증착 프로세스를 수행하는 단계
    를 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  8. 제7 항에 있어서,
    상기 증착 프로세스 동안 상기 기판 지지부의 높이를 조정함으로써, 상기 기판 위의 좌우 또는 전후 플라즈마 밀도를 조절하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  9. 제7 항에 있어서,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 제1 밀도를 갖는, 상기 샤워헤드 위에 배치된 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제1 밀도보다 더 낮은 제2 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 제3 밀도를 갖는, 상기 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제3 밀도보다 더 높은 제4 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써,
    상기 차단 플레이트를 통해 상기 증착 가스 혼합물을 전달하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  10. 제7 항에 있어서,
    상기 막 층은 제1 막 층 및 상기 제1 막 층 상에 배치된 제2 막 층을 포함하는 막 스택이고, 상기 제1 막 층과 상기 제2 막 층은 교번적으로 그리고 반복적으로 상기 막 스택에 형성되고, 상기 막 스택은 200 ㎛ 미만의 국부적 보우 범위를 갖고, 상기 제1 막 층은 실리콘 옥사이드 층이며, 상기 제2 막 층은 실리콘 나이트라이드 층인,
    기판 상에 막 층을 형성하기 위한 방법.
  11. 제7 항에 있어서,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 제1 전극을 제1 임피던스로 유지하고 상기 기판의 내부 존에 있는 제2 전극을 상기 제1 임피던스보다 더 높은 제2 임피던스로 유지함으로써; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 상기 제1 전극을 제3 임피던스로 유지하고 상기 기판의 내부 존에 있는 상기 제2 전극을 상기 제3 임피던스보다 더 낮은 제4 임피던스로 유지함으로써,
    상기 기판 위의 플라즈마 밀도를 조절하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  12. 기판 상에 막 층을 형성하기 위한 방법으로서,
    프로세스 챔버 내의 기판 지지부 상에 기판을 포지셔닝하는 단계 ―상기 기판 지지부는 상기 기판의 응력 프로파일에 따라 오목 기판 지지 표면 또는 볼록 기판 지지 표면을 가짐―;
    상기 기판의 응력 프로파일에 따라, 상기 기판 지지부를 향하는 볼록 표면 또는 상기 기판 지지부를 향하는 오목 표면을 갖는 샤워헤드를 통해, 실리콘 함유 가스 및 반응 가스를 포함하는 증착 가스 혼합물을 상기 프로세스 챔버로 유동시키는 단계;
    상기 샤워헤드의 중심점을 중심으로 대칭적으로 배열된, 상기 샤워헤드의 다수의 커플링 지점들에 RF 전력을 인가함으로써, 상기 프로세스 챔버에서 상기 증착 가스 혼합물의 존재 시 플라즈마를 형성하는 단계; 및
    상기 기판 상에 막 층을 증착하기 위해 상기 기판을 회전시키면서 증착 프로세스를 수행하고, 상기 기판 지지부의 높이를 조정함으로써 상기 기판 위의 좌우 또는 전후 플라즈마 밀도를 조절하는 단계
    를 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  13. 제12 항에 있어서,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 제1 밀도를 갖는, 상기 샤워헤드 위에 배치된 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제1 밀도보다 더 낮은 제2 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 제3 밀도를 갖는, 상기 차단 플레이트의 중심 구역에 있는 애퍼처들, 및 상기 제3 밀도보다 더 높은 제4 밀도를 갖는, 상기 차단 플레이트의 에지 구역에 있는 애퍼처들을 통해 상기 증착 가스 혼합물을 유동시킴으로써,
    상기 차단 플레이트를 통해 상기 증착 가스 혼합물을 전달하는 단계를 더 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
  14. 제12 항에 있어서,
    상기 막 층은 제1 막 층 및 상기 제1 막 층 상에 배치된 제2 막 층을 포함하는 막 스택이고, 상기 제1 막 층과 상기 제2 막 층은 교번적으로 그리고 반복적으로 상기 막 스택에 형성되고, 상기 막 스택은 200 ㎛ 미만의 국부적 보우 범위를 갖고, 상기 제1 막 층은 실리콘 옥사이드 층이며, 상기 제2 막 층은 실리콘 나이트라이드 층인,
    기판 상에 막 층을 형성하기 위한 방법.
  15. 제12 항에 있어서,
    상기 기판 위의 플라즈마 밀도를 조절하는 단계는,
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 높은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 제1 전극을 제1 임피던스로 유지하고 상기 기판의 내부 존에 있는 제2 전극을 상기 제1 임피던스보다 더 높은 제2 임피던스로 유지하는 단계; 또는
    상기 기판의 중심 구역이 상기 기판의 에지 구역에서의 압축 응력보다 더 낮은 압축 응력을 갖는 경우, 상기 기판 지지부의 외부 존에 있는 상기 제1 전극을 제3 임피던스로 유지하고 상기 기판의 내부 존에 있는 상기 제2 전극을 상기 제3 임피던스보다 더 낮은 제4 임피던스로 유지하는 단계
    를 포함하는,
    기판 상에 막 층을 형성하기 위한 방법.
KR1020217017714A 2018-11-30 2019-11-08 3d nand 애플리케이션을 위한 막 스택 오버레이 개선 KR20210087084A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862773522P 2018-11-30 2018-11-30
US62/773,522 2018-11-30
PCT/US2019/060610 WO2020112329A1 (en) 2018-11-30 2019-11-08 Film stack overlay improvement for 3d nand application

Publications (1)

Publication Number Publication Date
KR20210087084A true KR20210087084A (ko) 2021-07-09

Family

ID=70851162

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017714A KR20210087084A (ko) 2018-11-30 2019-11-08 3d nand 애플리케이션을 위한 막 스택 오버레이 개선

Country Status (7)

Country Link
US (1) US11339475B2 (ko)
JP (1) JP2022509636A (ko)
KR (1) KR20210087084A (ko)
CN (1) CN113056807B (ko)
SG (1) SG11202103765SA (ko)
TW (1) TW202029433A (ko)
WO (1) WO2020112329A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11430893B2 (en) 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220109580A (ko) * 2021-01-29 2022-08-05 주성엔지니어링(주) 기판처리장치
KR20220161198A (ko) * 2021-05-28 2022-12-06 매슨 테크놀로지 인크 플라즈마 처리 장치를 위한 정전 척 어셈블리
CN114975053A (zh) * 2021-05-28 2022-08-30 北京屹唐半导体科技股份有限公司 用于等离子体处理设备的静电吸盘组件
WO2023028963A1 (zh) * 2021-09-02 2023-03-09 盛美半导体设备(上海)股份有限公司 薄膜沉积装置及薄膜沉积方法及薄膜沉积设备
CN118318066A (zh) * 2021-11-29 2024-07-09 朗姆研究公司 喷头面板配置
JP7460858B1 (ja) 2023-04-26 2024-04-02 エスケー エンパルス カンパニー リミテッド 上部電極、これを含む半導体素子の製造装置および半導体素子の製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
CN102017176A (zh) * 2008-03-25 2011-04-13 应用材料股份有限公司 结晶太阳能电池的表面清洁与纹理化工艺
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
CN102834930A (zh) * 2010-03-30 2012-12-19 应用材料公司 在扩散p型区域上方形成负电荷钝化层的方法
KR101331420B1 (ko) 2011-03-04 2013-11-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
WO2014052228A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
JP6573325B2 (ja) * 2013-12-17 2019-09-11 東京エレクトロン株式会社 プラズマ密度を制御するシステムおよび方法
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
JP6710204B2 (ja) * 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
KR101929192B1 (ko) 2015-09-22 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 지지 구조들
US20170114462A1 (en) * 2015-10-26 2017-04-27 Applied Materials, Inc. High productivity pecvd tool for wafer processing of semiconductor manufacturing
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
WO2018199507A1 (ko) * 2017-04-25 2018-11-01 (주) 씨앤아이테크놀로지 전자 부품 캐리어 시트, 및 이를 이용한 점착 장치와 박막 형성장치

Also Published As

Publication number Publication date
TW202029433A (zh) 2020-08-01
SG11202103765SA (en) 2021-06-29
WO2020112329A1 (en) 2020-06-04
US11339475B2 (en) 2022-05-24
US20200173022A1 (en) 2020-06-04
CN113056807A (zh) 2021-06-29
JP2022509636A (ja) 2022-01-21
CN113056807B (zh) 2024-03-22

Similar Documents

Publication Publication Date Title
US11339475B2 (en) Film stack overlay improvement
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10373822B2 (en) Gas flow profile modulated control of overlay in plasma CVD films
KR102579241B1 (ko) 리소그래피 오버레이 개선을 위한 반도체 애플리케이션들에 대한 게이트 스택 재료들
US9368364B2 (en) Silicon etch process with tunable selectivity to SiO2 and other materials
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
US11603591B2 (en) Pulsed plasma (DC/RF) deposition of high quality C films for patterning
KR102025441B1 (ko) 증착 후 소프트 어닐링
US10790140B2 (en) High deposition rate and high quality nitride
US11469097B2 (en) Carbon hard masks for patterning applications and methods related thereto
US20240021433A1 (en) Forming a doped hardmask
KR20240056650A (ko) 3d nand를 위한 게르마늄 및 실리콘 스택들
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス

Legal Events

Date Code Title Description
A201 Request for examination