KR20210076166A - Selective deposition of metal silicides and selective oxide removal - Google Patents

Selective deposition of metal silicides and selective oxide removal Download PDF

Info

Publication number
KR20210076166A
KR20210076166A KR1020217017913A KR20217017913A KR20210076166A KR 20210076166 A KR20210076166 A KR 20210076166A KR 1020217017913 A KR1020217017913 A KR 1020217017913A KR 20217017913 A KR20217017913 A KR 20217017913A KR 20210076166 A KR20210076166 A KR 20210076166A
Authority
KR
South Korea
Prior art keywords
substrate
exposing
mosi
precursor
dose
Prior art date
Application number
KR1020217017913A
Other languages
Korean (ko)
Inventor
레이몬드 훙
남성 킴
스리니바스 디. 네마니
엘리 와이. 이에
종 최
크리스토퍼 알스
앤드류 쿠멜
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
더 리젠츠 오브 더 유니버시티 오브 캘리포니아
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/189,429 external-priority patent/US10586707B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드, 더 리젠츠 오브 더 유니버시티 오브 캘리포니아 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210076166A publication Critical patent/KR20210076166A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시내용의 실시예들은 선택적 금속 실리사이드 증착 방법들에 관한 것이다. 일 실시예에서, 실리콘 함유 표면을 갖는 기판이 가열되고, 실리콘 함유 표면은 수소 종결된다. 기판은 MoF6 전구체 및 Si2H6 전구체의 순차적 사이클들에 노출된 다음, 추가 Si2H6 과다 투여 노출이 이어져, 기판의 실리콘 함유 표면 상에 MoSi2를 포함하는 MoSix 재료를 선택적으로 증착한다. 본 명세서에서 설명되는 방법들은 또한 벌크 산화물 재료들을 에칭하지 않고 자연 산화물 재료의 제거를 가능하게 하는 선택적 자연 산화물 제거를 제공한다.Embodiments of the present disclosure relate to selective metal silicide deposition methods. In one embodiment, a substrate having a silicon-containing surface is heated, and the silicon-containing surface is hydrogen terminated. The substrate is exposed to sequential cycles of a MoF 6 precursor and a Si 2 H 6 precursor followed by an additional Si 2 H 6 overdose exposure to selectively deposit a MoSix material comprising MoSi 2 on the silicon-containing surface of the substrate. . The methods described herein also provide selective native oxide removal that enables removal of native oxide material without etching the bulk oxide materials.

Description

금속 실리사이드들의 선택적 증착 및 선택적 산화물 제거Selective deposition of metal silicides and selective oxide removal

[0001] 본 개시내용의 실시예들은 일반적으로 금속 실리사이드 증착 및 선택적 자연(native) 실리콘 산화물 에칭을 위한 방법들에 관한 것이다.[0001] Embodiments of the present disclosure relate generally to methods for metal silicide deposition and selective native silicon oxide etching.

[0002] 나노스케일(nanoscale) 디바이스들 상의 재료들의 정확한 포지셔닝은 차세대 나노전자소자를 위한 원자 스케일 특성들의 조작을 위해 중요하다. 반도체 제작의 경우, 비용, 수율 및 스루풋에 대한 요구를 충족시키기 위해 우수한 등각성과 화학양론(stoichiometry)을 가진 재료들의 세부적인 포지셔닝이 이용된다. MOSFET(metal-oxide-semiconductor field effect transistor)들의 채널 길이들이 계속 축소됨에 따라, 반응성 이온 에칭으로 인한 손상 및 3D(three-dimension) 표면들 상에서의 구조 정렬의 구조적 복잡성과 같은 하향식 프로세스들로부터 발생하는 제약들을 극복하는 것이 바람직하다.[0002] Accurate positioning of materials on nanoscale devices is important for manipulation of atomic-scale properties for next-generation nanoelectronic devices. For semiconductor fabrication, detailed positioning of materials with good conformality and stoichiometry is used to meet the demands on cost, yield and throughput. As the channel lengths of metal-oxide-semiconductor field effect transistors (MOSFETs) continue to shrink, problems resulting from top-down processes such as damage due to reactive ion etching and the structural complexity of structural alignment on three-dimension (3D) surfaces. It is desirable to overcome the constraints.

[0003] 최근에, MOSFET 디바이스들이 3D 구조들(FinFET들)로 제작됨에 따라, 등각의 막 품질을 유지하면서, 나노스케일의 영역 선택적 증착에 대한 관심이 증가해왔다. 영역 선택적 증착에 대한 한 가지 접근 방식은 ALD(atomic layer deposition) 프로세스들과 함께 패시베이션 층들로서 SAM(self-assembled monolayer)들의 이용이다. 패시베이션 층들은 선택성이 얻어질 수 있도록 ALD 전구체들에 대해 반응성인 표면 작용기들을 차단 또는 제거하지만; SAM 접근 방식은 여전히 패시베이션 층의 선택적 증착을 이용한다. 더욱이, 패시베이션 층들은 선택적 증착 후에 선택적으로 제거되는데, 이는 추가 프로세스 복잡성 및 감소된 스루풋을 필요하게 한다.[0003] Recently, as MOSFET devices are fabricated with 3D structures (FinFETs), interest in area selective deposition at the nanoscale while maintaining conformal film quality has increased. One approach to area selective deposition is the use of self-assembled monolayers (SAMs) as passivation layers in conjunction with atomic layer deposition (ALD) processes. The passivation layers block or remove surface functional groups reactive towards ALD precursors so that selectivity can be achieved; The SAM approach still utilizes selective deposition of a passivation layer. Moreover, the passivation layers are selectively removed after selective deposition, which requires additional process complexity and reduced throughput.

[0004] 더욱이, 진보된 선택적 영역 증착을 가능하게 하기 위해서는, 하부 재료들 상의 선택적 증착을 위해 하부 재료들을 노출시키기 위해 자연 산화물 재료들이 제거되어야 한다. 그러나 고급 노드들에서는, 자연 산화물 제거가 점점 복잡해지고, 자연 산화물 재료 외에도 다른 산화물 재료들이 기판 상에 존재할 경우 선택성이 까다롭다.[0004] Moreover, to enable advanced selective area deposition, native oxide materials must be removed to expose underlying materials for selective deposition on the underlying materials. However, at advanced nodes, native oxide removal becomes increasingly complex, and selectivity becomes difficult when other oxide materials are present on the substrate in addition to native oxide material.

[0005] 따라서, 당해 기술분야에 필요한 것은 선택적 재료 증착 및 선택적 산화물 제거를 위한 개선된 방법들이다.[0005] Accordingly, what is needed in the art is improved methods for selective material deposition and selective oxide removal.

[0006] 일 실시예에서, 기판 프로세싱 방법이 제공된다. 이 방법은, 실리콘 함유 표면을 갖는 기판을 제1 온도로 가열하는 단계, 수소를 포함하는 플라즈마에 기판을 노출시키는 단계, MoF6 전구체의 첫 번째 투여(dosage)에 기판을 노출시키는 단계, 및 Si2H6 전구체의 두 번째 투여에 기판을 노출시키는 단계를 포함한다. 첫 번째 투여에 기판을 노출시키는 단계와 두 번째 투여에 기판을 노출시키는 단계는 순차적으로 사이클링되고, 순차적 사이클링 후에, 기판은 Si2H6 전구체의 세 번째 투여에 노출된다.[0006] In one embodiment, a method of processing a substrate is provided. The method comprises heating a substrate having a silicon-containing surface to a first temperature, exposing the substrate to a plasma comprising hydrogen, exposing the substrate to a first dose of a MoF 6 precursor, and Si exposing the substrate to a second dose of 2 H 6 precursor. The exposing the substrate to the first dose and the exposing the substrate to the second dose are cycled sequentially, and after the sequential cycling, the substrate is exposed to a third dose of the Si 2 H 6 precursor.

[0007] 다른 실시예에서, 기판 프로세싱 방법이 제공된다. 이 방법은, 챔버 벽들을 갖는 반응 챔버 내의 히터 상에 기판을 포지셔닝하는 단계, 히터 상의 기판을 제1 온도로 가열하는 단계, 챔버 벽들을 제1 온도보다 낮은 제2 온도로 유지하는 단계, 및 기판의 실리콘 함유 표면을 수소에 노출시키는 단계를 포함한다. 기판이 MoF6 전구체의 첫 번째 투여에 노출되고, 기판이 Si2H6 전구체의 두 번째 투여에 노출되며, 첫 번째 투여에 기판을 노출시키는 것과 두 번째 투여에 기판을 노출시키는 것은 순차적으로 사이클링되고, 순차적 사이클링 후에, 기판은 Si2H6 전구체의 세 번째 투여에 노출된다.[0007] In another embodiment, a method of processing a substrate is provided. The method includes positioning a substrate on a heater in a reaction chamber having chamber walls, heating the substrate on the heater to a first temperature, maintaining the chamber walls at a second temperature less than the first temperature, and the substrate; exposing the silicon-containing surface of the the substrate is exposed to a first dose of the MoF 6 precursor, the substrate is exposed to a second dose of the Si 2 H 6 precursor, exposing the substrate to the first dose and exposing the substrate to the second dose are cycled sequentially and , after sequential cycling, the substrate is exposed to a third dose of Si 2 H 6 precursor.

[0008] 또 다른 실시예에서, 기판 프로세싱 방법이 제공된다. 이 방법은, 기판을 제1 온도로 가열하는 단계, 기판의 실리콘 함유 표면을 수소 함유 플라즈마에 노출시키는 단계, MoF6 전구체의 첫 번째 투여에 기판을 노출시키는 단계, 및 Si2H6 전구체의 두 번째 투여에 기판을 노출시키는 단계를 포함한다. 첫 번째 투여에 기판을 노출시키는 단계와 두 번째 투여에 기판을 노출시키는 단계는 순차적으로 사이클링되고, 순차적 사이클링 후에, 기판은 Si2H6 전구체의 세 번째 투여에 노출되며, 기판을 세 번째 투여에 노출시킨 후 약 500℃ 내지 약 550℃의 제2 온도에서 기판이 어닐링된다.[0008] In another embodiment, a method of processing a substrate is provided. The method comprises heating a substrate to a first temperature, exposing a silicon-containing surface of the substrate to a hydrogen-containing plasma, exposing the substrate to a first dose of a MoF 6 precursor, and two of a Si 2 H 6 precursor. exposing the substrate to the second administration. The exposing the substrate to the first dose and the exposing the substrate to the second dose are cycled sequentially, and after sequential cycling, the substrate is exposed to a third dose of the Si 2 H 6 precursor, and the substrate is subjected to the third dose. After exposure, the substrate is annealed at a second temperature of from about 500° C. to about 550° C.

[0009] 특허 또는 출원 파일은 컬러로 작도된 적어도 하나의 도면을 포함한다. 컬러 도면(들)을 갖는 이 특허 또는 특허 출원 공보의 사본들은 필요한 비용의 요청 및 지불 시 관청에서 제공된다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 단지 예시적인 실시예들만을 예시하는 것이며 따라서 그 범위를 제한하는 것으로 간주되지 않아야 하고, 다른 동등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0011] 도 1a는 본 명세서에서 설명되는 실시예에 따른 실리콘 기판 상의 MoSix 막 선택성의 XPS(X-ray photoelectron spectroscopy) 데이터를 예시한다.
[0012] 도 1b는 본 명세서에서 설명되는 실시예에 따른 실리콘 산질화물 기판 상의 MoSix 막 선택성의 XPS 데이터를 예시한다.
[0013] 도 2a는 본 명세서에서 설명되는 실시예에 따른 실리콘 기판 상의 Si 및 Mo의 XPS 산화 상태 데이터를 예시한다.
[0014] 도 2b는 본 명세서에서 설명되는 실시예에 따른 실리콘 기판 상의 Si 및 Mo의 XPS 산화 상태 데이터를 예시한다.
[0015] 도 3a는 본 명세서에서 설명되는 실시예에 따라 ALD 프로세싱 이전에 서로 다른 기판 타입들에 존재하는 다양한 엘리먼트들의 XPS 화학 조성 데이터를 예시한다.
[0016] 도 3b는 본 명세서에서 설명되는 실시예에 따른 5회의 ALD 사이클들 이후 서로 다른 기판 타입들에 존재하는 다양한 엘리먼트들의 XPS 화학 조성 데이터를 예시한다.
[0017] 도 3c는 본 명세서에서 설명되는 실시예에 따른 추가 ALD 사이클들 이후 서로 다른 기판 타입들에 존재하는 다양한 엘리먼트들의 XPS 화학 조성 데이터를 예시한다.
[0018] 도 4a는 본 명세서에서 설명되는 실시예에 따라 ALD 프로세싱 이전에 서로 다른 타입들의 기판 상에 존재하는 다양한 엘리먼트들의 XPS 화학 조성 데이터를 예시한다.
[0019] 도 4b는 본 명세서에서 설명되는 실시예에 따른 5회의 ALD 사이클들 이후 서로 다른 기판 타입들에 존재하는 다양한 엘리먼트들의 XPS 화학 조성 데이터를 예시한다.
[0020] 도 4c는 본 명세서에서 설명되는 실시예에 따른 어닐링 프로세스 이후 도 4b의 기판들의 XPS 화학 조성 데이터를 예시한다.
[0021] 도 5a는 본 명세서에서 설명되는 실시예에 따른 Ar 스퍼터링 이후 MoSix 막의 XPS 깊이 프로파일링 데이터를 예시한다.
[0022] 도 5b는 본 명세서에서 설명되는 실시예에 따른 MoSix 막의 XPS 화학 조성 데이터를 예시한다.
[0023] 도 5c는 본 명세서에서 설명되는 실시예에 따라 시간 대비 MoSix 막의 화학 조성을 나타내는 데이터를 예시한다.
[0024] 도 6a는 본 명세서에서 설명되는 실시예에 따른 Ar 스퍼터링 이후 MoSix 막의 XPS 깊이 프로파일링 데이터를 예시한다.
[0025] 도 6b는 본 명세서에서 설명되는 실시예에 따른 MoSix 막의 표면 조성 데이터를 예시한다.
[0026] 도 6c는 본 명세서에서 설명되는 실시예에 따른 도 6b의 MoSix 막의 벌크 조성 데이터를 예시한다.
[0027] 도 6d는 본 명세서에서 설명되는 실시예에 따라 시간 대비 MoSix 막의 화학 조성을 나타내는 데이터를 예시한다.
[0028] 도 7은 본 명세서에서 설명되는 실시예에 따라 기판 상에 존재하는 다른 재료에 우선하여 실리콘 상에 선택적으로 증착된 MoSix 막의 단면 TEM(tunneling electron micrograph)이다.
[0029] 도 8은 본 명세서에서 설명되는 실시예에 따른 자연 실리콘 산화물 대 벌크 실리콘 산화물의 선택적 에칭을 예시하는 그래프이다.
[0030] 도 9는 본 명세서에서 설명되는 실시예에 따른 접촉 구조의 일부의 개략적인 단면 예시이다.
[0031] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트(element)들을 가리키는 데, 가능한 경우, 동일한 참조 부호들이 사용되었다. 한 실시예의 엘리먼트들 및 특징들은 추가 언급 없이 다른 실시예들에 유리하게 포함될 수 있다는 것이 고려된다.
[0009] A patent or application file contains at least one drawing drawn in color. Copies of this patent or patent application publication with color drawing(s) are provided by the Office upon request and payment of the necessary fees.
[0010] In such a way that the above-listed features of the present disclosure may be understood in detail, a more specific description of the present disclosure, briefly summarized above, may be made with reference to embodiments, some of which are appended It is illustrated in the drawings. It should be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of their scope, as they may admit to other equally effective embodiments.
1A illustrates X-ray photoelectron spectroscopy (XPS) data of MoSi x film selectivity on a silicon substrate according to an embodiment described herein.
1B illustrates XPS data of MoSi x film selectivity on a silicon oxynitride substrate according to an embodiment described herein.
2A illustrates XPS oxidation state data of Si and Mo on a silicon substrate according to an embodiment described herein.
2B illustrates XPS oxidation state data of Si and Mo on a silicon substrate according to an embodiment described herein.
3A illustrates XPS chemical composition data of various elements present in different substrate types prior to ALD processing in accordance with an embodiment described herein;
3B illustrates XPS chemical composition data of various elements present in different substrate types after five ALD cycles according to an embodiment described herein;
3C illustrates XPS chemical composition data of various elements present in different substrate types after additional ALD cycles according to an embodiment described herein;
4A illustrates XPS chemical composition data of various elements present on different types of substrates prior to ALD processing in accordance with an embodiment described herein;
4B illustrates XPS chemical composition data of various elements present in different substrate types after five ALD cycles according to an embodiment described herein;
4C illustrates XPS chemical composition data of the substrates of FIG. 4B after an annealing process according to an embodiment described herein.
5A illustrates XPS depth profiling data of a MoSi x film after Ar sputtering according to an embodiment described herein.
5B illustrates XPS chemical composition data of a MoSi x film according to an embodiment described herein.
5C illustrates data representing the chemical composition of a MoSi x film versus time in accordance with an embodiment described herein.
6A illustrates XPS depth profiling data of a MoSi x film after Ar sputtering according to an embodiment described herein.
6B illustrates surface composition data of a MoSi x film according to an embodiment described herein.
6C illustrates bulk composition data of the MoSi x film of FIG. 6B according to an embodiment described herein.
6D illustrates data representing the chemical composition of a MoSi x film versus time according to an embodiment described herein.
7 is a cross-sectional tunneling electron micrograph (TEM) of a MoSi x film selectively deposited on silicon in preference to other materials present on the substrate in accordance with an embodiment described herein.
8 is a graph illustrating selective etching of native silicon oxide versus bulk silicon oxide according to an embodiment described herein.
9 is a schematic cross-sectional illustration of a portion of a contact structure according to an embodiment described herein;
To facilitate understanding, like reference numerals have been used, where possible, to refer to like elements that are common to the drawings. It is contemplated that elements and features of one embodiment may be advantageously incorporated in other embodiments without further recitation.

[0032] 본 명세서에서 설명되는 실시예들은 영역 선택적 증착을 위해 ALD 전구체들의 기판 의존적 반응성을 이용하는 방법들을 포함한다. 보다 구체적으로, 본 개시내용의 실시예들은 MoF6 및 Si2H6의 기판 선택성을 사용하여 SiO2, SiON 및 SiNx에 우선하여 Si 상에 MoSix를 선택적으로 증착하는 것에 관한 것이다. 화학양론적 MoSi2 막을 얻기 위해, Mo가 풍부한 MoSix 막에 Si2H6를 투여(dose)함으로써 MoF6 및 Si2H6 ALD 사이클들 이후 막으로의 추가 Si 혼입(incorporation)이 수행되었다. 본 명세서에서 설명되는 방법들은 또한 벌크 산화물 재료들을 에칭하지 않고 자연 산화물 재료의 제거를 가능하게 하는 선택적 자연 산화물 제거를 제공한다.[0032] Embodiments described herein include methods of utilizing the substrate dependent reactivity of ALD precursors for area selective deposition. More specifically, embodiments of the present disclosure relate to the selective deposition of MoS x on Si in preference to SiO 2 , SiON and SiN x using substrate selectivity of MoF 6 and Si 2 H 6 . To obtain a stoichiometric MoSi 2 film, further Si incorporation into the film was performed after MoF 6 and Si 2 H 6 ALD cycles by dosing Si 2 H 6 to the Mo-rich MoSi x film. The methods described herein also provide selective native oxide removal that enables removal of native oxide material without etching the bulk oxide materials.

[0033] 약 120℃의 온도에서 MoF6 및 Si2H6 전구체들을 사용하여 ALD(atomic layer deposition)를 통해 SiO2 및 SiNx에 우선하여 Si 상에 MoSix를 상당히 선택적으로 증착하는 것이 이루어졌다. 증착 선택성은 반응물들(MoF6 및 Si2H6)과 SiO2 및 SiNx 함유 기판들 간의 화학적 반응성의 결여로 인해 가능해졌다. 반면에, 자기 제한 방식으로 H 종결(H-terminated) Si 상에 MoF6가 응집되었고, 후속 Si2H6 노출이 MoFx를 Mo-Si 결합 형성과 일치하는 Mo0로 환원시켰다.[0033] A fairly selective deposition of MoS x on Si in preference to SiO 2 and SiN x via atomic layer deposition (ALD) using MoF 6 and Si 2 H 6 precursors at a temperature of about 120 °C was achieved . Deposition selectivity was made possible due to the lack of chemical reactivity between the reactants (MoF 6 and Si 2 H 6 ) and the SiO 2 and SiN x containing substrates. On the other hand, MoF 6 aggregated on H-terminated Si in a self-limiting manner, and subsequent Si 2 H 6 exposure reduced MoF x to Mo 0 consistent with Mo-Si bond formation.

[0034] XPS(X-Ray photoelectron spectroscopy)는, MoF6 및 Si2H6의 5회의 ALD 사이클들이 Si 기판 상에 반화학양론적(sub-stoichiometric) MoSi2 막을 선택적으로 증착했음을 드러냈다. ALD 프로세스에서, MoF6 및 Si2H6 전구체들은 각각의 연속적인 전구체 노출 사이에서 퍼지와 함께 반복하는 방식으로 순차적으로 사이클링되었다. 반화학양론적 MoSi2 막에 대한 추가 Si2H6 투여들은 SiO2 및 SiNx에 대한 증착 선택성을 방해하지 않으면서 더 많은 Si를 막에 혼입하였다. 일 실시예에서, MoSix 막의 벌크는 약 10% 미만의 F 및 O 불순물들과 함께 약 1.7 내지 약 1.9의 Si:Mo 비를 갖는다. 본 명세서에서 설명되는 실시예들은 예를 들어, 소스/드레인 접촉 구조들의 형성에서 실리사이드 재료들의 형성을 위해 종래의 고압 Si ALD 사이클들보다 유리한 것으로 여겨진다.[0034] X-Ray photoelectron spectroscopy (XPS) revealed that 5 ALD cycles of MoF 6 and Si 2 H 6 selectively deposited a sub-stoichiometric MoSi 2 film on the Si substrate. In the ALD process, MoF 6 and Si 2 H 6 precursors were cycled sequentially in an iterative fashion with a purge between each successive precursor exposure. Additional Si 2 H 6 doses to the semi-stoichiometric MoSi 2 film incorporated more Si into the film without disturbing the deposition selectivity to SiO 2 and SiN x . In one embodiment, the bulk of the MoSi x film has a Si:Mo ratio of from about 1.7 to about 1.9 with less than about 10% F and O impurities. Embodiments described herein are believed to be advantageous over conventional high pressure Si ALD cycles for the formation of silicide materials, for example in the formation of source/drain contact structures.

[0035] 본 명세서에서 설명되는 실시예들에 따라, 3D(three-dimensional) 나노스케일 SiO2 및 SiNx 피처들을 포함하는 패터닝된 Si 기판 상에서 MoSix의 증착 선택성이 분석되었다. 단면 TEM(transmission electron microscopy)은 나노스케일 3D 구조들 상에서 선택적 MoSix 증착이 달성되었음을 나타낸다. 일 실시예에서, 약 10개 미만의 핵들/㎛2가 SiO2 상에 존재했는데; SiO2는 대략 107/㎛2 OH 기(OH group)들을 갖기 때문에, 이는 SiO2 상의 OH 기들과 Si 상의 Si-H 기들 간의 약 107:1의 선택성에 대응한다. 따라서 실리사이드 증착을 위한 기판 의존적 선택성은 패시번트(passivant)(즉, SAM들) 이용의 제거를 가능하게 한다고 여겨진다.[0035] In accordance with embodiments described herein, the deposition selectivity of MoS x on a patterned Si substrate comprising three-dimensional (3D) nanoscale SiO 2 and SiN x features was analyzed. Cross-sectional transmission electron microscopy (TEM) shows that selective MoSi x deposition has been achieved on nanoscale 3D structures. In one embodiment, less than about 10 nuclei/μm 2 were present on SiO 2 ; Since SiO 2 has approximately 10 7 /μm 2 OH groups, this corresponds to a selectivity of about 10 7 :1 between OH groups on SiO 2 and Si—H groups on Si. It is therefore believed that the substrate dependent selectivity for silicide deposition allows for the elimination of the use of a passive (ie, SAMs).

실험Experiment

[0036] 본 명세서에서 설명되는 MoSix 실리사이드 형성 프로세스들에 다양한 기판 타입들이 이용되었다. 네 가지 타입들의 기판들: P형 Si(100), Si(100) 상의 열 성장된 SiO2, SiON, 및 단일 기판 상에 Si, SiO2 및 SiNx 재료 표면들을 갖는 패터닝된 기판들이 이용되었다. 본 명세서에서 설명되는 SiON(실리콘 산질화물)은 달리 언급되지 않는 한 Si3N4인데, 여기에는 제작 중에 산소에서 반응성 이온 에칭 및 플라즈마 회분화(ashing)가 가해졌다. 따라서 SiON 기판은 통합된 3D 나노스케일 디바이스들에서의 프로세싱 이후 Si3N4의 조건들과 유사한 산소를 함유한다.[0036] Various substrate types were used in the MoSi x silicide formation processes described herein. Four types of substrates were used: P-type Si(100), thermally grown SiO 2 on Si(100), SiON, and patterned substrates with Si, SiO 2 and SiN x material surfaces on a single substrate. The SiON (silicon oxynitride) described herein is Si 3 N 4 unless otherwise noted, which has been subjected to reactive ion etching in oxygen and plasma ashing during fabrication. The SiON substrate thus contains oxygen similar to the conditions of Si 3 N 4 after processing in integrated 3D nanoscale devices.

[0037] 기판들은 12㎜ × 3㎜의 조각들로 다이싱되어 아세톤, 메탄올 및 Di(deionized) H2O로 탈지되었다. 탈지된 기판들을 30초 동안 0.5% HF(aq) 용액에 담금으로써 Si 상의 자연 산화물이 제거되었다. 세정 프로시저의 일관성을 위해, SiO2, SiON 및 패터닝된 기판들에 동일한 세정 프로시저가 가해졌다. 특정 실시예들에서, 자연 산화물 제거 프로세스는 California, Santa Clara 소재의 Applied Materials, Inc.로부터 입수 가능한 SICONI® 사전 세정 프로세스이다.[0037] Substrates were diced into pieces of 12 mm × 3 mm and degreased with acetone, methanol and Di(deionized) H 2 O. Native oxides on Si were removed by immersing the degreased substrates in 0.5% HF(aq) solution for 30 seconds. For consistency of the cleaning procedure, the same cleaning procedure was applied to SiO 2 , SiON and patterned substrates. In certain embodiments, the native oxide removal process is a SICONI ® pre-clean process available from Applied Materials, Inc. of Santa Clara, California.

[0038] 플라즈마 기반의 자연 산화물 제거 프로세스가 이용될 수 있다는 것이 또한 고려된다. 예를 들어, NF3/H2 및/또는 NF3/NH3 플라즈마 세정 프로세스가 기판들의 실리콘 함유 표면을 세정하고 수소 종결하는 데 이용될 수 있다. SiON 기판들 상에서, NF3 플라즈마 처리는 활성 하이드록실 핵 형성 부위들을 패시베이팅함으로써 증착 선택성 손실을 방지하거나 실질적으로 감소시키는 것으로 여겨진다.[0038] It is also contemplated that a plasma-based native oxide removal process may be used. For example, a NF 3 /H 2 and/or NF3/NH3 plasma cleaning process may be used to clean and hydrogen terminate the silicon-containing surface of the substrates. On SiON substrates, NF 3 plasma treatment is believed to prevent or substantially reduce deposition selectivity loss by passivating active hydroxyl nucleation sites.

[0039] 도 8은 플라즈마 프로세싱 중에 시간의 함수로서 자연 실리콘 산화물과 벌크 실리콘 산화물 두께의 선택적 에칭률들을 예시하는 그래프(800)이다. 데이터(802)는 NF3/NH3 플라즈마에 노출될 때의 벌크 실리콘 산화물 두께를 나타낸다. 데이터(804)는 NF3/NH3 플라즈마에 노출될 때의 자연 실리콘 산화물 두께를 나타낸다. 시간(806)은 NF3/NH3 플라즈마가 켜지는 시점을 나타내고 시간(808)은 NF3/NH3 플라즈마가 꺼지는 시점을 나타낸다.8 is a graph 800 illustrating selective etch rates of native silicon oxide and bulk silicon oxide thickness as a function of time during plasma processing. Data 802 represents bulk silicon oxide thickness when exposed to NF 3 /NH 3 plasma. Data 804 represents native silicon oxide thickness when exposed to NF 3 /NH 3 plasma. Time 806 indicates a time when the NF 3 /NH 3 plasma is turned on and time 808 indicates a time when the NF 3 /NH 3 plasma is turned off.

[0040] 일 실시예에서, 벌크 실리콘 산화물에 대해 선택적으로 자연 실리콘 산화물의 선택적 에칭을 위한 플라즈마가 프로세싱 챔버에서 인-시튜(in-situ) 형성된다. 대안으로, 벌크 실리콘 산화물에 대해 선택적으로 자연 실리콘 산화물의 선택적 에칭을 위한 플라즈마가 프로세싱 챔버로 전달되기 전에 예를 들어, 원격 플라즈마 소스에 의해 원격으로 형성된다. 플라즈마를 형성하는 데 이용되는 전구체들은 NF3 및 NH3를 포함한다. 일 실시예에서, Ar과 같은 불활성 캐리어 가스가 자연 실리콘 산화물의 선택적인 제거를 위해 기판으로의 활성 종의 전달을 가능하게 하는 데 이용된다.[0040] In one embodiment, a plasma for selective etching of native silicon oxide selectively relative to bulk silicon oxide is formed in-situ in a processing chamber. Alternatively, a plasma for selective etching of native silicon oxide selectively relative to bulk silicon oxide is formed remotely, eg, by a remote plasma source, before being delivered to the processing chamber. Precursors used to form the plasma include NF 3 and NH 3 . In one embodiment, an inert carrier gas, such as Ar, is used to facilitate the transfer of active species to the substrate for the selective removal of native silicon oxide.

[0041] 일 실시예에서, NF3:NH3의 비는 약 1:5 내지 약 1:20, 이를테면 약 1:10이다. Ar 캐리어 가스를 이용하는 실시예들에서, Ar은 NF3보다 많지만 NH3보다 적은 양으로 제공된다. 예를 들어, NF3:NH3:Ar의 비는 1:10:1.5이다. 선택적 자연 산화물 제거 프로세스가 수행되는 프로세스 챔버 환경의 압력은 약 10mTorr 내지 약 1,000mTorr, 이를테면 약 100mTorr 내지 약 500mTorr, 예를 들어 약 200mTorr이다. 일 실시예에서, 압력은 약 190mTorr이다. 플라즈마를 생성하는 데 이용되는 전력은 약 10W 내지 약 500W, 예를 들어 약 50W 내지 약 250W, 이를테면 약 100W이다. 자연 산화물 제거 프로세스가 수행되는 환경의 온도는 약 30℃ 내지 약 70℃, 이를테면 약 40℃ 내지 약 50℃, 예를 들어 약 45℃이다.In one embodiment, the ratio of NF 3 :NH 3 is from about 1:5 to about 1:20, such as about 1:10. In embodiments using an Ar carrier gas, Ar is provided in an amount greater than NF 3 but less than NH 3 . For example, the ratio of NF 3 :NH 3 :Ar is 1:10:1.5. The pressure of the process chamber environment in which the selective native oxide removal process is performed is between about 10 mTorr and about 1,000 mTorr, such as between about 100 mTorr and about 500 mTorr, such as about 200 mTorr. In one embodiment, the pressure is about 190 mTorr. The power used to generate the plasma is from about 10 W to about 500 W, such as from about 50 W to about 250 W, such as about 100 W. The temperature of the environment in which the native oxide removal process is performed is from about 30°C to about 70°C, such as from about 40°C to about 50°C, such as about 45°C.

[0042] 시간(806)에서, 플라즈마가 개시되며 자연 실리콘 산화물(804)은 자연 실리콘 산화물 재료의 두께의 감소로 예시된 두께 감소를 경험한다. 일 실시예에서, 플라즈마 프로세스는 1분 미만, 예를 들어 40초 미만, 이를테면 약 15초 내지 약 30초의 시간량 동안 수행된다. 1분 이하의 플라즈마 노출 동안, 자연 실리콘 산화물(804)이 에칭되는 한편, 벌크 실리콘 산화물은 실질적으로 두께 감소를 경험하지 않으며, 이는 벌크 실리콘 산화물에 우선하여 자연 실리콘 산화물의 제거를 위한 고도의 선택성을 나타낸다. 자연 산화물 제거 프로세스는 또한, 자연 실리콘 산화물이 실리콘 질화물에 우선하여 제거되도록 실리콘 질화물 재료들에 대해 선택적이라는 점이 또한 고려된다.[0042] At time 806 , a plasma is initiated and native silicon oxide 804 experiences a decrease in thickness exemplified by a decrease in the thickness of native silicon oxide material. In one embodiment, the plasma process is performed for an amount of time of less than 1 minute, such as less than 40 seconds, such as from about 15 seconds to about 30 seconds. During sub-minute plasma exposure, native silicon oxide 804 is etched, while bulk silicon oxide experiences substantially no thickness reduction, which gives a high degree of selectivity for removal of native silicon oxide in preference to bulk silicon oxide. indicates. It is also contemplated that the native oxide removal process is also selective for silicon nitride materials such that native silicon oxide is removed in preference to silicon nitride.

[0043] 자연 실리콘 산화물의 선택적 제거 이후 기판의 원자력 현미경 분석은, (자연 실리콘 산화물이 제거된) 노출된 실리콘 표면들이 옹스트롬 미만(sub-angstrom)의 표면 거칠기를 나타냈음을 드러냈다. 실리콘 재료의 에칭이 표면을 거칠게 할 것으로 예상될 것이므로, 이러한 거칠기는 자연 산화물 제거 이후 하부 실리콘 재료의 에칭이 전혀 없거나 실질적으로 없는 것과 일치한다.[0043] Atomic force microscopy analysis of the substrate after selective removal of native silicon oxide revealed that the exposed silicon surfaces (with native silicon oxide removed) exhibited sub-angstrom surface roughness. As etching of the silicon material would be expected to roughen the surface, this roughness is consistent with no or substantially no etching of the underlying silicon material after native oxide removal.

[0044] 특정 실시예들에서, 선택적 자연 산화물 제거 프로세스를 수행한 후 (NH4)2)SiF6 염(salt)과 같은 잔류 재료가 기판 상에 남을 수 있다. 염을 제거하기 위해, 선택적 어닐링 프로세스가 수행된다. 일 실시예에서, 어닐링 프로세스는 약 80℃ 내지 약 160℃, 이를테면 약 100℃ 내지 약 140℃, 예를 들어 약 120℃이다. 어닐링은 예를 들어, 기판의 실리콘 표면과 같은 표면으로부터 염을 휘발시킴으로써 염을 제거하는 것으로 여겨진다. In certain embodiments, residual material such as (NH 4 ) 2 )SiF 6 salt may remain on the substrate after performing the selective native oxide removal process. To remove the salt, a selective annealing process is performed. In one embodiment, the annealing process is from about 80°C to about 160°C, such as from about 100°C to about 140°C, such as about 120°C. Annealing is believed to remove salts by volatilizing the salts from a surface, such as, for example, the silicon surface of a substrate.

[0045] 도 9는 본 명세서에서 설명되는 실시예에 따라 접촉 구조(910)가 위에 형성된 기판(900)의 개략적인 단면 예시이다. 기판(900)은 실리콘 재료 막(902) 및 실리콘 재료 막(902) 상에 형성된 벌크 실리콘 산화물 재료(904)를 포함한다. 실리콘 재료 막(902)의 표면(906) 상에 접촉 구조들(910)이 형성된다. 표면(906)은 선택적 자연 산화물 제거 이전에, 그 위에 자연 산화물 박막이 형성된다. 앞서 설명한 실시예들을 이용하면, 벌크 실리콘 산화물(904) 또는 하부 실리콘 막 재료(902)를 실질적으로 변경하거나 제거하지 않으면서 자연 산화물이 표면(906)으로부터 제거된다.[0045] 9 is a schematic cross-sectional illustration of a substrate 900 having a contact structure 910 formed thereon in accordance with an embodiment described herein. The substrate 900 includes a silicon material film 902 and a bulk silicon oxide material 904 formed on the silicon material film 902 . Contact structures 910 are formed on the surface 906 of the silicon material film 902 . Surface 906 is formed with a native oxide thin film thereon prior to selective native oxide removal. Using the embodiments described above, native oxide is removed from surface 906 without substantially altering or removing bulk silicon oxide 904 or underlying silicon film material 902 .

[0046] 표면(906) 상에 형성된 접촉 구조들(910)은 게이트 산화물(914), 스페이서들(918) 및 캡(920)에 의해 경계가 이루어지는 게이트(916)를 포함한다. 일 실시예에서, 게이트(916)는 금속 함유 재료이다. 스페이서들(918) 및 캡(920)은 실리콘 질화물 재료와 같은 질화물 함유 재료를 포함한다. 접촉 구조(910)의 형성 이전 또는 이후에, 본 명세서에서 설명되는 선택적 자연 산화물 제거 프로세스들을 이용하는 것은 후속 금속 증착을 위한 표면(906)의 준비를 가능하게 한다. 인접한 접촉 구조들(910) 사이에 형성된 채널(912)에서의 금속 증착은 표면(906)으로부터 캡(920)을 향해 연장된다. 표면(906)으로부터 자연 산화물을 선택적으로 제거함으로써, 하부 실리콘 재료 막(902)에 대한 금속 접착이 개선된다.[0046] Contact structures 910 formed on surface 906 include gate 916 bounded by gate oxide 914 , spacers 918 , and cap 920 . In one embodiment, the gate 916 is a metal-containing material. Spacers 918 and cap 920 include a nitride containing material, such as a silicon nitride material. Prior to or after formation of contact structure 910 , using the selective native oxide removal processes described herein enables preparation of surface 906 for subsequent metal deposition. Metal deposition in channel 912 formed between adjacent contact structures 910 extends from surface 906 towards cap 920 . By selectively removing native oxide from surface 906 , metal adhesion to underlying silicon material film 902 is improved.

[0047] 자연 산화물 제거 후에, 기판들은 고순도 N2 가스를 사용하여 송풍 건조되었다. Si, SiO2, SiON 및 패터닝된 기판이 단일 기판 홀더 상에 함께 로딩되어 기판들을 동일한 ALD 조건들에 노출했다. 기판들은, 터보 분자 펌프에 의해 펌핑되고 기계식 펌프로 지지(back)되는 로드락 챔버에 로딩되었다. 로드락의 기본 압력은 약 2.0×10-7Torr였다. 후속하여, 기판들은 이온 펌프 및 티타늄 승화 펌프에 의해 펌핑되는 약 3.0×10-10Torr의 기본 압력을 갖는 초-고진공 챔버로 인-시튜 이송되었다. 초-고진공 챔버에는 단색 XPS 장치, STM(scanning tunneling microscope), 및 PBN(pyrolytic boron nitride) 히터를 사용하는 어닐링 시스템이 장착되었다.After native oxide removal, the substrates were blow dried using high purity N 2 gas. Si, SiO 2 , SiON and the patterned substrate were loaded together on a single substrate holder to expose the substrates to the same ALD conditions. The substrates were loaded into a load lock chamber pumped by a turbo molecular pump and backed by a mechanical pump. The base pressure of the load lock was about 2.0×10 -7 Torr. Subsequently, the substrates were transferred in-situ to an ultra-high vacuum chamber with a base pressure of about 3.0×10 −10 Torr pumped by an ion pump and a titanium sublimation pump. The ultra-high vacuum chamber was equipped with a monochromatic XPS apparatus, a scanning tunneling microscope (STM), and an annealing system using a pyrolytic boron nitride (PBN) heater.

[0048] 기판들은 처음에 초-고진공 챔버 내에서 120℃로 어닐링되었고, 기판들의 화학 조성은 XPS를 사용하여 측정되었다. 기판들은 약 5.0×10-7Torr의 기본 압력을 갖는 반응 챔버로 인-시튜 이송되었다. MoSix 증착을 위해, MoF6(99% 순도) 및 Si2H6(99.99% 순도) 전구체들이 이용되었다.[0048] The substrates were initially annealed at 120° C. in an ultra-high vacuum chamber, and the chemical composition of the substrates was measured using XPS. The substrates were transferred in-situ to a reaction chamber with a base pressure of about 5.0×10 −7 Torr. For MoSi x deposition, MoF 6 (99% pure) and Si 2 H 6 (99.99% pure) precursors were used.

[0049] ALD 사이클들 동안, N2의 일정한 퍼지(80mTorr)가 사용되었고, 이 퍼지의 압력은 누설 밸브를 사용하여 제어되었다. MoF6 및 Si2H6 투여들은 공압 밸브들을 사용하여 조절되었다. MoF6 및 Si2H6 투여들을 위해 확장 볼륨이 이용되었다. 확장 볼륨의 이용은, 2차 볼륨을 MoF6 또는 Si2H6로 채우고 전구체들을 이들 각각의 2차 볼륨들로부터 투여하는 것을 포함한다. MoF6에 대한 채움 시간은 약 10㎳ 내지 약 100㎳, 이를테면 약 40㎳였다. MoF6에 대한 투여 시간은 약 10㎳ 내지 약 100㎳, 이를테면 약 50㎳였다. Si2H6에 대한 채움 시간은 약 1㎳ 내지 약 50㎳, 이를테면 약 18㎳였다. Si2H6에 대한 투여 시간은 약 1㎳ 내지 약 50㎳, 이를테면 약 18㎳였다.[0049] During the ALD cycles, a constant purge of N 2 (80 mTorr) was used, and the pressure of this purge was controlled using a leak valve. MoF 6 and Si 2 H 6 doses were controlled using pneumatic valves. An expanded volume was used for MoF 6 and Si 2 H 6 doses. Use of the expansion volume involves filling the secondary volume with MoF 6 or Si 2 H 6 and administering precursors from their respective secondary volumes. The fill time for MoF 6 was from about 10 ms to about 100 ms, such as about 40 ms. Dosing times for MoF 6 were from about 10 ms to about 100 ms, such as about 50 ms. The fill time for Si 2 H 6 was from about 1 ms to about 50 ms, such as about 18 ms. The dosing time for Si 2 H 6 was about 1 ms to about 50 ms, such as about 18 ms.

[0050] MoF6 및 Si2H6의 노출들은 Langmuirs(L)에 관해 계산되었으며, 여기서 1L = 1 × 10-6Torr × 1sec이다. 노출들 도중 압력 스파이크들이 반응 챔버에서 컨벡트론 게이지(convectron gauge)를 사용하여 모니터링되었다. 투여들은 MoF6에 대해 약 1.8MegaL 그리고 Si2H6에 대해 약 4.2MegaL이었으며, 투여들 사이에 2분 대기 시간이 있었다. 기판들은 PBN 히터를 사용하여 가열되었고, 온도는 약 100℃ 내지 약 150℃, 이를테면 약 120℃의 온도로 유지되었다. 챔버 벽들은 약 65℃ 내지 약 85℃의 온도로 유지되었다. 일 실시예에서, MoF6 투여들은 약 1.0MegaL 내지 약 10MegaL이었다. 다른 실시예에서, Si2H6 투여들은 약 1.0MegaL 내지 약 10MegaL이었다.Exposures of MoF 6 and Si 2 H 6 were calculated for Langmuirs (L), where 1 L = 1 × 10 -6 Torr × 1 sec. Pressure spikes during exposures were monitored using a convectron gauge in the reaction chamber. The doses were about 1.8 MegaL for MoF 6 and about 4.2 MegaL for Si 2 H 6 , with a 2 minute waiting time between doses. The substrates were heated using a PBN heater, and the temperature was maintained at a temperature of about 100°C to about 150°C, such as about 120°C. The chamber walls were maintained at a temperature of about 65°C to about 85°C. In one embodiment, the MoF 6 doses were between about 1.0 MegaL and about 10 MegaL. In another embodiment, the Si 2 H 6 doses were from about 1.0 MegaL to about 10 MegaL.

[0051] 증착 사이클들 이후, 기판들은 STM 및 XPS 분석을 위해 초-고진공 챔버에 인-시튜 이송되었다. XPS 측정을 위해, Al Kα 애노드에 의해 X선들(1486.7eV)이 생성되었다. XPS 데이터는 스텝 폭이 0.1eV이고 통과 에너지가 50eV인 CAE(constant analyzer-energy)를 사용하여 획득되었다. XPS 검출기는 7°의 검출기 수용 각도로 기판 법선에 대해 60°(기판 표면으로부터 30° 이륙 각도)로 포지셔닝되었다. XPS 스펙트럼들은 Casa XPS v.2.3 프로그램을 사용하여 각각의 피크 영역을 이들 각각의 상대 감도 계수로 보정한 후에 분석되었다. 이 작업의 모든 화학 성분들은 모든 성분들의 합으로 정규화되었다. -1.8V의 기판 바이어스 및 200㎀의 정전류로 주사 터널 현미경 검사가 수행되었다.[0051] After deposition cycles, the substrates were transferred in-situ to an ultra-high vacuum chamber for STM and XPS analysis. For the XPS measurement, X-rays (1486.7 eV) were generated by the Al Kα anode. XPS data were acquired using a constant analyzer-energy (CAE) with a step width of 0.1 eV and a pass energy of 50 eV. The XPS detector was positioned at 60° to the substrate normal (30° take-off angle from the substrate surface) with a detector acceptance angle of 7°. XPS spectra were analyzed after correcting each peak area with their respective relative sensitivity coefficients using the Casa XPS v.2.3 program. All chemical components in this work were normalized to the sum of all components. Scanning tunnel microscopy was performed with a substrate bias of -1.8 V and a constant current of 200 kF.

[0052] 막의 벌크의 원소 조성을 조사하기 위해, XPS와 함께 Ar+ 스퍼터링이 수행되었다. 6.0×10-7Torr의 Ar에서 1.2㎂의 빔 전류를 갖는 5㎸의 렌즈 전압이 이용되었는데; 전체 기판 영역을 덮기 위해 래스터가 사용되었기 때문에, 전류 밀도는 대략 1.2㎂/50㎜2였다. MoSix 기판은 스퍼터링 중에 25℃로 유지되어 임의의 열탈착(thermal desorption)을 최소화하였다.To investigate the elemental composition of the bulk of the film, Ar + sputtering was performed with XPS. A lens voltage of 5 kV with a beam current of 1.2 µA at Ar of 6.0 × 10 -7 Torr was used; Since the raster was used to cover the entire substrate area, the current density was approximately 1.2 μA/50 mm 2 . The MoSi x substrate was maintained at 25° C. during sputtering to minimize any thermal desorption.

결과들results

[0053] 도 1a는 120℃에서의 MoF6 및 Si2H6의 순차적 투여들 이전 및 이후 HF 세정된 Si 표면의 XPS 화학 조성의 데이터를 예시한다. 120℃에서 HF 세정된 Si 기판 상에 두 세트들의 5.4MegaL MoF6가 투여되었다. XPS는 16%에서 Mo의 포화도를 보여주었다. 그 후, 4.2 Si2H6 MegaL 및 추가 42MegaL의 Si2H6가 120℃에서 MoF6 포화 Si 표면에 투여되어, Si가 59%에서 포화되게 하였다. 일 실시예에서, MoF6는 약 1MegaL 내지 약 10MegaL로 투여되었다. 다른 실시예에서, SI2H6는 약 1MegaL 내지 약 10MegaL로 투여되었다. 다른 실시예에서, 추가 Si2H6 투여는 약 20MegaL 내지 약 50MegaL이었다.1A illustrates data of XPS chemical composition of a HF cleaned Si surface before and after sequential administrations of MoF 6 and Si 2 H 6 at 120° C. FIG. Two sets of 5.4MegaL MoF 6 were dosed on a HF cleaned Si substrate at 120°C. XPS showed Mo saturation at 16%. Then, 4.2 Si 2 H 6 MegaL and an additional 42 MegaL of Si 2 H 6 were administered to the MoF 6 saturated Si surface at 120° C., causing the Si to be saturated at 59%. In one embodiment, MoF 6 was administered at about 1 MegaL to about 10 MegaL. In another embodiment, SI 2 H 6 was administered at about 1 MegaL to about 10 MegaL. In another example, the additional Si 2 H 6 dose was between about 20 MegaL and about 50 MegaL.

[0054] HF 세정 이후, 모든 Si는 9%의 O 및 12%의 C 오염을 갖는 0의 산화 상태에 있었다. 오염은 진공으로의 기판 이송 중에 우발적인 탄화수소 흡착으로 인해 야기되는 것으로 여겨진다. HF(aq)는, Si 상의 자연 산화물을 제거하고 Si 표면을 H 종결 상태로 두는 데 이용되었다. 도 1의 Si 2p 데이터는 Si의 총량을 나타내는 한편, Si(0) 데이터는 산화 상태가 0인 Si의 양을 나타낸다는 점이 주목되어야 한다.[0054] After HF cleaning, all Si was in a zero oxidation state with 9% O and 12% C contamination. Contamination is believed to be caused by accidental hydrocarbon adsorption during substrate transfer to vacuum. HF(aq) was used to remove native oxides on Si and leave the Si surface in H terminated state. It should be noted that the Si 2p data in Fig. 1 represents the total amount of Si, while the Si(0) data represents the amount of Si with zero oxidation state.

[0055] 120℃에서 5.4MegaL의 MoF6 다음에, 14% Mo 및 38% F가 HF 세정된 Si 표면 상에 증착되었다. 120℃에서 추가 5.4MegaL의 MoF6가 투여된 후, Mo 농도는 14%에서 16%로 증가했고 F 농도는 38%에서 42%로 증가했다. 추가 5.4MegaL의 MoF6 이후 Mo 및 F 함량의 이러한 작은 증가는, HF 세정된 Si에 대한 MoF6의 반응이 자기 제한적임을 보여준다. Si 표면이 MoFx로 포화된 후, F/Mo의 비는 2.6이었고 모든 Si는 0의 산화 상태에 있었다. 4.2MegaL의 Si2H6 및 42MegaL의 Si2H6의 순차적 투여들은 Si2H6 반응이 MoFx로 덮인 Si 표면에 대해서도 또한 포화시킨다는 것을 나타낸다. 더 두꺼운 반화학양론적 MoSi2 막에는, 추가 Si가 표면에 혼입될 수 있다고 여겨진다. 그러나 Si2H6는 더 얇은(단층) Mo 막에 자기 제한 방식으로 반응한다. 5.4 MegaL of MoF 6 at 120° C., followed by 14% Mo and 38% F were deposited on the HF cleaned Si surface. After administration of an additional 5.4 MegaL of MoF 6 at 120 °C, the Mo concentration increased from 14% to 16% and the F concentration increased from 38% to 42%. This small increase in Mo and F content after an additional 5.4 MegaL of MoF 6 shows that the response of MoF 6 to HF cleaned Si is self-limiting. After the Si surface was saturated with MoF x , the F/Mo ratio was 2.6 and all Si was in an oxidation state of zero. Sequential administrations of 4.2MegaL of Si 2 H 6 and 42 MegaL of Si 2 H 6 indicate that the Si 2 H 6 reaction also saturates the Si surface covered with MoF x . It is believed that for thicker semi-stoichiometric MoSi 2 films, additional Si may be incorporated into the surface. However, Si 2 H 6 reacts in a self-limiting manner to the thinner (monolayer) Mo film.

[0056] Si2H6의 포화 이후, Si 함량은 59%였고 F는 10%로 감소했다. 기판이 Si이므로, Si2H6를 투여한 후 Si 함량의 이러한 증가는 F 탈착이 발생했기 때문에 부분적으로는 기판에 기인할 수 있다. 그러나 Si2H6 투여 이후 Mo의 감쇠가 관찰되었는데, 이는 Si의 증착과 일치한다. H 종결 Si에 대한 MoF6 및 Si2H6의 반응은 Si-H 종결 Si에 대한 MoSix ALD의 잠재력을 보여준다.After saturation of Si 2 H 6 , the Si content was 59% and F decreased to 10%. Since the substrate is Si, this increase in Si content after dosing with Si 2 H 6 may be attributable in part to the substrate as F desorption occurred. However, an attenuation of Mo was observed after Si 2 H 6 administration, which is consistent with deposition of Si. The reaction of MoF 6 and Si 2 H 6 on H-terminated Si reveals the potential of MoSi x ALD on Si-H-terminated Si.

[0057] 도 1b는 도 1a에 관해 앞서 설명한 것과 동일한, 그러나 SiON 기판에 대한 일련의 MoF6 및 Si2H6 포화 투여들에 대한 XPS 화학 조성 데이터를 예시한다. 예시된 바와 같이, 반응은 관찰되지 않았다. SiON 기판은 명목상 SiON이었지만, XPS는 표면 상에 단지 무시할 수 있는 양들의 N를 보여주었으며, 따라서 이 기판은 주로 이온 손상된 SiOx라는 점이 주목되어야 한다. MoF6의 처음 3개의 펄스들 이후, 8% F 및 무시할 수 있는 (1% 미만의) Mo가 관찰되었다. 포화 투여들의 나머지 동안, SiON 표면은 MoF6와 Si2H6 모두에 대해 비반응성을 유지했다. 이 연구에 사용된 SiON은 이온 손상되지만, Si는 +3 및 +4의 산화 상태들에 있으며 데이터는 강한 Si-O, Si-N, SiO-H 결합들과 일치하며, 따라서 Si가 Mo에 대한 결합들을 형성하는 것을 실질적으로 방지한다.[0057] FIG. 1B illustrates XPS chemical composition data for a series of MoF 6 and Si 2 H 6 saturated doses to the SiON substrate, but identical to that previously described with respect to FIG. 1A . As illustrated, no reaction was observed. Although the SiON substrate was nominally SiON, it should be noted that XPS showed only negligible amounts of N on the surface, and therefore this substrate is mainly ion-damaged SiO x . After the first 3 pulses of MoF 6 , 8% F and negligible (less than 1%) Mo were observed. During the remainder of the saturation doses, the SiON surface remained non-reactive to both MoF 6 and Si 2 H 6 . The SiON used in this study is ionically damaged, but Si is in oxidation states of +3 and +4 and the data are consistent with strong Si-O, Si-N, SiO-H bonds, so that Si It substantially prevents the formation of bonds.

[0058] 도 2a 및 도 2b는, HF 세정된 Si 기판에 대한 Si 2p 및 Mo 3d의 XPS 스펙트럼들이 각각의 실험 동작에서 산화 상태들을 비교하기 위해 도시되는 것을 예시한다. 도 2a는 순차적 MoF6 및 S2H6 투여들 이후 Si 2p 피크들이, 120℃에서 10.8MegaL의 MoF6(청색선) 이후 Si가 Mo-Si 결합 형성과 일치하며 F에 의한 Si의 에칭이 없는 0의 산화 상태로 유지됨을 보여주는 것을 예시한다. 120℃에서 4.2MegaL Si2H6 투여(적색선) 이후, Si의 대부분은 0의 산화 상태로 유지된다. 이것은 MoSi2의 단층의 형성과 일치한다. 표면에서 SiHxF4-x(x = 2 또는 3) 또는 SiOx일 수도 있는 더 높은 결합 에너지들에서 작은 산화된 Si 피크가 나타났다. 도 2b는 순차적 MoF6 및 S2H6 투여들 이후 Mo 3d 피크들이, MoF6의 포화 투여(흑색 및 청색선) 이후 다수의 산화 상태들로 Mo 3d 피크들이 존재함을 보여주는 것을 예시한다. Si2H6 투여(적색선) 이후, 모든 Mo가 환원되었고 피크는 227.4eV에 집중되었는데, 이는 MoSi2 형성과 일치한다.2A and 2B illustrate that XPS spectra of Si 2p and Mo 3d for HF cleaned Si substrates are shown to compare oxidation states in each experimental run. Figure 2a shows that Si 2p peaks after sequential MoF 6 and S 2 H 6 administrations are consistent with Si Mo-Si bond formation after 10.8 MegaL of MoF 6 (blue line) at 120° C. without etching of Si by F; It is exemplified by showing that it remains in an oxidation state of zero. After administration of 4.2MegaL Si 2 H 6 at 120° C. (red line), most of Si remains in an oxidation state of zero. This is consistent with the formation of a monolayer of MoSi 2 . At the surface a small oxidized Si peak appeared at higher binding energies, which could be SiH x F 4-x (x = 2 or 3) or SiO x . 2B illustrates that Mo 3d peaks after sequential MoF 6 and S 2 H 6 administrations show that Mo 3d peaks exist with multiple oxidation states after saturated administration of MoF 6 (black and blue lines). After Si 2 H 6 administration (red line), all Mo was reduced and the peak was concentrated at 227.4 eV, consistent with MoSi 2 formation.

[0059] 첫 번째 5.4MegaL의 MoF6 다음에, Si 2p 피크는 Si-Mo 결합 형성과 일치하는 0의 산화 상태로 유지되었다. Mo 3d 피크들은 여러 산화 상태들에서 나타났는데, 이는 표면 종이 MoFx임을 나타내며, x = 4, 5 및 6(흑색선)이다. 추가 5.4MegaL의 MoF6는 Si 2p 또는 Mo 3d 피크들(청색선)의 산화 상태들을 변화시키지 않았다. 데이터는 표면에서 Si-Mo-Fx의 형성을 제안한다. F/Mo 비는 MoF6 포화 투여 이후 2.6(도 1a의 XPS 데이터)이었고, Mo는 4-6의 산화 상태들에 있다는 점이 주목되며; 따라서 어떤 Mo-O 결합 형성이 존재하는 것으로 여겨진다. 4.2MegaL의 Si2H6 투여(적색선) 이후 Si 2p XPS 피크 상의 더 높은 결합 에너지(103eV)에서 작은 숄더 피크가 나타났다. 이는 Si-F 또는 Si-O 형성과 일치한다. Mo 3d 스펙트럼들은, 단일 Si2H6 투여 이후 모든 Mo가 227.4eV의 결합 에너지를 갖는 Mo0로 환원됨을 보여준다. 이것은 MoSix의 단층의 형성과 Si-O 및 Si-F 결합들의 형태로 Mo에서 Si로의 임의의 잔류 산소 또는 불소의 이송과 일치한다. MoF6 및 Si2H6의 단순화된 반응은 다음과 같이 설명될 수 있다:Following the first 5.4 MegaL of MoF 6 , the Si 2p peak remained in an oxidation state of zero consistent with Si–Mo bond formation. Mo 3d peaks appeared in several oxidation states, indicating that the surface species is MoF x , with x = 4, 5 and 6 (black lines). An additional 5.4 MegaL of MoF 6 did not change the oxidation states of Si 2p or Mo 3d peaks (blue line). The data suggest the formation of Si-Mo-F x at the surface. It is noted that the F/Mo ratio was 2.6 (XPS data in FIG. 1A ) after MoF 6 saturation dosing, and that Mo is in oxidation states of 4-6; Therefore, it is believed that some Mo-O bond formation exists. A small shoulder peak appeared at higher binding energy (103 eV) on the Si 2p XPS peak after Si 2 H 6 administration of 4.2 MegaL (red line). This is consistent with Si-F or Si-O formation. Mo 3d spectra show that after a single Si 2 H 6 administration, all Mo is reduced to Mo 0 with a binding energy of 227.4 eV. This is consistent with the formation of a monolayer of MoSi x and the transport of any residual oxygen or fluorine from Mo to Si in the form of Si-O and Si-F bonds. The simplified reaction of MoF 6 and Si 2 H 6 can be described as follows:

Figure pct00001
Figure pct00001

[0060] Si 기판 상의 MoSix의 ALD 특징들과 SiO2 및 SiNx 기판들에 대한 선택성은 패터닝된 기판 상에서의 MoSix 증착의 XPS를 통해 검증되었다. 도 3a는 한 세트의 세 가지 기판들: HF 세정된 Si, HF 세정된 SiO2 및 HF 세정된 패터닝된 기판의 화학 조성을 예시한다. 도 3b는 120℃에서의 MoF6 및 Si2H6의 5회의 ALD 사이클들 이후 도 3a의 기판들 각각의 화학 조성을 예시한다. 데이터는, Si 결핍 MoSix가 SiO2 상이 아닌 Si 상에 선택적으로 증착되었음을 나타냈다. 패터닝된 샘플의 Si0 성분이 또한 MoSix 증착에 의해 선택적으로 감쇠되었다. 도 3c는 추가 25.2MegaL(3개의 펄스들 내지 10개의 펄스들)의 Si2H6 이후 도 3b의 기판들 각각의 화학 조성을 예시한다. 추가 Si2H6가 Si를 MoSix 표면 상에 혼입했다. 추가 Si2H6 펄스들 동안 SiO2에 대한 선택성이 유지되었다(SiO2는 ALD 프로세스 전반에 걸쳐 0% Mo 및 0% Si0를 가졌다).[0060] ALD characteristics of MoSi x on Si substrates and selectivity to SiO 2 and SiN x substrates were verified through XPS of MoSi x deposition on patterned substrates. 3A illustrates the chemical composition of a set of three substrates: HF cleaned Si, HF cleaned SiO 2 and HF cleaned patterned substrate. FIG. 3B illustrates the chemical composition of each of the substrates of FIG. 3A after 5 ALD cycles of MoF 6 and Si 2 H 6 at 120° C. FIG. The data indicated that Si-deficient MoSi x was selectively deposited on Si rather than on SiO 2 . The Si 0 component of the patterned sample was also selectively attenuated by MoSi x deposition. 3C illustrates the chemical composition of each of the substrates of FIG. 3B after an additional 25.2 MegaL (3 pulses to 10 pulses) of Si 2 H 6 . Additional Si 2 H 6 incorporated Si onto the MoSi x surface. The selectivity to SiO 2 for the additional Si 2 H 6 pulse remained (SiO 2 had a 0% Mo, and Si 0% 0 throughout the ALD process).

[0061] 3개의 기판들이 단일 기판 홀더 상에 함께 로딩되어, 기판들이 동일한 증착 조건들에 노출됨을 보장하였다. Si 및 SiO2 기판들은 패터닝된 기판 상에 증착하는 동안 선택성의 검증을 허용하였다. 패터닝된 기판은 Si 기판 상부에 SiNx가 샌드위치된 SiO2 층들을 갖는다. 패터닝된 기판 상의 SiNx는 제작 중에 이온 손상되었고 O2로 회분화되었기 때문에, SiNx는 실제로 SiON이었다는 점이 주목된다. 도 3a에 도시된 바와 같이, 30초 HF 세정은 Si 상의 자연 산화물을 제거했다. 열 성장된 SiO2는 300㎚ 두께였고 30초의 HF 세정은 SiO2의 원소 조성 또는 산화 상태들을 변화시키지 않았다. HF 세정된 패터닝된 기판은 SiNx, SiOx 및 Si0의 혼합물로 구성되었다.[0061] Three substrates were loaded together on a single substrate holder to ensure that the substrates were exposed to the same deposition conditions. Si and SiO 2 substrates allowed verification of selectivity during deposition on the patterned substrate. The patterned substrate has SiO 2 layers with SiN x sandwiched on top of the Si substrate. It is noted that SiN x was actually SiON because the SiN x on the patterned substrate was ionically damaged during fabrication and ashes into O 2 . As shown in Figure 3a, a 30 second HF cleaning removed native oxides on Si. Thermally grown SiO 2 was 300 nm thick and 30 seconds of HF cleaning did not change the elemental composition or oxidation states of SiO 2 . The HF cleaned patterned substrate consisted of a mixture of SiN x , SiO x and Si 0 .

[0062] XPS는 도 3b에 도시된 바와 같이, 120℃에서 MoF6 및 Si2H6의 5회의 ALD 사이클들 이후에 수행되었다. XPS는 Si 기판 상의 32% Mo 및 10% Si의 표면 조성을 보여주었으며, 이는 Si가 매우 결핍된 MoSix에 대응한다. 매우 선택적인 ALD와 일치하는, SiO2 기판 상의 MoSix 증착은 존재하지 않았다. 패터닝된 기판 상에서, XPS는 5% Mo가 증착되었고, Si0는 1%로 감쇠되었음을 보여주었다. 표면에서의 N과 O의 비율은 패터닝된 기판 상의 ALD 동안 크게 변하지 않았다. 이 데이터는 패터닝된 기판 상의 6%의 Si0에 대해 선택적으로 Si 결핍 MoSix가 증착되는 것과 일치한다.[0062] XPS was performed after 5 ALD cycles of MoF 6 and Si 2 H 6 at 120° C., as shown in FIG. 3b . XPS showed a surface composition of 32% Mo and 10% Si on the Si substrate, which corresponds to MoSi x highly depleted of Si. There was no MoSi x deposition on the SiO 2 substrate, consistent with highly selective ALD. On the patterned substrate, XPS showed that 5% Mo was deposited and Si 0 was attenuated to 1%. The ratio of N and O at the surface did not change significantly during ALD on the patterned substrate. These data are consistent with the deposition of Si-deficient MoSi x selectively to 6% Si 0 on the patterned substrate.

[0063] 패터닝된 기판들 상의 증착 선택성은 본 명세서에서 설명되는 실시예들의 세 가지 양상들과 일치한다: (1) MoSix 증착이 SiO2 기판 상에서가 아닌 Si 기판 상에서 발생했다. (2) MoSix 증착 이후, (Si-N 및 Si-O로부터의 더 높은 산화 상태 Si 피크들이 아닌) Si0가 패턴화된 기판 상에서 감쇠되었다. (3) 수치상, 6% Si0를 갖는 패터닝된 기판 상의 약 4% Mo 증착은 HF 세정 표면 상에 54% Si0와 함께 Si 기판 상에 32% Mo를 갖는 것에 비례한다.[0063] Deposition selectivity on patterned substrates is consistent with three aspects of the embodiments described herein: (1) MoSi x deposition occurred on a Si substrate and not on a SiO 2 substrate. (2) After MoSi x deposition, Si 0 (not higher oxidation state Si peaks from Si-N and Si-O) was attenuated on the patterned substrate. (3) Numerically, about 4% Mo deposition on the patterned substrate with 6% Si 0 is proportional to having 32% Mo on the Si substrate with 54% Si 0 on the HF cleaning surface.

[0064] 도 1과 도 2에서 설명된 ALD 포화 실험들에서는 Si 상에 단층의 MoSi2가 증착될 수 있었지만, 연속 ALD 사이클들이 화학량론적 MoSi2를 생성하지는 않았다. Si 결핍 MoSix의 형성은 플루오로실란 제거 프로세스 동안 탈착되는 표면 Si-H 종들 및 표준 Si2H6 투여에 의해 쉽게 제거되지 않는 잔류 Mo-F 결합들에 기인하는 것으로 여겨진다. 처음 1-3개의 단층들의 경우, 불소 탈착을 돕기 위해 존재하는, 기판으로부터의 과잉 Si가 존재하지만, 더 두꺼운 막들의 경우에는, 이용 가능한 유일한 Si는 가스 Si2H6에서 나오므로 Mo-F 표면 결합들이 지속될 수 있다. MoF6 및 Si2H6를 사용하는 전체 플루오로실란 제거 화학 반응은 두 가지 화학 반응들 중 하나와 일치한다: [0064] A single layer of MoSi 2 could be deposited on Si in the ALD saturation experiments described in FIGS. 1 and 2, but successive ALD cycles did not produce stoichiometric MoSi 2 . The formation of Si-deficient MoSi x is believed to be due to surface Si-H species desorbing during the fluorosilane removal process and residual Mo-F bonds that are not readily removed by standard Si 2 H 6 dosing. For the first 1-3 monolayers, there is excess Si from the substrate, present to aid fluorine desorption, but for thicker films, the only Si available comes from the gas Si 2 H 6 and thus the Mo-F surface Bonds may persist. The total fluorosilane removal chemistry using MoF 6 and Si 2 H 6 is consistent with one of two chemical reactions:

1:One:

Figure pct00002
Figure pct00002

2:2:

Figure pct00003
Figure pct00003

[0065] MoSi2를 형성하기 위해, 120℃에서 추가 25.2MegaL(3개의 펄스들 내지 10개의 펄스들, 이를테면 6개의 펄스들)의 Si2H6에 3개의 기판들이 노출되었다(도 3c 참조). 추가 Si2H6 노출 이후, Si 기판 상에서 Si가 20%로 증가했는데, 이는 Si가 막에 또는 기판의 표면 상에 혼입되는 것과 일치한다. 추가 Si2H6는 SiO2 대비 Si 상의 증착에 대한 선택성을 감소시키지 않았다.To form MoSi 2 , 3 substrates were exposed to an additional 25.2 MegaL (3 pulses to 10 pulses, such as 6 pulses) of Si 2 H 6 at 120° C. (see FIG. 3c ). . After additional Si 2 H 6 exposure, the Si increased to 20% on the Si substrate, consistent with the incorporation of Si into the film or on the surface of the substrate. Additional Si 2 H 6 did not reduce the selectivity for deposition on Si versus SiO 2 .

[0066] 도 4a - 도 4c는 증착 후 어닐링을 이용한, HF 세정된 Si, SiO2 및 SiON 상의 선택적 MoSix 증착의 XPS 화학 조성 데이터를 예시한다. 도 4a는 HF 세정 후 Si, SiO2 및 SiON 기판들의 XPS 화학 조성을 예시한다. 도 4b는, MoSix의 5회의 ALD 사이클들에 이어 120℃에서의 추가 6개의 펄스들(25.2MegaL)의 Si2H6 다음에 MoSix가 Si 상에만 선택적으로 증착된 것을 보여주는 XPS 화학 조성 데이터를 예시한다. 도 4c는 PDA(post-deposition anneal)이 520℃에서 3분 동안 수행되는 기판들의 XPS 화학 조성 데이터를 예시한다. 예시된 바와 같이, PDA는 MoSix 막에서 F를 제거했고 Mo를 Mo0로 환원시켰다.4A-4C illustrate XPS chemical composition data of selective MoSi x deposition on HF cleaned Si, SiO 2 and SiON using post deposition annealing. 4A illustrates the XPS chemical composition of Si, SiO 2 and SiON substrates after HF cleaning. FIG. 4b is XPS chemical composition data showing that MoSi x was selectively deposited only on Si after 5 ALD cycles of MoSi x followed by Si 2 H 6 of additional 6 pulses (25.2 MegaL) at 120° C. to exemplify 4C illustrates XPS chemical composition data of substrates on which a post-deposition anneal (PDA) was performed at 520° C. for 3 minutes. As illustrated, PDA removed F from the MoSi x film and reduced Mo to Mo 0 .

[0067] 도 4a는 SiON 표면이 HF 세정 이후 주로 SiNx로 구성됨을 예시한다. MoSix ALD의 5회의 사이클들에 이어 추가 25.2MegaL의 Si2H6 다음에는, 도 4b에 도시된 바와 같이 HF 세정된 Si 상에는 24% Mo 및 18% Si가 존재했고, SiOx 및 SiNx 표면들 상에서 1% 미만의 Mo가 검출되었다. 후속하여, 3개의 기판들이 520℃에서 3분 동안 어닐링되며, 이는 Si 기판에서 F를 25%에서 3%로 감소시켰다. 520℃ PDA는 또한 Si 기판에서 Mo를 Mo0로 환원시켰고 표면에서 Si:Mo 비를 약 0.75에서 약 0.5로 감소시켰다. 이것은 SiHF3 또는 SiF4 형태의 표면(F) 탈착과 일치한다. PDA의 XPS 분석은 PDA에 의해 F가 막에서 제거됨을 나타내며, 이는 인접한 MOSFET 디바이스 구조들로의 F 확산 가능성을 감소시킨다.4A illustrates that the SiON surface is mainly composed of SiN x after HF cleaning. Following 5 cycles of MoSi x ALD followed by an additional 25.2 MegaL of Si 2 H 6 , there were 24% Mo and 18% Si on the HF cleaned Si as shown in FIG. 4b , and SiO x and SiN x surfaces Less than 1% Mo was detected on the fields. Subsequently, the three substrates were annealed at 520° C. for 3 minutes, which reduced the F from 25% to 3% in the Si substrate. The 520 °C PDA also reduced Mo to Mo 0 on the Si substrate and reduced the Si:Mo ratio at the surface from about 0.75 to about 0.5. This is consistent with surface (F) desorption in the form of SiHF 3 or SiF 4 . XPS analysis of the PDA indicates that F is removed from the film by the PDA, which reduces the likelihood of F diffusion into adjacent MOSFET device structures.

[0068] 인-시튜 STM 및 엑스-시튜(ex-situ) AFM(atomic force microscopy)을 사용하여, Si 및 SiO2 기판들 상의 증착 및 PDA 이후 표면 토포그래피들이 조사되었다. 인-시튜 STM을 위해 MoF6 및 Si2H6의 20회의 사이클들 이후 HF 세정된 Si의 별도 기판이 준비되었다. STM 데이터는 MoSix 막이 원자적으로 평평하고 약 2.8Å의 RMS 거칠기와 등각이었음을 나타냈다. 앞서 언급한 기판은 약 5.0×10-10Torr의 압력의 초-고진공 챔버에서 3분 동안 500℃에서 인-시튜 어닐링되었다. 500℃ 어닐링 후에, 막은 약 1.7Å의 RMS 거칠기로 더 평평해졌다.[0068] Surface topography after deposition and PDA on Si and SiO 2 substrates was investigated using in-situ STM and ex-situ atomic force microscopy (AFM). Separate substrates of HF cleaned Si were prepared after 20 cycles of MoF 6 and Si 2 H 6 for in-situ STM. The STM data indicated that the MoSi x film was atomically flat and conformal with an RMS roughness of about 2.8 Å. The aforementioned substrates were annealed in-situ at 500° C. for 3 minutes in an ultra-high vacuum chamber at a pressure of about 5.0×10 −10 Torr. After annealing at 500° C., the film became flatter to an RMS roughness of about 1.7 Å.

[0069] 120℃에서 5회의 ALD 사이클들에 이어 인-시튜 550℃ 어닐링 이후 MoSix/HF 세정된 Si의 다른 기판이 N2와 균형이 이루어진 5% H2에서의 900℃ 스파이크 어닐링을 위해 엑스-시튜 노(furnace)로 옮겨졌다. 900℃ 스파이크 어닐링 후, AFM이 사용되어 표면 모폴로지를 얻었다. 막은 4.75Å의 나노스케일 미만 RMS 거칠기를 유지하여, MoSix 막이 최대 약 900℃의 높은 열 안정성을 가짐을 보여주었다. [0069] Another substrate of MoSi x /HF cleaned Si after 5 ALD cycles at 120° C. followed by an in-situ 550° C. anneal X for 900° C. spike anneal in 5% H 2 balanced with N 2 - transferred to a situ furnace. After 900° C. spike annealing, AFM was used to obtain the surface morphology. The film maintained sub-nanoscale RMS roughness of 4.75 Å , demonstrating that the MoSi x film has high thermal stability up to about 900°C.

[0070] 선택성을 확인하기 위해 120℃에서 5회의 ALD 사이클들의 투여에 이어 3분 동안 인-시튜 550℃ 어닐링 이후 SiO2 기판 표면의 엑스-시튜 AFM 이미지 데이터가 기판 표면 상의 핵들의 수를 카운팅함으로써 실행되었다. 핵들의 밀도는 약 9개의 핵들/㎛2였으며, 이는 SiO2에 대한 Si 증착 우선순위를 확인한다. 본 명세서에서 설명되는 실시예들의 높은 증착 선택성은 반응 챔버의 벽 온도를 제어함으로써 그리고 짧은 고압 Si2H6 펄스들 및 더 긴 퍼지 사이클들을 사용하여 ALD를 가능하게 하고 CVD 증착 요법(regime)을 피함으로써 더욱 개선되는 것으로 여겨진다. [0070] Ex-situ AFM image data of the SiO 2 substrate surface after administration of 5 ALD cycles at 120° C. followed by an in-situ 550° C. annealing for 3 minutes to confirm the selectivity by counting the number of nuclei on the substrate surface was executed The density of nuclei was about 9 nuclei/μm 2 , confirming the Si deposition priority over SiO 2 . The high deposition selectivity of the embodiments described herein enables ALD and avoids the CVD deposition regime by controlling the wall temperature of the reaction chamber and using short high pressure Si 2 H 6 pulses and longer purge cycles. This is considered to be further improved.

[0071] MoSix 막의 내부 조성을 결정하도록 깊이 프로파일 연구가 또한 수행되었다. 도 5a는 120℃에서 MoF6 및 Si2H6의 5회의 사이클들 이후 HF 세정된 Si에 대한 Ar+ 스퍼터링 이후의 XPS 화학 조성 데이터를 예시한다. 도 5b는 순차적 Ar+ 스퍼터링 이후 Si 2p의 XPS 피크들을 예시하며, 그 결과들은 MoSix 막의 벌크가 대부분 Si0로 구성되었음을 보여준다. 도 5c는 120℃에서 MoF6 및 Si2H6의 5회의 사이클들 이후 Si에 대한 Ar+ 스퍼터링 시간에 대해 플롯팅된 증착된 막의 화학 조성 데이터를 예시한다.[0071] A depth profile study was also performed to determine the internal composition of the MoSi x film. 5A illustrates XPS chemical composition data after Ar + sputtering for HF cleaned Si after 5 cycles of MoF 6 and Si 2 H 6 at 120°C. 5b illustrates XPS peaks of Si 2p after sequential Ar + sputtering, and the results show that the bulk of the MoSi x film was mostly composed of Si 0 . 5C illustrates chemical composition data of the deposited film plotted versus Ar + sputtering time for Si after 5 cycles of MoF 6 and Si 2 H 6 at 120° C. FIG.

[0072] 도 5a에 도시된 XPS 데이터는 추가 Si2H6 혼입 없이 MoF6 및 Si2H6의 5회의 ALD 사이클들을 사용하여 120℃에서 HF 세정된 Si 기판 상에 증착된 MoSix 막으로부터 도출되었다. 스퍼터링 시간이 증가함에 따라, MoSix 막은 하부 Si 기판이 노출될 때까지 더 얇아졌다. 처음 10분의 스퍼터링은 F를 35%에서 8%로 감소시켰으며, Mo는 산화된 Mo와 Mo0의 혼합물에서 순수한 Mo0로 시프트되었다. 데이터는 주로 Mo에 결합되는 표면(F)과 일치한다.The XPS data shown in FIG. 5A is derived from a MoSi x film deposited on a HF cleaned Si substrate at 120° C. using 5 ALD cycles of MoF 6 and Si 2 H 6 without additional Si 2 H 6 incorporation. became As the sputtering time increased, the MoSi x film became thinner until the underlying Si substrate was exposed. The first 10 min of sputtering reduced F from 35% to 8%, and Mo shifted from a mixture of oxidized Mo and Mo 0 to pure Mo 0 . The data are consistent with the surface (F), which is mainly bound to Mo.

[0073] 연속 스퍼터링 사이클들 후에, Si의 양이 증가했고 Mo의 양은 감소하였다. 더욱이, Si0의 양은 전체 Si와 함께 증가했고, 전체 스퍼터링 시간 중 100분 이후 43%로 최대에 도달했다. 순수한 MoSix 상에서, Mo와 Si가 서로 결합되며 0의 산화 상태를 갖기 때문에, Si0 대 Mo0 비가 순수한 MoSix 상을 구별하는 데 이용되었다. 기판 표면에서 실리콘 산화물 및 MoFx 종들의 제거 이후, Si0의 백분율은 Mo0의 백분율을 초과했다. MoSix 막의 벌크에서 Si0:Mo0 비는 1.41이었는데, 이는 Si 결핍 MoSix 막에 대응한다. 막의 중앙에서, Si:Mo 비는 1.77이므로 배경 O2/H2O가 없으면, Si0:Mo0 비가 2에 더 가까워질 것이 가능하다는 점이 주목된다.After successive sputtering cycles, the amount of Si increased and the amount of Mo decreased. Moreover, the amount of Si 0 increased with the total Si and reached a maximum of 43% after 100 min of the total sputtering time. In the pure MoSi x phase, the Si 0 to Mo 0 ratio was used to distinguish the pure MoSi x phase because Mo and Si are bonded to each other and have an oxidation state of zero. After removal of silicon oxide and MoF x species from the substrate surface, the percentage of Si 0 exceeded the percentage of Mo 0 . The Si 0 :Mo 0 ratio in the bulk of the MoSi x film was 1.41, which corresponds to the Si deficient MoSi x film. It is noted that at the center of the film, the Si:Mo ratio is 1.77, so in the absence of background O 2 /H 2 O, it is possible that the Si 0 :Mo 0 ratio is closer to 2.

[0074] 도 5b는 도 5a의 각각의 XPS 측정에 대응하는 Si 2p의 원시 XPS 스펙트럼들을 예시한다. 99.2eV에서의 SI 피크는 4번째 스퍼터링 사이클 후에 증가하여 더 높은 결합 에너지로 확장되었다. 대조적으로, Mo 피크의 에너지는 각각의 스퍼터링 사이클 이후 Mo0에 대응하였다. 따라서 벌크 MoSix 막은 주로 MoSix 형태의 Si0 및 Mo0이고, 상단 표면과 하단 계면은 SiOx가 풍부했다고 여겨진다. 상단 SiOx는 챔버 환경으로부터의 오염과 일치하는 한편, 하단 계면 산화물은 불완전한 엑스-시튜 HF 세정과 일치한다.FIG. 5B illustrates raw XPS spectra of Si 2p corresponding to each XPS measurement of FIG. 5A . The SI peak at 99.2 eV increased after the 4th sputtering cycle and extended to higher binding energies. In contrast, the energy of the Mo peak corresponded to Mo 0 after each sputtering cycle. Therefore, it is believed that the bulk MoSi x film is mainly Si 0 and Mo 0 in the form of MoSi x , and the top surface and bottom interface are rich in SiO x . The top SiO x is consistent with contamination from the chamber environment, while the bottom interface oxide is consistent with incomplete ex-situ HF cleaning.

[0075] 하단 계면에서의 반화학량론적 산화물은 증착 및 막 품질에 영향을 주지 않았으며, 이는 MoSix ALD의 선택성이 SiO2의 품질에 민감함을 나타낸다. 도 5c는 도 5a의 XPS 측정으로부터 얻어진 화학 성분들의 백분율들을 예시한다. 두 번째 스퍼터링 사이클(전체 스퍼터링 시간 중 40분) 이후, F는 3% 미만으로 감소하여 결국 0%에 도달했다. 막의 벌크에서 O는 10% 미만이었지만, MoSix-Si 계면에서는 15%로 서서히 증가했으며, 이는 계면 산화물 층의 존재와 일치한다. The semi-stoichiometric oxide at the bottom interface did not affect the deposition and film quality, indicating that the selectivity of MoSi x ALD is sensitive to the quality of SiO 2 . 5C illustrates the percentages of chemical constituents obtained from the XPS measurement of FIG. 5A . After the second sputtering cycle (40 min of total sputtering time), F decreased to less than 3% and eventually reached 0%. O in the bulk of the film was less than 10%, but slowly increased to 15% at the MoSi x -Si interface, consistent with the presence of the interfacial oxide layer.

[0076] MoSix 막의 Si:Mo 비에 대한 추가 Si2H6 투여들의 영향을 이해하기 위해, 추가 Si 혼입을 한 MoSix 막에 대해 XPS 깊이 프로파일링이 수행되었다. 120℃에서 MoF6 및 Si2H6의 5회의 ALD 사이클들 끝에 추가 6개의 펄스들(25.2MegaL)의 Si2H6가 투여된 다음, 건식 세정된 Si에 대해 3분 동안 530℃에서의 어닐링이 이어졌다. 본 명세서에서 설명되는 어닐링 후 건식 세정 프로세스는 캐리어 가스로서 Ar과 함께 NF3 및 NH3의 플라즈마를 이용했다.[0076] MoSi x film, Si: the XPS depth profiling was performed on the MoSi x film in order to understand the effect of adding Si 2 H 6 administration to Mo ratio, the additional Si incorporated. At the end of 5 ALD cycles of MoF 6 and Si 2 H 6 at 120° C., an additional 6 pulses (25.2 MegaL) of Si 2 H 6 were administered followed by annealing at 530° C. for 3 min on dry cleaned Si. This continued. The post-annealing dry cleaning process described herein utilized plasmas of NF 3 and NH 3 with Ar as carrier gas.

[0077] 도 6a - 도 6d는 추가 Si2H6 투여들에 대한 노출 이후 MoSix 막의 XPS 프로파일 데이터를 예시한다. 도 6a는 MoF6 및 Si2H6의 5회의 사이클들 다음에 120℃에서의 추가 6개의 펄스들(25.2MegaL)의 Si2H6가 이어진 이후 건식 세정된 Si에 대한 Ar+ 스퍼터링 이후의 XPS 화학 조성 데이터를 예시한다. 도 6b는 MoF6 및 Si2H6의 5회의 ALD 사이클들 이후 추가 Si2H6 펄스들이 있는 그리고 추가 Si2H6 펄스들 없는 XPS 표면 조성 데이터를 예시한다. Si:Mo 비들은 5 ALD에 대해 0.33 그리고 5ALD + 6ХSi2H6에 대해 0.89였으며, 이는 표면 상의 Si 혼입과 일치한다. 도 6c는 Ar+ 스퍼터링을 사용하여 표면 오염들을 제거한 후 추가 Si2H6 펄스들이 있는 그리고 추가 Si2H6 펄스들이 없는 MoSix의 XPS 벌크 조성 데이터를 예시한다. Si:Mo 비들은 5 ALD에 대해 1.77 그리고 5ALD + 6ХSi2H6에 대해 1.96이었다. 도 6d는 MoF6 및 Si2H6의 5회의 사이클들에 다음에 120℃에서의 추가 Si2H6 펄스들이 이어진 이후 Si에 대한 Ar+ 스퍼터링 시간에 대해 MoSix 막의 XPS 화학 조성 데이터가 플롯팅되는 것을 예시한다.6A-6D illustrate XPS profile data of a MoSi x film after exposure to additional Si 2 H 6 doses. Figure 6a is XPS after Ar + sputtering on dry cleaned Si after 5 cycles of MoF 6 and Si 2 H 6 followed by an additional 6 pulses (25.2 MegaL) of Si 2 H 6 at 120° C. Chemical composition data are exemplified. Figure 6b illustrates the XPS surface composition data that is not the MoF 6 and Si 2 added after the five cycles of the ALD H 6 Si 2 H 6 pulses and the additional Si 2 H 6 pulses. The Si:Mo ratios were 0.33 for 5 ALD and 0.89 for 5ALD + 6ХSi 2 H 6 , consistent with Si incorporation on the surface. Figure 6c illustrates a bulk composition added to XPS data, the MoSi x Si 2 H 6 without pulses and added Si 2 H 6 pulses that after removal of the surface contamination using Ar + sputtering. The Si:Mo ratios were 1.77 for 5 ALD and 1.96 for 5ALD + 6ХSi 2 H 6 . FIG. 6d plots XPS chemical composition data of MoSi x film versus Ar + sputtering time for Si after 5 cycles of MoF 6 and Si 2 H 6 followed by additional Si 2 H 6 pulses at 120° C. foreshadows to be

[0078] 도 6a는 건식 세정된 기판에 대해 각각의 동작이 수행된 이후 일련의 깊이 프로파일 XPS를 제시한다. 6ХSi2H6/5ALD 사이클들 이후, 기판 표면에는 28% F, 20% Si 그리고 28% Mo가 있었다. 표면 상의 F는 530℃ 어닐링 이후 대부분 제거되었고 Mo는 모두 Mo0로 환원되었으며, 이는 도 4c에 제시된 것처럼 표면으로부터의 F의 탈착과 일치한다. 이 동작에서 Si:Mo 비는 0.89였다. 비교에 의해, 추가 Si2H6 투여들이 없는 MoSix 막의 Si:Mo 비는 도 6b에 예시된 바와 같이 0.33에 불과했다.6A presents a series of depth profile XPS after each operation is performed on the dry cleaned substrate. After 6ХSi 2 H 6 /5ALD cycles, there were 28% F, 20% Si and 28% Mo on the substrate surface. Most of the F on the surface was removed after annealing at 530° C. and all of the Mo was reduced to Mo 0 , which is consistent with the desorption of F from the surface as shown in Fig. 4c. The Si:Mo ratio in this operation was 0.89. By comparison, the Si:Mo ratio of the MoSi x film without additional Si 2 H 6 doses was only 0.33 as illustrated in FIG. 6b .

[0079] 표면 산화물 오염을 제거한 후, 추가 Si2H6 펄스들을 갖는 MoSix의 경우 벌크에서의 Si0:Mo0는 1.32(Si:Mo = 1.96)였다. 이것은 도 6c에 도시된 바와 같이, 추가 Si2H6 혼입이 없는 MoSix의 벌크에서의 Si0:Mo0 = 1.41(Si:Mo = 1.77)에 필적했다. 따라서 추가 Si2H6 펄스들은 ALD 사이클들 이후 Si 결핍 MoSix 표면에서 Si 함량을 증가시키는 것으로 여겨진다. 대조적으로, MoSix 막들의 벌크에서의 Si:Mo 비들은 화학양론적 MoSi2에 가깝다. 도 6d는 Ar+ 스퍼터링 시간의 함수에서 각각의 화학 성분의 XPS 백분율을 보여주는데, 이는 MoSix 막의 벌크에서의 MoSix 형성과 일치한다.[0079] After removing the surface oxide contamination, Si 0 :Mo 0 in bulk was 1.32 (Si:Mo = 1.96) for MoSi x with additional Si 2 H 6 pulses. This was comparable to Si 0 :Mo 0 = 1.41 (Si:Mo = 1.77) in the bulk of MoSi x without additional Si 2 H 6 incorporation, as shown in FIG. 6c . Therefore, it is believed that additional Si 2 H 6 pulses increase the Si content at the Si- deficient MoSi x surface after ALD cycles. In contrast, the Si:Mo ratios in the bulk of MoSi x films are close to the stoichiometric MoSi 2 . Figure 6d is the function of the Ar + sputtering time to show the XPS percentage of each chemical component of which corresponds to the MoSi x is formed on the MoSi x film bulk.

[0080] 일 실시예에서, 4.2MegaL의 Si2H6가 공압 밸브를 사용하여 6초의 지속기간 동안 반응 챔버에 도입되었다. Si2-H6 프로세스 특징들은 종래의 Si2H6 투여 파라미터들보다 약 10배 더 짧은 투여 지속기간에 걸쳐 약 3배 더 긴 Si2H6 노출을 이용한다. 따라서 본 명세서에서 설명되는 실시예들은 종래의 투여 요법들과 비교할 때 ALD 투여 동안 30배 더 높은 부분 압력을 이용한다. 투여 동안 30배 더 높은 순간 압력은, 전구체 매개 Si2H6 화학 흡착 층이 Mo와 반응하여 MoSix 막에 더 많은 Si를 혼입하기에 충분히 오래 표면 상에 남을 수 있게 하는 것으로 여겨진다. SI 혼입은 또한 약 1.2㎚/사이클의 MoSix의 성장 속도를 가능하게 하는 자기 제한적인 것으로 여겨진다. [0080] In one embodiment, 4.2 MegaL of Si 2 H 6 was introduced into the reaction chamber for a duration of 6 seconds using a pneumatic valve. The Si 2 —H 6 process features utilize an about 3-fold longer Si 2 H 6 exposure over a dosing duration that is about 10-fold shorter than conventional Si 2 H 6 dosing parameters. Thus, the embodiments described herein utilize a 30-fold higher partial pressure during ALD administration when compared to conventional dosing regimens. It is believed that the 30-fold higher instantaneous pressure during dosing allows the precursor mediated Si 2 H 6 chemisorbent layer to react with Mo and remain on the surface long enough to incorporate more Si into the MoSi x film. SI incorporation is also believed to be self-limiting, enabling a growth rate of MoSi x of about 1.2 nm/cycle.

[0081] MoSix 막의 저항은 4-점 프로브 측정을 사용하여 측정되었다. 전기 측정을 위해, 저항이 10000ohm·㎝를 초과하는 업도핑된 Si(001)가 기판으로서 사용되었다. 전기 측정을 위해, 120℃에서 10회의 사이클들의 MoSix ALD가 HF 세정된 진성(반절연) Si 기판 상에 증착된 다음, 3분 동안 인-시튜 550℃ 어닐링 그리고 N2에 균형이 맞춰진 5% H2에서 900℃ 스파이크 어닐링이 이어졌다. Ni 도트들이 프로브 접점으로서 증착되었다. 저항은 110Ohm이었으며, 무한 시트 근사를 사용하여 저항률이 다음과 같이 계산되었고:[0081] The resistance of the MoSi x film was measured using a four-point probe measurement. For the electrical measurement, up-doped Si(001) having a resistance exceeding 10000 ohm-cm was used as a substrate. For electrical measurements, MoSi x ALD of 10 cycles at 120 °C was deposited on an HF cleaned intrinsic (semi-insulating) Si substrate followed by an in-situ 550 °C annealing for 3 min and 5% balanced in N 2 900° C. spike annealing in H 2 followed. Ni dots were deposited as probe contacts. The resistance was 110 Ohm, and using infinite sheet approximation the resistivity was calculated as:

Figure pct00004
Figure pct00004

여기서 k는 상수이며, t는 두께이고, Rmax는 측정된 최대 저항이다.where k is a constant, t is the thickness, and R max is the maximum resistance measured.

[0082] 패터닝된 기판에 대해 단면 TEM 연구가 수행되어 나노구조화된 패턴 상에서의 MoSix 선택성을 확인하였다. 도 7은 MoSix/HF 세정된 패터닝된 기판의 단면 TEM 이미지이다. HF 세정된 패터닝된 기판 상에서, MoSix ALD의 5회의 사이클들에 이어 추가 25.2MegaL의 Si2H6가 120℃에서 투여되었다. 각각의 증착 단계에서의 이 기판의 원소 조성은 도 3a - 도 3c에 도시된다. TEM 이미지는 SiNx에 대해서도 SiO2에 대해서도 아닌 Si에 대한 MoSix 증착의 완전한 선택성을 보여준다. SI 상에 증착된 MoSix 막의 두께는 5회의 ALD 사이클들에 이은 추가 25.2MegaL 이후에 약 6.3㎚였고, 이는 약 1.2㎚/사이클의 성장 속도를 달성하였다. MoSix ALD의 사이클당 성장 속도로 인해 5회의 ALD 사이클들이 접촉 재료들 및 접촉 디바이스 구조들에 충분한 것으로 여겨진다.Cross-sectional TEM studies were performed on the patterned substrate to confirm the MoSi x selectivity on the nanostructured pattern. 7 is a cross-sectional TEM image of a MoSi x /HF cleaned patterned substrate. On the HF cleaned patterned substrate, 5 cycles of MoSi x ALD followed by an additional 25.2 MegaL of Si 2 H 6 was administered at 120°C. The elemental composition of this substrate at each deposition step is shown in Figures 3a - 3c. The TEM image shows the complete selectivity of the MoSi x deposition to Si, neither to SiN x nor to SiO 2 . The thickness of the MoSi x film deposited on the SI was about 6.3 nm after 5 ALD cycles followed by an additional 25.2 MegaL, which achieved a growth rate of about 1.2 nm/cycle. Five ALD cycles are considered sufficient for contact materials and contact device structures due to the growth rate per cycle of MoSi x ALD.

[0083] 반화학양론적 MoSi2의 선택적 원자 층 증착은 열 성장된 SiO2, 이온 손상된 SiON 및 SiNx 대비 수소 종결 Si에 대한 선택적 프로세스에 의해 달성되었다. Si-O, Si-N 및 SiO-H 결합들은 이들이 120℃에서 어느 전구체에 의해서도 쪼개질 수 없을 정도로 충분히 강하기 때문에, 선택성은 SiO2 또는 SiNx에 대해서가 아닌 H-Si에 대한 MoF6 및 Si2H6의 유리한 반응성에 기반한다. MoF6와 Si2H6 둘 다, 2.8Å의 RMS(root mean square) 거칠기를 갖는 상당히 등각이고 매끄러운 막의 증착을 가능하게 하는 자기 제한 거동을 보여주었다. 약 500℃ 내지 550℃ 온도의 초-고진공에서 3분 동안의 PDA는 RMS 거칠기를 1.7Å으로 더 감소시켰다. MoSix 막의 품질은 H2/N2 환경에서 900℃ 스파이크 어닐링 이후에도 보존되었으며, 이는 높은 열 안정성과 일치한다.[0083] Semi-stoichiometric selective atomic layer deposition of MoSi 2 was achieved by a selective process on hydrogen terminated Si versus thermally grown SiO 2 , ion-damaged SiON and SiN x . Since the Si-O, Si-N and SiO-H bonds are strong enough that they cannot be cleaved by any precursor at 120° C., the selectivity is MoF 6 and Si to H-Si but not to SiO 2 or SiN x . It is based on the favorable reactivity of 2 H 6 . Both MoF 6 and Si 2 H 6 showed self-limiting behavior that allowed the deposition of fairly conformal and smooth films with a root mean square (RMS) roughness of 2.8 Å. PDA in ultra-high vacuum at a temperature of about 500° C. to 550° C. for 3 minutes further reduced the RMS roughness to 1.7 Å. The quality of the MoSi x film was preserved even after 900 °C spike annealing in H 2 /N 2 environment, consistent with high thermal stability.

[0084] 깊이 프로파일링 XPS 연구는, MoSix 막의 벌크가 산소 및 불소가 10% 미만인 화학양론적 MoSi2(Si:Mo = 1.7 - 1.9)에 가깝다는 점을 드러냈다. 5회의 ALD 사이클들 이후 MoSix 막의 표면은 Si:Mo 비가 0.33인 상당한 Si 결핍 MoSix 표면을 보여주었고, 표면에서의 이러한 Si:Mo 비는 추가 Si2H6를 펄싱함으로써 0.89로 향상된다. 단면 TEM 이미징은 선택성이 나노스케일로 유지되고 MoSix가 기판 소비 없이 Si 상에 선택적으로 증착될 수 있음을 보여준다.[0084] Depth profiling XPS studies revealed that the bulk of the MoSi x film is close to stoichiometric MoSi 2 (Si:Mo = 1.7 - 1.9) with less than 10% oxygen and fluorine. After 5 ALD cycles the surface of the MoSi x film showed a significant Si deficient MoSi x surface with a Si:Mo ratio of 0.33, and this Si:Mo ratio at the surface is improved to 0.89 by pulsing additional Si 2 H 6 . Cross-sectional TEM imaging shows that the selectivity is maintained at the nanoscale and MoSi x can be selectively deposited on Si without substrate consumption.

[0085] 약 1.2㎚/사이클의 MoSix 막 성장 속도는 10회 미만의 ALD 사이클들, 이를테면 5회의 ALD 사이클들이 접촉 재료로서 MoSix 막의 이용에 충분할 수 있게 한다. 이에 따라, 본 명세서에서 설명되는 실시예들을 이용함으로써 종래의 ALD 프로세스들과 비교할 때 프로세스 스루풋이 증가된다. 선택적 MoSix 증착은 복잡한 3D MOSFET 구조들(예컨대, FinFET들)에 대한 리소그래피 프로세스들에 대한 의존성을 없애거나 실질적으로 감소시키는 것으로 여겨진다. SiO-H 결합들 대비 Si-H 결합들에 대한 선택성은 106를 초과한다. 따라서 추가 패시베이션 층들의 사용 없이도 나노스케일로 높은 선택성이 가능하다. 본 명세서에서 설명되는 실시예들은 또한 환원제의 ALD 펄스 동안 부분 압력을 변경함으로써 선택성을 유지하면서 실리사이드 대 금속의 ALD가 쉽게 전환될 수 있음을 예시한다. [0085] A MoSi x film growth rate of about 1.2 nm/cycle allows less than 10 ALD cycles, such as 5 ALD cycles, to be sufficient for the use of a MoSi x film as a contact material. Accordingly, process throughput is increased when compared to conventional ALD processes by using the embodiments described herein. Selective MoSi x deposition is believed to eliminate or substantially reduce the dependence on lithography processes for complex 3D MOSFET structures (eg, FinFETs). The selectivity for Si-H bonds over SiO-H bonds exceeds 10 6 . Thus, high selectivity at the nanoscale is possible without the use of additional passivation layers. The embodiments described herein also illustrate that the ALD of silicide to metal can be easily switched while maintaining selectivity by changing the partial pressure during the ALD pulse of the reducing agent.

[0086] 전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본 범위를 벗어나지 않으면서 본 개시내용의 다른 실시예들 및 추가 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.[0086] Although the foregoing relates to embodiments of the present disclosure, other and additional embodiments of the present disclosure may be devised without departing from the basic scope of the disclosure, the scope of the disclosure being determined by the claims of

Claims (15)

벌크 실리콘 산화물 및 자연(native) 실리콘 산화물을 포함하는 실리콘 함유 기판으로부터 상기 자연 실리콘 산화물을 선택적으로 제거하기 위해 NF3 전구체 및 NH3 전구체로 형성된 플라즈마에 상기 기판을 노출시키는 단계를 포함하며,
상기 노출시키는 단계는:
상기 기판을 약 40℃ 내지 약 50℃의 온도로 가열하는 단계; 및
약 40초 미만의 시간 기간 동안 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하는,
기판 프로세싱 방법.
exposing the substrate to a plasma formed from a NF 3 precursor and a NH 3 precursor to selectively remove the native silicon oxide from a silicon-containing substrate comprising bulk silicon oxide and native silicon oxide;
The exposing step comprises:
heating the substrate to a temperature of about 40° C. to about 50° C.; and
exposing the substrate to the plasma for a period of time less than about 40 seconds;
Substrate processing method.
제1 항에 있어서,
상기 NF3 전구체 및 상기 NH3 전구체는 약 1:5 내지 약 1:20의 NF3:NH3 비인,
기판 프로세싱 방법.
According to claim 1,
wherein the NF 3 precursor and the NH 3 precursor have an NF 3 :NH 3 ratio of about 1:5 to about 1:20,
Substrate processing method.
제2 항에 있어서,
상기 실리콘 함유 기판을 노출시키는 동안 상기 플라즈마와 함께 Ar을 유동시키는 단계를 더 포함하는,
기판 프로세싱 방법.
3. The method of claim 2,
flowing Ar with the plasma while exposing the silicon-containing substrate.
Substrate processing method.
벌크 실리콘 산화물 및 자연 실리콘 산화물을 포함하는 실리콘 함유 기판으로부터 상기 자연 실리콘 산화물을 선택적으로 제거하기 위해 NF3 전구체 및 NH3 전구체로 형성된 플라즈마에 상기 기판을 노출시키는 단계 ― 상기 노출시키는 단계는:
상기 기판을 약 40℃ 내지 약 50℃의 온도로 가열하는 단계; 및
약 40초 미만의 시간 기간 동안 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함함 ―;
기판을 제1 온도로 가열하는 단계;
수소를 포함하는 플라즈마에 상기 기판을 노출시키는 단계;
MoF6 전구체의 첫 번째 투여(dosage)에 상기 기판을 노출시키는 단계;
Si2H6 전구체의 두 번째 투여에 상기 기판을 노출시키는 단계;
첫 번째 투여에 상기 기판을 노출시키는 단계와 두 번째 투여에 상기 기판을 노출시키는 단계를 순차적으로 사이클링하는 단계; 및
상기 순차적으로 사이클링하는 단계 이후, 상기 Si2H6 전구체의 세 번째 투여에 상기 기판을 노출시키는 단계를 포함하는,
기판 프로세싱 방법.
exposing the substrate to a plasma formed of a NF 3 precursor and a NH 3 precursor to selectively remove the native silicon oxide from a silicon containing substrate comprising bulk silicon oxide and native silicon oxide, the exposing comprising:
heating the substrate to a temperature of about 40° C. to about 50° C.; and
exposing the substrate to the plasma for a period of time less than about 40 seconds;
heating the substrate to a first temperature;
exposing the substrate to a plasma comprising hydrogen;
exposing the substrate to a first dose of a MoF 6 precursor;
exposing the substrate to a second dose of a Si 2 H 6 precursor;
sequentially cycling exposing the substrate to a first dose and exposing the substrate to a second dose; and
after the sequential cycling, exposing the substrate to a third dose of the Si 2 H 6 precursor;
Substrate processing method.
제4 항에 있어서,
세 번째 투여에 상기 기판을 노출시키는 단계 후에 상기 기판을 약 500℃ 내지 약 550℃의 제2 온도에서 어닐링하는 단계를 더 포함하는,
기판 프로세싱 방법.
5. The method of claim 4,
annealing the substrate at a second temperature between about 500° C. and about 550° C. after exposing the substrate to a third dose.
Substrate processing method.
제4 항에 있어서,
상기 제1 온도는 약 100℃ 내지 약 150℃인,
기판 프로세싱 방법.
5. The method of claim 4,
wherein the first temperature is from about 100 °C to about 150 °C;
Substrate processing method.
제4 항에 있어서,
상기 순차적으로 사이클링하는 단계는 10회 미만 수행되는,
기판 프로세싱 방법.
5. The method of claim 4,
wherein the sequentially cycling is performed less than 10 times,
Substrate processing method.
제4 항에 있어서,
상기 수소를 포함하는 플라즈마는 NF3, NH3 및 H로 구성된 그룹으로부터 선택된 전구체들로 형성되는,
기판 프로세싱 방법.
5. The method of claim 4,
The hydrogen-containing plasma is formed of precursors selected from the group consisting of NF 3 , NH 3 and H,
Substrate processing method.
제4 항에 있어서,
상기 순차적으로 사이클링하는 단계 동안 N2를 이용하는 질소 퍼지 프로세스가 수행되는,
기판 프로세싱 방법.
5. The method of claim 4,
a nitrogen purge process using N 2 is performed during the sequentially cycling step;
Substrate processing method.
제4 항에 있어서,
상기 첫 번째 투여는 약 10㎳ 내지 약 100㎳의 지속기간 동안 수행되는,
기판 프로세싱 방법.
5. The method of claim 4,
wherein the first administration is performed for a duration of about 10 ms to about 100 ms;
Substrate processing method.
제10 항에 있어서,
상기 첫 번째 투여는 약 1MegaL 내지 약 10MegaL의 MoF6 유량을 포함하는,
기판 프로세싱 방법.
11. The method of claim 10,
wherein said first administration comprises a MoF 6 flow rate of about 1 MegaL to about 10 MegaL;
Substrate processing method.
제10 항에 있어서,
상기 두 번째 투여는 약 1㎳ 내지 약 50㎳의 지속기간 동안 수행되는,
기판 프로세싱 방법.
11. The method of claim 10,
wherein the second administration is performed for a duration of about 1 ms to about 50 ms;
Substrate processing method.
제12 항에 있어서,
상기 두 번째 투여는 약 1MegaL 내지 약 10MegaL의 Si2H6 유량을 포함하는,
기판 프로세싱 방법.
13. The method of claim 12,
wherein the second administration comprises a Si 2 H 6 flow rate of from about 1 MegaL to about 10 MegaL;
Substrate processing method.
제13 항에 있어서,
상기 세 번째 투여는 약 20MegaL 내지 약 50MegaL의 Si2H6 유량을 포함하는,
기판 프로세싱 방법.
14. The method of claim 13,
wherein the third dose comprises a Si 2 H 6 flow rate of from about 20 MegaL to about 50 MegaL;
Substrate processing method.
챔버 벽들을 갖는 반응 챔버 내의 히터 상에 벌크 실리콘 산화물 및 자연 실리콘 산화물을 포함하는 실리콘 함유 기판을 포지셔닝하는 단계;
상기 기판으로부터 상기 자연 실리콘 산화물을 선택적으로 제거하기 위해 NF3 전구체 및 NH3 전구체로 형성된 플라즈마에 상기 기판을 노출시키는 단계 ― 상기 노출시키는 단계는:
상기 기판을 약 40℃ 내지 약 50℃의 온도로 가열하는 단계; 및
약 40초 미만의 시간 기간 동안 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함함 ―;
상기 히터 상의 기판을 제1 온도로 가열하는 단계;
상기 챔버 벽들을 상기 제1 온도보다 낮은 제2 온도로 유지하는 단계;
상기 기판의 실리콘 함유 표면을 수소에 노출시키는 단계;
MoF6 전구체의 첫 번째 투여에 상기 기판을 노출시키는 단계;
Si2H6 전구체의 두 번째 투여에 상기 기판을 노출시키는 단계;
첫 번째 투여에 상기 기판을 노출시키는 단계와 두 번째 투여에 상기 기판을 노출시키는 단계를 순차적으로 사이클링하는 단계; 및
상기 순차적으로 사이클링하는 단계 이후, 상기 Si2H6 전구체의 세 번째 투여에 상기 기판을 노출시키는 단계를 포함하는,
기판 프로세싱 방법.
positioning a silicon-containing substrate comprising bulk silicon oxide and native silicon oxide on a heater in a reaction chamber having chamber walls;
exposing the substrate to a plasma formed of a NF 3 precursor and a NH 3 precursor to selectively remove the native silicon oxide from the substrate, the exposing comprising:
heating the substrate to a temperature of about 40° C. to about 50° C.; and
exposing the substrate to the plasma for a period of time less than about 40 seconds;
heating the substrate on the heater to a first temperature;
maintaining the chamber walls at a second temperature lower than the first temperature;
exposing the silicon-containing surface of the substrate to hydrogen;
exposing the substrate to a first dose of a MoF 6 precursor;
exposing the substrate to a second dose of a Si 2 H 6 precursor;
sequentially cycling exposing the substrate to a first dose and exposing the substrate to a second dose; and
after the sequential cycling, exposing the substrate to a third dose of the Si 2 H 6 precursor;
Substrate processing method.
KR1020217017913A 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective oxide removal KR20210076166A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/189,429 2018-11-13
US16/189,429 US10586707B2 (en) 2017-05-26 2018-11-13 Selective deposition of metal silicides
PCT/US2019/052967 WO2020101806A1 (en) 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective oxide removal

Publications (1)

Publication Number Publication Date
KR20210076166A true KR20210076166A (en) 2021-06-23

Family

ID=70731667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017913A KR20210076166A (en) 2018-11-13 2019-09-25 Selective deposition of metal silicides and selective oxide removal

Country Status (5)

Country Link
EP (1) EP3881349A4 (en)
JP (1) JP2022506677A (en)
KR (1) KR20210076166A (en)
CN (1) CN113348532A (en)
WO (1) WO2020101806A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115739190B (en) * 2022-11-14 2024-02-13 江南大学 Metal complex catalyst for phytic acid and preparation method and application thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8455352B1 (en) * 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US9875907B2 (en) * 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10468263B2 (en) * 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films

Also Published As

Publication number Publication date
CN113348532A (en) 2021-09-03
WO2020101806A1 (en) 2020-05-22
EP3881349A4 (en) 2022-08-24
EP3881349A1 (en) 2021-09-22
JP2022506677A (en) 2022-01-17
TW202035759A (en) 2020-10-01

Similar Documents

Publication Publication Date Title
TWI804706B (en) Method of topology-selective film formation of silicon oxide
TWI819197B (en) Method of forming structure
US10818510B2 (en) Self-assembled monolayer blocking with intermittent air-water exposure
TWI759747B (en) METHOD OF FORMING SiOCN THIN FILM
KR100871006B1 (en) Thin tungsten silicide layer deposition and gate metal integration
US10475655B2 (en) Selective deposition of metal silicides
Choi et al. Selective atomic layer deposition of MoSix on Si (0 0 1) in preference to silicon nitride and silicon oxide
US20180019116A1 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ald
Choi et al. Highly selective atomic layer deposition of MoSiOx using inherently substrate-dependent processes
KR20210076166A (en) Selective deposition of metal silicides and selective oxide removal
US10586707B2 (en) Selective deposition of metal silicides
US10262858B2 (en) Surface functionalization and passivation with a control layer
TWI833831B (en) Selective deposition of metal silicides and selective oxide removal
TWI780157B (en) Selective deposition of metal silicides
US20170040158A1 (en) Low temperature ald on semiconductor and metallic surfaces
TWI842531B (en) Method of topology-selective film formation of silicon oxide
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
Choi Area-selective Atomic Layer Deposition of Silicide and Oxides Using Inherent Substrate Dependent Processes