KR20210023851A - 실리콘-게르마늄 합금의 제어 가능한 에칭 선택도를 가진 기상 에칭 - Google Patents

실리콘-게르마늄 합금의 제어 가능한 에칭 선택도를 가진 기상 에칭 Download PDF

Info

Publication number
KR20210023851A
KR20210023851A KR1020207036082A KR20207036082A KR20210023851A KR 20210023851 A KR20210023851 A KR 20210023851A KR 1020207036082 A KR1020207036082 A KR 1020207036082A KR 20207036082 A KR20207036082 A KR 20207036082A KR 20210023851 A KR20210023851 A KR 20210023851A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
temperature
germanium alloy
halogen compound
Prior art date
Application number
KR1020207036082A
Other languages
English (en)
Inventor
수바딥 칼
마사시 마츠모토
다이스케 이토
유스케 무라키
앨란 모스덴
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210023851A publication Critical patent/KR20210023851A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Abstract

미세전자 워크피스 상의 물질의 건식 제거를 위한 방법이 설명된다. 방법은 실리콘-게르마늄 합금 및 적어도 하나의 다른 물질을 노출시키는 작업면을 가진 기판을 수용하는 단계로서, 실리콘-게르마늄 합금은 SixGe1-x로서 표현되고, x는 0 내지 1 범위의 실수인, 단계; 및 무수 할로겐 화합물, 예컨대, 이원자 할로겐 또는 인터할로겐 화합물을 함유하는 제어된 기상 환경에 기판을 노출시킴으로써 다른 물질에 대해 실리콘-게르마늄 합금을 선택적으로 에칭하는 단계를 포함한다.

Description

실리콘-게르마늄 합금의 제어 가능한 에칭 선택도를 가진 기상 에칭
관련 출원에 대한 상호참조
본 출원은 미국 특허 가출원 제62/701,223호(출원일: 2018년 7월 20일, 발명의 명칭: "GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF SILICON-GERMANIUM ALLOYS")의 이득을 주장하고, 상기 기초출원은 전문이 참조에 의해 본 명세서에 원용된다.
본 발명의 분야
본 발명은 에칭 방법, 더 구체적으로, 기판의 화학적 및 열적 처리를 위한 건식 비-플라즈마 처리 시스템 및 방법에 관한 것이다.
본 개시내용은 에칭 공정 및 증착 공정을 통한 제조를 포함하는, 반도체 디바이스 내 특징부의 제조에 관한 것이다.
반도체 디바이스의 제조는 구조체, 즉, 전기 게이트, 접촉부, 상호연결부 등을 반도체 기판(웨이퍼) 또는 다른 기판 상에 형성하는 다단계 공정이다. 단계는 물질 성장, 패터닝, 도핑, 증착, 에칭, 금속화, 평탄화 등을 포함할 수 있다. 기판 상에 형성된 특징부는 다양한 트랜지스터를 포함할 수 있다. 트랜지스터는 평면일 수 있거나 또는 비평면일 수 있고, 또한 단일의 게이트 또는 다수의 게이트를 가질 수 있다.
다양한 에칭 공정은 하나의 물질이 제거되고, 반면에 또 다른 물질이 대체로 기판 상에 남아 있도록 또 다른 물질에 대해 하나의 물질을 에칭할 수 있다는 점에서 유용하다. 예를 들어, 포토레지스트의 이름은 부분적으로 포토레지스트가 건식 플라즈마 에칭 공정에 의해 에칭되는 것에 저항하는 광민감성 물질이라는 데서 생겼다. 포토레지스트 물질은 패턴을 하나 이상의 하부 물질로 전사할 수 있도록 에칭 마스크로서 기능하는 양각 패턴으로 관습적으로 형성된다.
10㎚ 기술 노드을 넘는 스케일링에서, 반도체 디바이스 산업은 게이트 올-어라운드(gate all-around: GAA) 디바이스 아키텍처를 향해 나아간다. GAA 구현을 위한 하나의 기본적인 필요조건은 실리콘-게르마늄(silicon-germanium: SiGe) 및 실리콘(silicon: Si) 나노와이어(nanowire: NW)의 형성이다. 이의 제조는 선택적, 등방성 및 정밀한 Si 및 SiGe 에칭 공정 각각을 필요로 한다.
반도체 제조에서 사용되는 다양한 상이한 물질은 다양한 에칭 저항도를 갖는다. 일부 제조 방식에서, 다양한 제조 단계 동안 2개 이상의 물질 간의 높은 에칭 선택도를 갖는 것이 바람직하다. 다른 제조 방식에서, 2개의 화학적으로 상이한 물질 간에 균일한 에칭 속도를 갖는 것이 바람직하다. 유감스럽게도, 물질의 미리 결정된 쌍에 대해, 에칭 공정은 목적하지 않은 에칭 속도비를 나타낼 수 있다.
실리콘-게르마늄(다양한 Si:Ge 조성을 가진 실리콘과 게르마늄의 합금인, Si-Ge)과 함께, 비정질 실리콘(a-Si), 다결정 실리콘(poly-Si) 및 단결정 Si가 상이한 적용을 위해 반도체 산업에서 폭넓게 사용된다. 10㎚ 이하의 기술 노드 및 그 초과에 대해, 소스-드레인 물질로서 Si-Ge의 통합은 트랜지스터에 대한 전기적 성능을 개선시키는 가능성을 보여주었다. 3차원(three dimensional: 3D) Si, Ge, 및 Si-Ge 기반 전계 효과 트랜지스터(field effect transistor: FET)의 설계 및 제조에 대한 복잡한 공정 흐름은 종종 다양한 제조 단계에서 어려운 요건들이 있다. 하나의 과제는 기판에 존재하는 다른 물질, 예를 들어, 비정질 또는 결정질 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 또는 유기물 또는 이들의 조합물에 대해 Si-Ge 합금을 선택적으로 에칭하는 것이다.
본 발명의 실시형태는 기판을 처리하기 위한 건식(예를 들어, 기상), 비-플라즈마(예를 들어, 플라즈마 없음) 처리 시스템 및 방법, 더 구체적으로, 기판의 화학적 및 열적 처리를 위한 건식 비-플라즈마 처리 시스템 및 방법에 관한 것이다. 부가적인 실시형태는 다양한 물질의 선택적, 기상, 비-플라즈마, 등방성 에칭을 포함한다. 본 명세서의 기법은 Si, Ge 및 SiGe 물질의 건식 등방성 및 등각 에칭을 제공한다.
하나의 실시형태에 따르면, 에칭을 위한 방법이 설명된다. 방법은 실리콘-게르마늄 합금 및 적어도 하나의 다른 물질을 노출시키는 작업면을 가진 기판을 수용하는 단계로서, 실리콘-게르마늄 합금은 SixGe1-x로서 표현되고, x는 0 내지 1 범위의 실수인, 단계; 및 무수 할로겐 화합물, 예컨대, 이원자 할로겐 또는 인터할로겐 화합물(interhalogen compound)을 함유하는 제어된 기상 환경에 기판을 노출시킴으로써 다른 물질에 대해 실리콘-게르마늄 합금을 선택적으로 에칭하는 단계를 포함한다. 방법은 실리콘-게르마늄 합금의 노출된 표면에 존재하는 물질, 잔여물, 또는 천연 산화물을 제거하거나 또는 치우기 위해 선택적 에칭을 수행하기 전에 기판을 처리하는 단계를 더 포함할 수 있다. 이 처리는 선택적 에칭과 동일한 처리 시스템에서 수행될 수 있거나 또는 별개의 시스템에서 수행될 수 있다.
또 다른 실시형태에 따르면, 에칭을 위한 방법이 설명된다. 방법은 실리콘-게르마늄 합금 및 적어도 하나의 다른 물질을 노출시키는 작업면을 가진 기판을 수용하는 단계로서, 실리콘-게르마늄 합금은 SixGe1-x로서 표현되고, x는 0 내지 1 범위의 실수인, 단계; 및 제1 설정값 온도에서, 무수 할로겐 화합물, 예컨대, 이원자 할로겐 또는 인터할로겐 화합물을 함유하는 화학적 환경에 기판의 표면을 노출시켜서 실리콘-게르마늄 합금의 표면 구역을 화학적으로 변경하고, 이어서 기판의 온도를 제2 설정값 온도로 상승시켜서 실리콘-게르마늄 합금의 화학적으로 처리된 표면 구역을 제거하는 것을 수행함으로써 실리콘-게르마늄 합금의 적어도 일부를 선택적으로 제거하는 단계를 포함한다.
물론, 본 명세서에서 설명된 바와 같은 상이한 단계의 논의의 순서는 명확성을 위해 제시된 것이다. 일반적으로, 이러한 단계는 임의의 적합한 순서로 수행될 수 있다. 부가적으로, 본 명세서의 상이한 특징, 기법, 구성 등의 각각이 본 개시내용의 상이한 부분에서 논의될 수 있지만, 각각의 개념은 서로 독립적으로 또는 서로 조합하여 실행될 수 있는 것으로 의도된다. 따라서, 본 발명은 많은 상이한 방식으로 구현될 수 있고 고려될 수 있다.
이러한 요약 부분은 본 개시내용 또는 청구된 발명의 모든 실시형태 및/또는 점진적으로 새로운 양상을 명시하지 않는다는 점에 유의한다. 대신에, 이러한 요약은 상이한 실시형태 및 종래의 기법에 비해 대응하는 신규성 요소에 대한 예비적인 논의만을 제공한다. 본 발명 및 실시형태의 부가적인 상세사항 및/또는 가능한 관점에 대하여, 독자는 아래에서 더 논의되는 바와 같은 본 개시내용의 상세한 설명 부분 및 대응하는 도면을 참조한다.
도 1은 제조의 중간 단계 동안의 디바이스를 도시한다;
도 2는 실시형태에 따른 에칭 방법을 예시하는 흐름도를 제공한다;
도 3은 실시형태에 따른 기상 에칭 시스템의 개략도를 제공한다;
도 4는 실시형태에 따른 열처리 모듈의 개략도를 제공한다;
도 5는 실시형태에 따른 화학적 처리 모듈의 개략도를 제공한다;
도 6은 또 다른 실시형태에 따른 에칭 시스템의 개략도를 제공한다; 그리고
도 7은 실시형태에 따른 워크피스 홀더의 개략도를 제공한다.
다음의 설명에서, 제한이 아닌 설명을 위해, 특정한 상세사항, 예컨대, 처리 시스템의 특정한 기하학적 구조, 사용되는 다양한 컴포넌트 및 공정의 설명이 제시된다. 그러나, 본 발명이 이 특정한 상세사항에서 벗어난 다른 실시형태로 실시될 수 있다는 것이 이해되어야 한다.
유사하게, 설명을 위해, 특정한 수, 물질 및 구성이 본 발명의 완전한 이해를 제공하기 위해 제시된다. 그럼에도 불구하고, 본 발명은 특정한 상세사항 없이 실시될 수 있다. 게다가, 도면에 도시된 다양한 실시형태가 예시적인 표현이며, 반드시 축척대로 도시된 것은 아니라는 것이 이해된다.
다양한 작동은 본 발명을 이해하는 데 가장 유용한 방식으로, 다수의 개별 작동으로서 차례로 설명될 것이다. 그러나, 설명의 순서는 이 작동이 반드시 순서에 의존하는 것임을 의미하는 것으로 해석되어서는 안 된다. 특히, 이 작동은 제시된 순서로 수행될 필요가 없다. 설명된 작동은 설명된 실시형태와는 상이한 순서로 수행될 수 있다. 다양한 부가적인 작동이 수행될 수 있고/있거나 설명된 작동이 부가적인 실시형태에서 생략될 수 있다.
본 명세서에서 사용될 때, 용어 "방사선 민감성 물질"은 광민감성 물질, 예컨대, 포토레지스트를 의미하고 포함한다.
본 명세서에서 사용될 때, 용어 "비-플라즈마"는 일반적으로 처리될 워크피스에 근접한 공간에 플라즈마가 형성되지 않는 것(즉, 플라즈마가 없는 환경에서 워크피스가 가공되거나 또는 처리됨)을 의미한다. 플라즈마의 생성물이 원격 위치로부터 처리될 워크피스에 근접한 환경으로 도입될 수 있지만, 플라즈마는 워크피스와 인접한 전자기장에 의해 능동적으로 생성되지 않는다.
본 명세서에서 사용될 때 "기판"은 일반적으로 본 발명에 따라 처리되는 대상을 나타낸다. 기판은 디바이스, 특히, 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조체를 포함할 수 있고, 예를 들어, 박막과 같은, 베이스 기판 구조체 상 또는 위에 가로놓인 반도체 웨이퍼 또는 층과 같은, 베이스 기판 구조체일 수 있다. 기판은 종래의 실리콘 워크피스 또는 반전도성 물질층을 포함하는 다른 벌크 워크피스일 수 있다. 본 명세서에서 사용될 때, 용어 "벌크 기판"은 실리콘 웨이퍼뿐만 아니라, 실리콘-온-절연체(silicon-on-insulator: "SOI") 기판, 예컨대, 실리콘-온-사파이어(silicon-on-sapphire: "SOS") 기판 및 실리콘-온-유리(silicon-on-glass: "SOG") 기판, 베이스 반도체 기반부 상의 실리콘의 에피택셜층, 및 다른 반도체 또는 광전자 물질, 예컨대, 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물을 의미하고 포함한다. 워크피스는 도핑될 수 있거나 또는 도핑되지 않을 수 있다. 따라서, 기판은 패터닝되거나 또는 패터닝되지 않은, 임의의 특정한 베이스 구조체, 하부층 또는 상부층으로 제한되는 것으로 의도되는 것이 아니라, 오히려 임의의 이러한 층 또는 베이스 구조체, 그리고 층 및/또는 베이스 구조체의 임의의 조합물을 포함하는 것으로 고려된다. 아래의 설명은 워크피스의 특정한 유형을 언급할 수 있지만, 이는 제한이 아닌 단지 예시적인 목적을 위한 것이다.
위에서 언급된 바와 같이, 진보된 방법론은 과제를 처리하고 30㎚ 이하의 기술 노드의 공격적 패터닝에 대한 수요를 충족하도록 요구된다. 그리고, 또한 언급된 바와 같이, 이 방법론은 에칭 선택도, 속도, 프로파일 제어 등에 대한 이슈를 나타내는, 과제의 자체 세트를 제공한다. 패터닝 방식과 고도로 선택적인 에칭 공정을 성공적으로 통합시키는 능력은 강력한 패턴 전사에서 가장 중요하다.
예로서, 일단 회로 패턴이 처음에 형성된다면, 패터닝된 물질(광 리소그래피를 사용하여 패터닝된 광민감성 물질이든 간에), 특히, 기계적으로 각인된 패터닝된 층 또는 직접적으로 자가-조립된 층은 다른 구역이 건식 에칭 공정, 예컨대, 플라즈마 에칭 공정을 이용하여 하부층으로의 회로 패턴의 전사를 허용하기 위해 노출되는 동안, 반도체 기판의 일부 구역을 마스킹하는(mask) 보호층으로서 역할을 한다.
이전에 언급된 바와 같이, 실리콘-게르마늄(다양한 Si:Ge 조성을 가진 실리콘과 게르마늄의 합금인, Si-Ge)과 함께, 비정질 실리콘(a-Si), 다결정 실리콘(poly-Si) 및 단결정 Si가 상이한 적용을 위해 반도체 산업에서 폭넓게 사용된다. 10㎚ 이하의 기술 노드 및 그리고 그 미만에 대해, 소스-드레인 물질로서 Si-Ge의 통합은 트랜지스터에 대한 전기적 성능을 개선시키는 가능성을 보여주었다. 실리콘-게르마늄 합금의 적어도 일부를 선택적으로 제거하는 것은 다양한 공정 단계에서 바람직하다.
유사한 참조 부호가 수개의 도면 전반에 걸쳐 동일하거나 또는 대응하는 부분을 나타내는, 도면을 이제 참조하면, 도 1은 제조의 중간 단계 동안의 디바이스의 단면도를 도시한다. 디바이스는 트랜지스터, 예컨대, 전계 효과 트랜지스터(FET)(예를 들어, pFET 또는 nFET)를 포함할 수 있다. 예로서, 디바이스는 finFET, cFET(상보적 FET), 게이트-올-어라운드(GAA) 디바이스, 나노-와이어 디바이스, 또는 나노-시트 디바이스를 포함할 수 있다. 도 1은 게이트-올-어라운드(GAA) 디바이스, 나노-와이어 디바이스, 또는 나노-시트 디바이스의 대표적인 단면을 제공한다. 디바이스는 기판(100) 상에 형성되고, 실리콘-게르마늄층(102), 및 다른 노출된 물질, 예컨대, 캡 또는 더미 층, 예를 들어, 실리콘 질화물을 포함하는 스택을 포함한다.
도 1에 도시된 바와 같이, 에칭 공정은 실리콘-게르마늄층(102)에 리세스를 형성하기 위해 수행되었고, 때때로 실리콘-게르마늄 공동부 에칭으로서 지칭된다. 실리콘-게르마늄 공동부 에칭의 완료 시, 저-유전 상수 물질(예를 들어, 저-k 스페이서)의 얇은, 등각막은 예를 들어, 실리콘-게르마늄층(미도시)을 둘러싸기 위해, 원자층 증착(atomic layer deposition: ALD)을 사용하여, 필름 스택에 적용된다. 보통, 디바이스 제조는 균일한 에칭 전면을 포함하여, 5㎚ 이하의 정확도 내에서 실리콘-게르마늄층(102)의 제어된 에칭을 필요로 한다. 내부 스페이서 에칭(미도시)을 완료한 후, 공동부 에칭은 디바이스, 예를 들어, GAA 디바이스의 위 및 아래의 영역에서 내부 스페이서 두께를 실질적으로 규정할 것이다.
정밀한 에칭 제어는 공동부 형성을 위해 필수적이다. 예를 들어, (1) 리세스가 너무 작거나 또는 얕다면, 개량된 내부 스페이서 두께는 사양을 충족시키지 못할 수도 있고, 따라서, 게이트와 소스/드레인 간에 고 기생 커패시턴스를 발생시킬 수 있고, (2) 리세스가 너무 크거나 또는 깊다면, 개량된 내부 스페이서는 대체 게이트를 관통할 수 있고, 나노와이어 주위를 둘러싸는 게이트 금속의 양을 감소시키고, 이는 결국 채널 길이(Lg)에 영향을 줄 수 있다. 게다가, 위의 필요조건에 더하여, 더미 게이트, 하드 마스크, 산화물(예를 들어, 얕은 트렌치 분리(shallow trench isolation: STI), 및 층간 유전체(inter-layer dielectric: ILD0)), 및 게이트 주위의 저 K 물질에 대한 에칭 선택도가 필수적이다.
디바이스 제조 시 더 하류에서, 공정 흐름은 풀 채널 릴리스(full channel release)(본 명세서에서 상세히 논의되지 않음)로서 지칭되는, 실리콘에 대한 부가적인 선택적 실리콘-게르마늄 합금 에칭을 필요로 한다. 실리콘 채널의 임의의 손상 또는 손실을 최소화하기 위해, 실리콘에 대한 극심한 선택도를 가진, 등방성 실리콘-게르마늄 합금 에칭이 중요한 필요조건이다. 실리콘 손실은 채널의 다양한 두께 및 형상을 발생시킬 수 있고, 이는 불량한 디바이스 성능을 발생시킬 수 있다. 게다가, 게이트/내부 스페이서 물질 및 산화물에 대한 선택도는 이 단계에서 이롭다.
도 2에 도시된 바와 같이, 에칭 방법이 실시형태에 따라 제공된다. 방법은 도 2의 흐름도(200)를 통해 제공된다. 도 2에 제시된 바와 같이, 흐름도(200)는 실리콘-게르마늄 합금(102) 및 적어도 하나의 다른 물질(101)을 노출시키는 작업면을 가진 기판(100)을 수용하는 단계(210)로 시작된다. 실리콘-게르마늄 합금(102)은 SixGe1-x로 표현될 수 있고, x는 0 내지 1 범위의 실수이다. 값 'x'의 범위는 0 및 1을 포함할 수 있고, 따라서 합금은 전적으로 실리콘 또는 게르마늄 또는 이들의 혼합물이다. 대안적으로, 값 'x'의 범위는 0 및 1을 포함하지 않을 수 있고, 따라서 합금은 실리콘과 게르마늄 둘 다를 포함한다. 다른 물질(101)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물 또는 유기 물질, 또는 이들 중 2종 이상의 조합물을 포함할 수 있다.
기판(100)은 벌크 실리콘 기판, 단결정 실리콘 (도핑된 또는 미도핑된) 기판, 반도체-온-절연체(SOI) 기판, 또는 예를 들어, Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP뿐만 아니라 다른 III/V족 또는 II/VI족 화합물 반도체 또는 이들의 임의의 조합물을 포함하는 임의의 다른 반도체 기판을 포함할 수 있다(II족, III족, V족, VI족은 원소 주기율표에서 고전적인 또는 구(old) IUPAC 표기법을 나타내고; 개정된 또는 신(new) IUPAC 표기법에 따르면, 이들 족은 제2족, 제13족, 제15족, 제16족을 각각 나타낼 것이다). 기판(100)은 임의의 크기의 기판, 예를 들어, 200㎜(밀리미터) 기판, 300㎜ 기판, 450㎜ 기판 또는 훨씬 더 큰 기판일 수 있다. 디바이스 층은 패턴이 전사될 수 있는 임의의 막 또는 디바이스 구조체를 포함할 수 있다.
단계(220)에서, 실리콘-게르마늄 합금(102)은 무수 할로겐, 예컨대, 이원자 할로겐(예를 들어, F2), 질소-할로겐 화합물(예를 들어, NF3) 또는 인터할로겐 화합물(예를 들어, ClF3)을 함유하는 제어된 기상 환경에 기판을 노출시킴으로써 다른 물질(101)에 대해 선택적으로 에칭된다. 일부 실시형태에서, 무수 할로겐 화합물은 질소를 포함하지 않는다. 인터할로겐 화합물은 2개 이상의 상이한 할로겐 원자로 이루어진 화합물이다. 인터할로겐 화합물은 I, F, Cl 및 Br로 이루어진 군으로부터 선택된 적어도 2개의 원소를 포함할 수 있다. 인터할로겐 화합물은 F, Cl 및 Br로 이루어진 군으로부터 선택된 적어도 2개의 원소를 포함할 수 있다. 인터할로겐 화합물은 4원자 인터할로겐을 포함할 수 있다. 예로서, 인터할로겐 화합물은 ClF3을 포함할 수 있다. 기상 환경은 이들의 혼합물을 포함할 수 있고, 불활성 기체 원소, 할라이드, N2, H2 또는 NH3, 또는 이들 중 2종 이상의 조합물을 더 포함할 수 있다. 예를 들어, 기상 환경은 F2, NF3, ClF3 및 이들의 혼합물을 포함할 수 있다. 부가적으로, 기상 환경은 리모트 플라즈마(remote plasma) 또는 라디칼 소스로부터의 유출물을 더 포함할 수 있다. 대안적으로, 기상 환경은 ClF3로 이루어질 수 있다.
실리콘-게르마늄 합금(102)이 무수 할로겐 함유 화학물질에 노출될 때, 실리콘-게르마늄 플루오로실리케이트의 조성물일 것으로 예상되는 반응 부산물이 관찰될 수 있다. 이 반응 부산물은 감소된 압력하에서 100℃ 초과의 온도에서 휘발성인 것으로 판명되었다. 에칭 기체 대 희석 기체의 비는 디바이스 제조(예컨대, 앞서 설명된 GAA 디바이스)를 위한 필요조건에 대하여, 실리콘-게르마늄 합금(102)의 균일하고 등방성인 에칭을 달성하기 위해 신중하게 제어될 수 있고 조절될 수 있다. 게다가, 챔버 내부의 기체 압력은 처리량뿐만 아니라 요구될 수 있는 임의의 과다 에칭을 처리하기 위해 에칭 속도를 제어할 수 있게 한다. 또한, 공정 매개변수, 예컨대, 온도 및 에천트 농도는 목표 에칭 선택도를 달성하기 위해 신중하게 조절될 수 있다.
예로서, 기상 에칭은 적합한 온도(예를 들어, 일반적으로 50℃ 초과)에서 표면 상의 ClF3의 흡착에 의해 달성될 수 있고, 이는 표면을 활성화시키고 실리콘-게르마늄 합금 에칭을 구동시켜서 반응 부산물로서 실리콘-게르마늄-플루오로실리케이트의 조성물을 형성한다. 상이한 물질의 반응 속도는 2개의 인자, 즉, (1) 표면 상의 흡착(예를 들어, ClF3) 속도 및 (2) 표면에 근접한 에칭 기체의 가용성 또는 농도에 의해 주로 제어된다. 에칭 기체의 농도는 희석제(예를 들어, N2, Ar 등)의 첨가 및 챔버 압력을 포함하여, 에칭 기체 흐름을 변경함으로써 제어될 수 있다. 그 후, 반응 부산물은 감소된 압력하에서 기판의 온도를 상승시킴으로써 승화될 수 있다.
에칭은 무수 할로겐, 예를 들어, 인터할로겐 화합물에 노출될 때, 기판(100)의 온도를 60℃ 이상으로 상승시키는 것을 더 포함할 수 있다. 대안적으로, 기판의 온도는 70℃ 이상 또는 80℃ 이상으로 상승될 수 있다.
위에서 언급된 바와 같이, 에칭은 기판을 무수 할로겐, 예를 들어, 인터할로겐 화합물에 노출시킨 후, 기판을 100℃ 이상, 예를 들어, 170℃ 이상의 온도에서 사후 열처리하는 것을 더 포함할 수 있다. 무수 할로겐, 예를 들어, 인터할로겐 화합물을 함유하는 제어된 기상 환경에 기판을 노출시키는 것, 및 기판을 사후 열처리하는 것은 별개의 공정 챔버에서 독립적으로 수행될 수 있다. 대안적으로, 할로겐 화합물을 함유하는 제어된 기상 환경에 기판을 노출시키는 것, 및 기판을 사후 열처리하는 것은 동일한 공정 챔버에서 수행된다.
다른 공정 단계는 에칭 단계에 선행할 수 있고/있거나 후속할 수 있다. 예를 들어, 제거 단계 또는 천연 산화물 치우기 단계는 에칭 전에 실리콘-게르마늄 합금 표면을 세정하기 위해 수행될 수 있다. 에칭 단계는 NH3 및/또는 HF를 포함할 수 있지만, 다른 화학물질이 고려된다.
실리콘-게르마늄 합금(102)의 적어도 일부는 기판(100)으로부터 선택적으로 제거된다. 예를 들어, 실리콘-게르마늄 합금(102)은 다른 물질에 대해 선택적으로 제거될 수 있다. 선택적 에칭은 기판(100)을 탠덤 챔버 에칭 시스템, 예컨대, 도 3 내지 도 5에서 설명되는 시스템 또는 미국 특허 제7,029,536호(발명의 명칭: "Processing system and method for treating a substrate") 또는 미국 특허 제8,303,716호(발명의 명칭: "High throughput processing system for chemical treatment and thermal treatment and method of operating")에서 설명되는 시스템에 배치함으로써 수행될 수 있거나; 또는 선택적 에칭은 기판(100)을 단일 챔버 에칭 시스템, 예컨대, 도 6 및 도 7에 설명될 시스템 또는 미국 특허 제7,718,032호(발명의 명칭: "Dry non-plasma treatment system and method of using")에 설명된 시스템에 배치함으로써 수행될 수 있고; 상기 기초출원의 전문은 참조에 의해 본 명세서에 원용된다.
하나의 실시형태에 따르면, 선택적 에칭은 제1 설정값 온도에서, 무수 할로겐 화합물, 예컨대, 인터할로겐 화합물을 함유하는 화학적 환경에 기판의 표면을 노출시켜서 실리콘-게르마늄 합금의 표면 구역을 화학적으로 변경하고, 이어서 기판의 온도를 제2 설정값 온도로 상승시켜서 실리콘-게르마늄 합금의 화학적으로 처리된 표면 구역을 제거함으로써 수행된다. 예로서, 제1 설정값 온도는 60℃ 이상이고, 제2 설정값 온도는 170℃ 이상이다. 게다가, 이 공정 시퀀스는 에칭 필요조건을 충족시키기 위해 순환 방식으로 반복될 수 있다.
노출 동안, 실리콘-게르마늄 합금(102)의 노출된 표면을 포함하는, 워크피스의 선택된 표면은 기상 화학적 환경에 의해 화학적으로 처리된다. 발명자는 자가-제한 방식으로 진행되도록 이 표면층의 화학적 변화를 관찰하였다. 즉, 표면은 미리 결정된 양의 시간 동안 화학적 환경에 노출되고, 화학적 변화가 자가-제한 깊이로 진행된다. 특정한 물질이 목표되고 미리 결정된 깊이가 화학적 환경을 위한 처리 압력, 워크피스의 온도, 워크피스 홀더의 온도, 다른 챔버 컴포넌트의 온도, 화학적 환경의 조성물, 및 챔버로의 기상 구성 요소의 절대적 흐름 속도 및 상대적 흐름 속도를 포함하는, 다양한 공정 매개변수를 선택함으로써 달성될 수 있다. 워크피스의 온도 상승 시, 실리콘-게르마늄 합금(102)의 선택된 표면의 화학적으로 변화된 구역이 휘발되고 제거된다. 처리 동안, 이 단계는 실리콘-게르마늄 합금의 목표량을 에칭하기 위해 필요하다면 반복될 수 있다.
위에서 설명된 바와 같이, 기판 홀더 또는 기판의 온도는 하나의 물질을 또 다른 물질에 대해 선택적으로 제거하기 위해 선택될 수 있다. 하나의 예에서, 실리콘-게르마늄 합금으로 이루어진 층을 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 비정질 탄소, 및 유기 물질, 또는 금속을 포함하는 다른 물질에 대해 선택적으로 제거하기 위해, 기판 홀더 또는 기판의 제1 온도는 60℃, 70℃ 또는 80℃를 초과할 수 있거나 또는 50℃ 내지 100℃ 또는 60℃ 내지 90℃ 또는 바람직하게는 70℃ 내지 90℃일 수 있다.
인터할로겐 화합물에 더하여, 화학적 환경은 이원자 할로겐, 할라이드, HF, NF3, F2, NH3, N2 또는 H2 또는 이들 중 2종의 조합물을 함유할 수 있다. 화학적 환경은 불활성 원소를 더 함유할 수 있다. 다른 실시형태에서, 화학적 환경은 여기된 종, 라디칼 종 또는 준안정 종, 또는 이들 중 2종 이상의 임의의 조합물을 함유할 수 있다. 예를 들어, 건식 비-플라즈마 에칭 챔버는 할로겐, F, N, 또는 H의 여기된 종, 라디칼 종 또는 준안정 종을 건식 비-플라즈마 에칭 챔버에 공급하기 위해 배치된 리모트 플라즈마 생성기 또는 리모트 라디칼 생성기를 포함한다. 처리 압력은 1 mTorr 내지 5 Torr 또는 10 mTorr 내지 2 Torr, 또는 50 mTorr 내지 1 Torr, 또는 100 mTorr 내지 1 Torr일 수 있다.
그 후, 목표된, 화학적으로 변화된 표면층은 온도를 제1 설정값 온도로부터 제2 설정값 온도로 상승시킴으로써 탈착되고, 이는 동일한 챔버 또는 별개의 챔버에서 발생할 수 있다. 제2 설정값 온도는 100℃ 내지 225℃일 수 있거나 또는 바람직하게는, 제2 설정값 온도는 160℃ 내지 190℃이거나 또는 더 바람직하게는, 제2 설정값 온도는 170℃ 이상일 수 있다.
게다가, 노출 단계와 상승 단계는 교번하여 그리고 순차적으로 수행될 수 있다. 하나의 단계로부터 다음의 단계로 또는 하나의 사이클로부터 다음의 사이클로, 화학적 환경을 위한 처리 압력, 워크피스의 온도, 워크피스 홀더의 온도, 다른 챔버 컴포넌트의 온도, 화학적 환경의 조성물, 및 챔버로의 기상 구성 요소의 절대적 흐름 속도 및 상대적 흐름 속도를 포함하는, 다양한 공정 매개변수 중 임의의 하나 이상의 공정 매개변수가 조정될 수 있다.
실시형태에 따르면, 도 3은 본 개시내용의 하나의 실시형태에 따른 에칭 방법을 수행하는 에칭 시스템을 구비한 처리 시스템의 하나의 예를 도시하는 개략적인 구성도이다. 처리 시스템(300)은 실리콘-게르마늄 합금 및 또 다른 물질이 공존하는 목표 기판으로서, 반도체 기판(이하에 간단히 "웨이퍼"로서 지칭됨)(W)을 로딩하고 언로딩하도록 구성된 로딩/언로딩부(302); 로딩/언로딩부(302)에 인접하게 배치된 2개의 로드 록 챔버(L/L)(303); 대응하는 로드 록 챔버(303)에 인접하게 배치되고 웨이퍼(W)에 대해 열처리를 수행하도록 구성된 2개의 열처리 장치(304)(예를 들어, 열처리 챔버); 대응하는 열처리 장치(304)에 인접하게 배치되고 웨이퍼(W)에 대해 에칭을 수행하도록 구성된, 본 실시형태에 따른 2개의 에칭 장치(305)(예를 들어, 화학적 처리 챔버); 및 제어부(306)를 포함한다.
로딩/언로딩부(302)는 웨이퍼(W)를 이송하기 위한 제1 웨이퍼 이송 기구(311)가 설치되는, 이송 챔버(L/M)(312)를 포함한다. 제1 웨이퍼 이송 기구(311)는 웨이퍼(W)를 실질적으로 수평 위치에 홀딩하도록 구성된 2개의 이송 암(311a 및 311b)을 포함한다. 장착 스테이지(313)는 이송 챔버(312)의 하나의 길이방향 측면에 설치된다. 장착 스테이지(313)는 복수의 웨이퍼(W)를 수용할 수 있는 하나 이상의, 예를 들어, 3개의 기판 캐리어(C)를 연결시키도록 구성된다. 또한, 웨이퍼(W)를 회전시키고, 웨이퍼 상에 기준점을 위치시킴으로써 위치 정렬을 수행하도록 구성된 기판 배향 디바이스(314)는 이송 챔버(312)에 인접하게 설치된다.
로딩/언로딩부(302)에서, 웨이퍼(W)가 이송 암(311a 및 311b) 중 하나의 이송 암에 의해 홀딩되고, 실질적으로 수평면 내에서 선형으로 이동되거나 또는 제1 웨이퍼 이송 기구(311)의 작동에 의해 상하로 이동되어, 목적하는 위치로 이송된다. 또한, 이송 암(311a 및 311b)이 기판 캐리어(C), 배향 디바이스(314) 및 로드 록 챔버(303)를 향하여 또는 이들로부터 멀리 이동됨에 따라, 웨이퍼(W)는 장착 스테이지(313), 배향 디바이스(314) 및 로드 록 챔버(303) 상에 장착된 캐리어(C)에 대해 로딩되거나 또는 언로딩된다.
각각의 로드 록 챔버(303)와 이송 챔버(312)에 개재된 게이트 밸브(316)를 통해 로드 록 챔버(303)의 각각과 이송 챔버(312)가 연결된다. 웨이퍼(W)를 이송하기 위한 제2 웨이퍼 이송 기구(317)는 로드 록 챔버(303)의 각각 내에 설치된다. 로드 록 챔버(303)의 각각은 미리 결정된 진공도로 배기될 수 있도록 구성된다.
제2 웨이퍼 이송 기구(317)는 관절식 암 구조체를 갖고 웨이퍼(W)를 실질적으로 수평 위치에 홀딩하도록 구성된 픽(pick)을 포함한다. 제2 웨이퍼 이송 기구(317)에서, 픽은 관절식 암이 철수될 때, 로드 록 챔버(303)의 각각 내에 배치된다. 픽은 관절식 암이 연장될 때 대응하는 열처리 장치(304)에 도달할 수 있고, 관절식 암이 더 연장될 때 대응하는 에칭 장치(305)에 도달할 수 있다. 따라서, 제2 웨이퍼 이송 기구(317)는 웨이퍼(W)를 로드 록 챔버(303), 열처리 장치(304)와 에칭 장치(305) 사이에서 이송할 수 있다.
도 5에 도시된 바와 같이, 열처리 장치(304)의 각각은 진공-제어 챔버(420), 및 웨이퍼(W)를 챔버(420) 내에 장착하도록 구성된 장착 테이블(423)을 포함한다. 가열기(424)가 장착 테이블(423)에 내장된다. 웨이퍼(W)는 에칭 공정을 겪은 후 가열기(424)에 의해 가열되어, 웨이퍼(W) 상에 존재하는 에칭 잔여물을 증발시키고 제거한다. 웨이퍼(W)를 열처리 장치(304)와 대응하는 로드 록 챔버(303) 사이에서 이송시키는 로딩/언로딩 게이트(420a)가, 로드 록 챔버(303)의 부근의 챔버(420)의 측벽에 설치된다. 로딩/언로딩 게이트(420a)는 게이트 밸브(422)에 의해 개방되고 폐쇄된다. 또한, 웨이퍼(W)를 열처리 장치(304)와 대응하는 에칭 장치(305) 사이에서 이송시키는 로딩/언로딩 게이트(420b)가 에칭 장치(305)의 부근의 챔버(420)의 측벽에 설치된다. 로딩/언로딩 게이트(420b)는 게이트 밸브(454)에 의해 개방되고 폐쇄된다. 기체 공급 경로(425)는 챔버(420)의 측벽의 상부 부분에 연결된다. 기체 공급 경로(425)는 불활성 기체, 즉, N2, 기체 공급원(430)에 연결된다. 배기 경로(427)는 챔버(420)의 하단벽에 연결된다. 배기 경로(427)는 진공 펌프(433)에 연결된다. 유량 조정 밸브(431)는 기체 공급 경로(425)에 설치된다. 압력 조정 밸브(432)는 배기 경로(427)에 설치된다. 유량 조정 밸브(431) 및 압력 조정 밸브(432)를 제어함으로써, 챔버(420)의 내부는 미리 결정된 압력을 가진 불활성 또는 질소, 기체 분위기로 유지된다. 이 상태에서, 열처리가 수행된다. N2 기체 대신에, 다른 불활성 기체, 예컨대, Ar 기체 등이 사용될 수 있다.
제어부(306)는 처리 시스템(300)의 각각의 구성 부분을 제어하는 마이크로프로세서(컴퓨터)가 제공된 공정 제어기(391)를 포함한다. 처리 시스템(300)을 관리하기 위해 조작자가 명령 입력 작동 등을 수행하게 하는 키보드, 및 처리 시스템(300)의 작동 상태를 시각화하고 디스플레이하는 디스플레이를 포함하는 사용자 인터페이스(392)가 공정 제어기(391)에 연결된다. 공정 제어기의 제어하에서, 처리 시스템(300)에서 수행되는 다양한 유형의 공정, 예를 들어, 처리 기체의 공급 및 나중에 설명될 에칭 장치(305)의 각각 내 챔버의 내부의 배기를 실현하기 위한 제어 프로그램; 처리 시스템(300)의 각각의 구성 부분이 공정 조건에 따라 명시된 공정을 수행하게 하기 위한 제어 프로그램인 공정 레시피; 다양한 유형의 데이터베이스를 저장하는 저장부(393)가 또한 공정 제어기(391)에 연결된다. 레시피는 저장부(393)의 적합한 저장 매체(미도시)에 저장된다. 필요하다면, 임의의 레시피가 저장부(393)로부터 호출되고, 공정 제어기(391)에 의해 실행된다. 이 방식으로, 목적하는 공정은 공정 제어기(391)의 제어하에서 처리 시스템(300)에서 수행된다.
실시형태에 따르면, 에칭 장치(305)는 다른 물질에 대해, 실리콘-게르마늄 합금의 선택적 에칭을 수행하도록 구성된다. 에칭 장치(305)의 상세한 구성은 나중에 설명될 것이다.
처리 시스템(300)에서, 실리콘-게르마늄 합금 및 다른 물질이 웨이퍼(W) 상에서 노출된다. 이 유형의 복수의 웨이퍼(W)는 기판 캐리어(C) 내에서 로딩되고, 처리 시스템(300)으로 이송된다.
처리 시스템(300)에서, 웨이퍼들(W) 중 하나의 웨이퍼는 대기측 게이트 밸브(316)가 개방 상태로 유지되는 동안, 로딩/언로딩부(302)에 장착된 기판 캐리어(C)로부터 로드 록 챔버들(303) 중 하나의 로드 록 챔버로 제1 웨이퍼 이송 기구(311)의 이송 암(311a 및 311b) 중 하나의 이송 암에 의해 이송되고, 로드 록 챔버(303) 내에 배치된 제2 웨이퍼 이송 기구(317)의 픽으로 전달된다.
그 후, 대기측 게이트 밸브(316)가 폐쇄되고 로드 록 챔버(303)의 내부가 배기된다. 후속하여, 게이트 밸브(354)가 개방되고 픽이 대응하는 에칭 장치(305)로 연장되어, 웨이퍼(W)가 에칭 장치(305)로 이송된다.
그 후, 픽이 로드 록 챔버(303)로 복귀되고 게이트 밸브(354)가 폐쇄된다. 이어서, 에칭 공정은 아래에서 설명된 방식으로 에칭 장치(305) 내에서 수행된다.
에칭 공정이 완료된 후, 게이트 밸브(322 및 354)가 개방된다. 에칭된 웨이퍼(W)는 제2 웨이퍼 이송 기구(317)의 픽에 의해 열처리 장치(304)로 이송된다. N2 기체가 챔버(320)로 도입되는 동안, 장착 테이블(323) 상에 장착된 웨이퍼(W)가 가열기(324)에 의해 가열되어, 에칭 잔여물 등을 열 제거한다.
열처리가 열처리 장치(304)에서 완료된 후, 게이트 밸브(322)가 개방된다. 장착 테이블(323) 상에 장착된 에칭된 웨이퍼(W)는 제2 웨이퍼 이송 기구(318)의 픽에 의해 로드 록 챔버(303)로 이동된다. 이어서, 에칭된 웨이퍼(W)는 제1 웨이퍼 이송 기구(311)의 이송 암(311a 및 311b) 중 하나의 이송 암에 의해 캐리어들(C) 중 하나의 캐리어로 복귀된다. 따라서, 하나의 웨이퍼를 위한 공정이 완료된다.
처리 시스템(300)에서, 열처리 장치(304)는 필수적이지 않다. 열처리 장치가 처리 시스템(300)에 설치되지 않는 경우에, 에칭 공정을 겪은 후의 웨이퍼(W)는 제2 웨이퍼 이송 기구(317)의 픽에 의해 로드 록 챔버들(303) 중 하나의 로드 록 챔버로 이동될 수 있고, 이어서 제1 웨이퍼 이송 기구(311)의 이송 암(311a 및 311b) 중 하나의 이송 암에 의해 캐리어들(C) 중 하나의 캐리어로 복귀될 수 있다.
다음에, 에칭 장치(305)는 실시형태에 따라 상세히 설명될 것이다.
도 5는 본 실시형태에 따른 에칭 장치를 도시하는 단면도이다. 도 5에 도시된 바와 같이, 에칭 장치(305)는 밀봉 구조를 가진 챔버(540)를 포함한다. 웨이퍼(W)를 실질적으로 수평 위치에 장착하도록 구성된 장착 테이블(542)이 챔버(540) 내에 설치된다. 에칭 장치(305)는 에칭 기체를 챔버(540)로 공급하도록 구성된 기체 공급 기구(543) 및 챔버(540)의 내부를 배기하도록 구성된 배기 기구(544)를 더 포함한다.
챔버(540)는 챔버 본체(551) 및 덮개부(552)로 구성된다. 챔버 본체(551)는 실질적으로 원통형인 측벽부(551a) 및 하단부(551b)를 포함한다. 챔버 본체(551)의 상부 부분이 개방된다. 이 개방이 덮개부(552)에 의해 폐쇄된다. 측벽부(551a) 및 덮개부(552)가 밀봉 부재(미도시)에 의해 밀봉되어, 챔버(540)의 내부의 기밀을 확실하게 한다. 기체 도입 노즐(561)이 위로부터 챔버(540)의 내부를 향하여 연장되도록 덮개부(552)의 천장 벽을 통해 삽입된다.
웨이퍼(W)를 에칭 장치(305)의 챔버(540)와 열처리 장치(304)의 챔버(420) 사이에서 로딩하고 언로딩하는 로딩/언로딩 게이트(553)가 측벽부(551a)에 설치된다. 로딩/언로딩 게이트(553)는 게이트 밸브(554)에 의해 개방되고 폐쇄된다.
장착 테이블(542)은 위에서 볼 때 실질적으로 원형 형상(그러나, 형상은 임의적일 수 있음)을 갖고, 챔버(540)의 하단부(551b)에 고정된다. 장착 테이블(542)의 온도를 제어하도록 구성된 온도 제어기(555)는 장착 테이블(542) 내에 설치된다. 온도 제어기(555)는 온도 제어 매체(예를 들어, 물 등)를 순환시키는 도관을 포함한다. 장착 테이블(542)과 도관을 통해 흐르는 온도 제어 매체 간의 열 교환에 의해, 장착 테이블(542)의 온도가 제어되고, 따라서, 장착 테이블(542) 상에 장착된 웨이퍼(W)의 온도가 제어된다.
기체 공급 기구(543)는 예를 들어, 인터할로겐 화합물을 위한 기체 공급부를 포함하는, 다수의 기체 공급부(563, 564, 565, 566)를 포함할 수 있다. 기체 공급 기구(543)는 예를 들어, 기체 공급부를 하나 이상의 기체 라인(571) 및 기체 주입 노즐(561)에 공압식으로 연결시키기 위한 다수의 공급 라인(567, 568, 569, 570)을 더 포함한다.
유량 제어기(571)는 흐름 경로 개방/폐쇄 작동, 및 챔버(540)에 공급된 각각의 기체를 위한 유량 제어를 수행하도록 구성된다. 샤워 유사 방식으로 여기된 기체를 공급하기 위해 샤워 플레이트가 챔버(540)의 상부 부분에 설치될 수 있다.
배기 기구(544)는 챔버(540)의 하단부(551b)에 형성된 배기 포트(581)에 연결된 배기관(582)을 포함한다. 배기 기구(544)는 배기관(582)에 설치되고 챔버(540)의 내부 압력을 제어하도록 구성되는, 자동 압력 제어(automatic pressure control: APC) 밸브(583), 및 챔버(540)의 내부를 배기하도록 구성된 진공 펌프(584)를 더 포함한다.
챔버(540)의 측벽에서, 챔버(540)의 내부 압력을 측정하기 위한 압력 측정기로서, 2개의 커패시턴스 압력계(586a 및 586b)가, 챔버(540)에 삽입되도록 설치된다. 커패시턴스 압력계(586a)가 고압을 측정하도록 사용되는 한편, 커패시턴스 압력계(586b)가 저압을 측정하도록 사용된다. 웨이퍼(W)의 온도를 검출하기 위한 온도 센서(미도시)는 장착 테이블(542) 상에 장착된 웨이퍼(W)의 근방에 설치된다.
알루미늄은 에칭 장치(305)를 구성하는, 각각의 구성 부분, 예컨대, 챔버(540) 및 장착 테이블(542)의 물질로서 사용된다. 챔버(540)를 구성하는 알루미늄 물질은 순수한 알루미늄 물질 또는 양극산화된 내부면(챔버 본체(551) 등의 내부면)을 가진 알루미늄 물질일 수 있다. 반면에, 장착 테이블(542)을 구성하는 알루미늄 물질의 표면은 내마모성을 필요로 한다. 따라서, 높은 내마모성을 가진 산화물막(예를 들어, Al2O3 막)은 일부 실시형태에서 알루미늄 물질을 양극산화시킴으로써 알루미늄 물질의 표면 상에 적용될 수 있다.
또 다른 실시형태에 따르면, 워크피스(100)는 단일 챔버 에칭 시스템(예를 들어, 건식, 비-플라즈마 에칭 시스템 또는 화학적 및/또는 열처리 챔버), 예컨대, 도 6에서 설명된 시스템 내 기판 홀더 상에 배치된다. 단일 챔버 에칭 시스템은, (1) 워크피스의 표면을 50℃ 내지 100℃의 범위 내, 그리고 바람직하게는 60℃ 이상의 제1 설정값 온도에서 화학적 환경에 노출시켜서, 실리콘-게르마늄 합금(102)의 표면 구역을 화학적으로 변화시키는 것, 그리고 (2) 이어서, 워크피스의 온도를 100℃ 이상의 제2 설정값 온도로 상승시켜서 목표층의 화학적으로 처리된 표면 구역을 제거하는 것을 수행하도록 작동된다. 제1 설정값 온도는 50℃ 내지 100℃, 또는 70℃ 내지 90℃일 수 있고, 제2 설정값 온도는 110℃ 내지 225℃, 또는 170℃ 이상일 수 있다.
제1 설정값 온도는 열전달 유체를 제1 유체 설정값 온도에서 워크피스 홀더를 통해 흐르게 함으로써 설정될 수 있다. 제2 설정값 온도는 열전달 유체를 제2 유체 설정값 온도에서 워크피스 홀더를 통해 흐르게 함으로써 설정될 수 있다. 열전달 유체를 제2 유체 설정값 온도에서 워크피스 홀더를 통해 흐르게 하는 것에 더하여, 기판 홀더는 워크피스 홀더 내에 내장된 적어도 하나의 저항 가열 소자에 전력을 연결함으로써 가열될 수 있다. 대안적으로, 열전달 유체를 제2 유체 설정값 온도에서 워크피스 홀더를 통해 흐르게 하는 것에 더하여, 워크피스 홀더를 가열하는 것은 워크피스 홀더로부터 분리된 적어도 하나의 다른 열원을 사용한다.
또 다른 실시형태에 따르면, 미세전자 기판(625) 상의 물질의 건식 제거를 위한 에칭 시스템(600)이 도 6에 도시된다. 시스템(600)은 비-플라즈마 진공 환경에서 기판(625)을 처리하기 위한 공정 챔버(610), 공정 챔버(610) 내에 배치되고 기판(625)을 지지하도록 구성된 기판 홀더(620), 기판 홀더(620)에 연결되고 기판 홀더(620)의 온도를 2개 이상의 설정값 온도로 제어하도록 구성된 온도 제어 시스템(650), 공정 챔버(610)에 연결되고, 하나 이상의 공정 기체를 공정 챔버(610)에 공급하도록 배치된 기체 분배 시스템(630), 및 온도 제어 시스템(650)에 작동 가능하게 연결되고 기판 홀더(620)의 온도를 35℃ 내지 250℃로 제어하도록 구성된 제어기(660)를 포함한다. 예를 들어, 온도 제어 시스템(650)은 기판 홀더(620)의 온도를 35℃ 내지 100℃의 범위 내 제1 설정값 온도로 제어하고, 기판 홀더(620)의 온도를 100℃ 이상의 제2 설정값 온도로 조정하고 제어하도록 구성될 수 있다. 대안적으로, 예를 들어, 온도 제어 시스템(650)은 기판 홀더(620)의 온도를 10℃ 내지 100℃의 범위 내 제1 설정값 온도로 제어하고, 기판 홀더(620)의 온도를 100℃ 이상의 제2 설정값 온도로 조정하고 제어하도록 구성될 수 있다.
공정 챔버(610)는 공정 챔버(610)로부터 공정 기체를 배기하기 위해 진공 펌프(640)를 포함할 수 있다. 공정 챔버(610)는 여기된, 라디칼 종 또는 준안정 종 또는 이들의 조합물을 공정 챔버에 공급하도록 배치된 리모트 플라즈마 생성기 또는 리모트 라디칼 생성기를 더 포함할 수 있다.
기체 분배 시스템(630)은 기체 분배 조립체를 가진 샤워헤드 기체 주입 시스템, 및 기체 분배 조립체에 연결되고 하나 이상의 기체 분배 플리넘 또는 공급 라인을 형성하도록 구성된 하나 이상의 기체 분배 플레이트 또는 도관을 포함할 수 있다. 도시되지 않았지만, 하나 이상의 기체 분배 플리넘은 하나 이상의 기체 분배 배플 플레이트를 포함할 수 있다. 하나 이상의 기체 분배 플레이트는 공정 기체를 하나 이상의 기체 분배 플리넘으로부터 공정 챔버(610)로 분배하기 위해 하나 이상의 기체 분배 오리피스를 더 포함한다. 부가적으로, 하나 이상의 기체 공급 라인은 예를 들어, 하나 이상의 기체를 포함하는 공정 기체를 공급하기 위해 기체 분배 조립체를 통해 하나 이상의 기체 분배 플리넘에 연결될 수 있다. 공정 기체는 단일의 흐름으로서 함께 또는 별개의 흐름으로서 독립적으로 도입될 수 있다.
기체 분배 시스템(630)은 기체 분배 용적을 감소시키거나 또는 최소화하도록 설계된 분기 기체 분배 네트워크를 더 포함할 수 있다. 분기 네트워크는 플리넘을 제거할 수 있거나 또는 기체 플리넘의 용적을 최소화할 수 있고 기체 밸브로부터 공정 챔버로의 기체 분배 길이를 단축시킬 수 있으면서, 공정 기체를 기판(625)의 직경에 걸쳐 효과적으로 분배시킨다. 그렇게 해서, 기체가 더 신속하게 전환될 수 있고, 화학적 환경의 조성이 더 효과적으로 변화될 수 있다.
체류 시간 또는 하나의 화학적 환경을 또 다른 화학적 환경으로 배기하고, 대체하고, 교체하기 위해 필요한 시간을 감소시키거나 또는 최소화하기 위해, 기판(625)이 노출되는 화학적 환경을 규정하는 공정 챔버(610)의 용적은 감소될 수 있거나 또는 최소화될 수 있다. 공정 챔버(610)에서 화학적 환경을 변위하기 위한 시간은 공정 챔버 용적 대 진공 펌프(640)에 의해 공정 챔버 용적으로 전달되는 펌핑 속도의 비로서 추정될 수 있다.
기판 홀더(620)는 기판(625)을 열적으로 제어하고 처리하기 위한 수개의 작동 기능을 제공할 수 있다. 기판 홀더(620)는 기판(620)의 온도를 조정하고/하거나 상승시키도록 구성된 하나 이상의 온도 제어 소자를 포함한다.
도 7에 도시된 바와 같이, 기판 홀더(620)는 열전달 유체의 흐름이 통과할 수 있게 하고 기판 홀더(620)의 온도를 변화시키기 위한 적어도 하나의 유체 채널(622)을 포함할 수 있다. 기판 홀더(620)는 적어도 하나의 저항 가열 소자(624)를 더 포함할 수 있다. 다구역 채널 및/또는 가열 소자는 기판(625)의 가열 및 냉각의 공간적 균일도를 조정하고 제어하도록 사용될 수 있다. 예를 들어, 적어도 하나의 저항 가열 소자(624)는 중심 구역 가열 소자 및 에지 구역 가열 소자를 포함할 수 있다. 부가적으로, 예를 들어, 적어도 하나의 유체 채널(622)은 중심 구역 유체 채널 및 에지 구역 유체 채널을 포함할 수 있다. 200℃ 초과 내지 250℃의 온도에서, 적외선(infrared: IR) 가열, 예컨대, 램프 가열 등을 포함하는, 다른 가열 시스템이 사용될 수 있다.
전력원(658)은 전류를 공급하기 위해 적어도 하나의 저항 가열 소자(624)에 연결된다. 전력원(658)은 직류(direct current: DC) 전력원 또는 교류(alternating current: AC) 전력원을 포함할 수 있다. 게다가, 적어도 하나의 저항 가열 소자(624)는 직렬로 연결될 수 있거나 또는 병렬로 연결될 수 있다.
적어도 하나의 가열 소자(624)는 예를 들어, 탄소, 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물 등으로부터 제조된 저항 가열기 소자를 포함할 수 있다. 저항 가열 소자를 제조하기 위해 상업적으로 입수 가능한 물질의 예는 코네티컷주 베델 소재의 Kanthal사가 생산하는 금속 합금의 상표명으로 등록된, Kanthal, Nikrothal, Akrothal을 포함한다. Kanthal 패밀리는 페라이트계 합금(FeCrAl)을 포함하고 Nikrothal 패밀리는 오스테나이트계 합금(NiCr, NiCrFe)을 포함한다. 하나의 예에 따르면, 적어도 하나의 저항 가열 소자(624)의 각각은 Watlow Electric Manufacturing Company(12001 Lackland Road, St. Louis, MO 63146)로부터 상업적으로 입수 가능한 가열 소자를 포함할 수 있다. 대안적으로 또는 부가적으로, 냉각 소자가 임의의 실시형태에서 이용될 수 있다.
열전달 유체 분배 매니폴드(652)는 하나 이상의 유체 채널(622)를 통한 열전달 유체의 흐름을 펌핑하고 모니터링하기 위해 배치된다. 열전달 유체 분배 매니폴드(652)는 제1 열전달 유체 온도에서 제1 열전달 유체 공급 욕(654) 그리고/또는 제2 열전달 유체 온도에서 제2 열전달 유체 공급 욕(656)으로부터 열전달 유체를 인출할 수 있다. 매니폴드(652)는 중간 온도를 달성하기 위해 제1 및 제2 유체 욕(654, 656)으로부터의 열전달 유체를 혼합할 수 있다. 게다가, 열전달 유체 분배 매니폴드(652)는 미리 결정된 온도에서 열전달 유체를 제어 가능하게 공급하고, 분배하고, 혼합하기 위해 펌프, 밸브 조립체, 가열기, 냉각기 및 유체 온도 센서를 포함할 수 있다.
대안적인 실시형태에서, 온도 제어 시스템(660)은 워크피스 홀더(620)에 매우 인접한 고온 벽을 포함할 수 있다. 기판 홀더(620)는 기판을 기판 홀더에 클램핑하도록 구성된 기판 클램핑 시스템, 및 열전달 기체를 기판의 후면에 공급하도록 구성된 후면 기체 공급 시스템을 더 포함할 수 있다.
열전달 유체는 200℃를 초과하는 비등점을 가진 고온 유체를 포함할 수 있다. 예를 들어, 열전달 유체는 3M으로부터 상업적으로 입수 가능한, FluorinertTM FC40(-57℃ 내지 165℃의 온도를 가짐) 또는 FluorinertTM FC70(-25℃ 내지 215℃의 온도를 가짐)을 포함할 수 있다.
기판 홀더(620)는 온도 감지 디바이스, 예컨대, 열전대(예를 들어 K-유형 열전대, Pt 센서 등) 또는 광학 디바이스를 사용하여 모니터링될 수 있다. 게다가, 기판 홀더 온도 제어 시스템(650)은 기판 홀더(620)의 온도를 제어하기 위해 기판 홀더(620)에 대한 피드백으로서 온도 측정을 이용할 수 있다. 예를 들어, 유체 유량, 유체 온도, 열전달 기체 유형, 열전달 기체 압력, 클램핑력, 저항 가열기 소자 전류 또는 전압, 열전기 디바이스 전류 또는 극성 등 중 적어도 하나는 기판 홀더(620)의 온도 및/또는 기판(625)의 온도의 변화에 영향을 주기 위해 조정될 수 있다.
위에서 언급된 바와 같이, 제어기(660)는 온도 제어 시스템(650)에 작동 가능하게 연결되고, 기판 홀더(620)를 포함하는, 에칭 시스템(600) 내 다양한 컴포넌트의 온도를 10℃ 내지 250℃, 또는 35℃ 내지 250℃, 또는 50℃ 내지 250℃의 온도로 제어하도록 구성된다. 예를 들어, 제어기(660)의 명령하에서, 온도 제어 시스템(650)은 기판 홀더(620)의 온도를 35℃ 내지 100℃ 범위 내 제1 설정값 온도로 제어하고, 기판 홀더(320)의 온도를 100℃ 이상의 제2 설정값 온도로 조정하고 제어하도록 구성될 수 있다(위에서 설명된 공정 레시피를 참조). 온도 제어 시스템(650)은 기판 홀더(620), 기판(625), 공정 챔버(610)의 챔버 벽의 온도 또는 특히, 기체 분배 시스템(630)의 온도를 측정하도록 배치된 하나 이상의 온도 센서로부터 온도 정보를 획득할 수 있고, 이 온도를 제어 가능하게 조정하기 위해 온도 정보를 이용할 수 있다.
예로서, 기판 홀더(620)의 온도를 35℃ 내지 100℃의 범위 내 제1 설정값 온도로부터 100℃ 이상의 제2 설정값 온도로 변화시킬 때, 열전달 온도의 유체 온도는 열전달 유체 공급 욕(654, 656)으로부터 인출된 열전달 유체의 비를 변화시킴으로써 신속하게 조정될 수 있다. 일단 목표된 제2 설정값 온도의 미리 결정된 범위 내에 있다면, 적어도 하나의 저항 가열 소자는 설정값 온도를 정확하게 제어하기 위해 이용될 수 있다. 기판 홀더(620)는 비교적 낮은 열용량을 갖도록 설계될 수 있다. 예를 들어, 홀더의 두께 및 홀더의 물질 조성은 홀더의 열용량을 감소시키거나 또는 최소화하도록 설계될 수 있다. 게다가, 열전달 유체를 적어도 하나의 유체 채널(622)로 공급하는 유체 도관을 포함하는 적어도 하나의 유체 채널(622)은, 유체 온도를 신속하게 변화시키기 위해 저 용적을 갖도록 설계될 수 있다. 예를 들어, 유체 채널 및 도관의 길이 및 직경은 용적을 감소시키거나 또는 최소화하기(즉, 하나의 온도의 유체를 변위시키고, 이 유체를 또 다른 온도의 유체로 교체하는 데 필요한 시간을 감소시키기) 위해 설계될 수 있다.
챔버 벽, 기체 분배 시스템(630) 등을 포함하는 공정 챔버(610)의 다른 챔버 컴포넌트는, 그 온도를 제어하기 위해 가열 소자 및/또는 냉각 소자를 포함할 수 있다. 예를 들어, 공정 챔버(610)의 챔버 벽 온도 및 기체 분배 시스템의 적어도 일부의 온도는 150℃까지, 또는 50℃ 내지 150℃(바람직하게는, 70℃ 내지 110℃)의 범위 내 온도로 제어될 수 있다.
본 발명의 특정한 실시형태만이 위에서 상세히 설명되었지만, 당업자라면 본 발명의 새로운 교시내용 및 장점을 실질적으로 벗어나지 않으면서 실시형태에서 많은 변형이 가능하다는 것을 쉽게 이해할 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (20)

  1. 실리콘-게르마늄 합금 및 적어도 하나의 다른 물질을 노출시키는 작업면을 가진 기판을 수용하는 단계로서, 상기 실리콘-게르마늄 합금은 SixGe1-x로서 표현되고, x는 0 내지 1 범위의 실수인, 단계; 및
    무수 할로겐 화합물을 함유하는 제어된 기상 환경에 상기 기판을 노출시킴으로써 상기 다른 물질에 대해 상기 실리콘-게르마늄 합금을 선택적으로 에칭하는 단계를 포함하는, 에칭 방법.
  2. 제1항에 있어서, 상기 무수 할로겐 화합물은 이원자 할로겐, 또는 인터할로겐 화합물(interhalogen compound), 또는 이들의 조합물을 포함하는, 방법.
  3. 제2항에 있어서, 상기 인터할로겐 화합물은 4원자 인터할로겐을 포함하는, 방법.
  4. 제1항에 있어서, 상기 무수 할로겐 화합물은 F2 또는 ClF3, 또는 이들의 조합물을 포함하는, 방법.
  5. 제1항에 있어서, 상기 무수 할로겐 화합물은 ClF3으로 이루어진, 방법.
  6. 제1항에 있어서, 상기 무수 할로겐 화합물은 F2로 이루어진, 방법.
  7. 제1항에 있어서, 상기 기상 환경은 불활성 기체 원소, HF, NF3, NH3, 리모트 플라즈마 소스(remote plasma source)로부터의 유출물, 또는 이들 중 2종 이상의 조합물을 더 포함하는, 방법.
  8. 제1항에 있어서, 상기 다른 물질은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물 또는 유기 물질, 또는 이들 중 2종 이상의 조합물을 포함하는, 방법.
  9. 제1항에 있어서, 상기 선택적으로 에칭하는 단계는,
    상기 무수 할로겐 화합물에 노출될 때 상기 기판의 온도를 60℃ 이상으로 상승시키는 것을 더 포함하는, 방법.
  10. 제1항에 있어서, 상기 선택적으로 에칭하는 단계는,
    상기 무수 할로겐 화합물에 노출될 때 상기 기판의 온도를 70℃ 이상으로 상승시키는 것을 더 포함하는, 방법.
  11. 제1항에 있어서, 상기 선택적으로 에칭하는 단계는,
    상기 무수 할로겐 화합물에 노출될 때 상기 기판의 온도를 80℃ 이상으로 상승시키는 것을 더 포함하는, 방법.
  12. 제1항에 있어서, 상기 선택적으로 에칭하는 단계는,
    상기 기판을 상기 무수 할로겐 화합물에 노출시킨 후 상기 기판을 170℃ 이상의 온도로 사후 열처리하는 것을 더 포함하는, 방법.
  13. 제12항에 있어서, 상기 기판을 상기 무수 할로겐 화합물을 함유하는 상기 제어된 기상 환경에 노출시키는 것, 및 상기 기판을 사후 열처리하는 것은 별개의 공정 챔버에서 독립적으로 수행되는, 방법.
  14. 제12항에 있어서, 상기 기판을 상기 무수 할로겐 화합물을 함유하는 상기 제어된 기상 환경에 노출시키는 것, 및 상기 기판을 사후 열처리하는 것은 동일한 공정 챔버에서 수행되는, 방법.
  15. 실리콘-게르마늄 합금 및 적어도 하나의 다른 물질을 노출시키는 작업면을 가진 기판을 수용하는 단계로서, 상기 실리콘-게르마늄 합금은 SixGe1-x로서 표현되고, x는 0 내지 1 범위의 실수인, 단계; 및
    다음의 작동을 수행함으로써 상기 실리콘-게르마늄 합금의 적어도 일부를 선택적으로 제거하는 단계를 포함하는, 에칭 방법:
    제1 설정값 온도에서 무수 할로겐 화합물을 함유하는 화학적 환경에 상기 기판의 표면을 노출시켜서 상기 실리콘-게르마늄 합금의 표면 구역을 화학적으로 변화시키는 작동, 및
    이어서, 상기 기판의 온도를 제2 설정값 온도로 상승시켜서 상기 실리콘-게르마늄 합금의 화학적으로 처리된 표면 구역을 제거하는 작동.
  16. 제15항에 있어서, 상기 무수 할로겐 화합물은 이원자 할로겐, 또는 인터할로겐 화합물, 또는 이들의 조합물을 포함하는, 방법.
  17. 제16항에 있어서, 상기 인터할로겐 화합물은 ClF3을 포함하는, 방법.
  18. 제15항에 있어서, 상기 인터할로겐 화합물은 ClF3으로 이루어지고, 상기 이원자 할로겐은 F2로 이루어진, 방법.
  19. 제15항에 있어서, 상기 제1 설정값 온도는 60℃ 이상이고, 상기 제2 설정값 온도는 170℃ 이상인, 방법.
  20. 제15항에 있어서, 상기 실리콘-게르마늄 합금은 FET, finFET, cFET, 게이트-올-어라운드(gate-all-around: GAA) 디바이스, 나노-와이어 디바이스, 또는 나노-시트 디바이스의 부분인, 방법.
KR1020207036082A 2018-07-20 2019-06-10 실리콘-게르마늄 합금의 제어 가능한 에칭 선택도를 가진 기상 에칭 KR20210023851A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862701223P 2018-07-20 2018-07-20
US62/701,223 2018-07-20
PCT/US2019/036287 WO2020018196A1 (en) 2018-07-20 2019-06-10 Gas phase etch with controllable etch selectivity of silicon-germanium alloys

Publications (1)

Publication Number Publication Date
KR20210023851A true KR20210023851A (ko) 2021-03-04

Family

ID=69161957

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207036082A KR20210023851A (ko) 2018-07-20 2019-06-10 실리콘-게르마늄 합금의 제어 가능한 에칭 선택도를 가진 기상 에칭

Country Status (4)

Country Link
US (1) US10923356B2 (ko)
KR (1) KR20210023851A (ko)
TW (1) TWI784174B (ko)
WO (1) WO2020018196A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL296563A (en) 2020-04-21 2022-11-01 Praxair Technology Inc Innovative methods for selective etching in gas phases of silicon-germanium layers
US11424120B2 (en) 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques
US11538690B2 (en) * 2021-02-09 2022-12-27 Tokyo Electron Limited Plasma etching techniques
JP7320135B2 (ja) 2021-06-17 2023-08-02 株式会社日立ハイテク プラズマ処理方法および半導体装置の製造方法
CN114639606A (zh) * 2022-03-01 2022-06-17 复旦大学 沟道的刻蚀方法、半导体器件及其制备方法与电子设备
US20240055268A1 (en) * 2022-08-10 2024-02-15 Tokyo Electron Limited Substrate processing with selective etching
US20240096639A1 (en) * 2022-09-15 2024-03-21 Tokyo Electron Limited Surface modification to achieve selective isotropic etch
US20240128088A1 (en) * 2022-10-17 2024-04-18 Tokyo Electron Limited Selective gas phase etch of silicon germanium alloys

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1099244B1 (en) * 1998-07-23 2007-01-24 Surface Technology Systems Plc Method for anisotropic etching
DE102007033685A1 (de) * 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US9355856B2 (en) * 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
KR102323389B1 (ko) * 2016-03-02 2021-11-05 도쿄엘렉트론가부시키가이샤 튜닝가능한 선택도를 갖는 등방성 실리콘 및 실리콘-게르마늄 에칭
JP6619703B2 (ja) * 2016-06-28 2019-12-11 株式会社Screenホールディングス エッチング方法
US10497796B1 (en) * 2018-05-31 2019-12-03 International Business Machines Corporation Vertical transistor with reduced gate length variation

Also Published As

Publication number Publication date
TW202007794A (zh) 2020-02-16
US20200027741A1 (en) 2020-01-23
WO2020018196A1 (en) 2020-01-23
TWI784174B (zh) 2022-11-21
US10923356B2 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
US10923356B2 (en) Gas phase etch with controllable etch selectivity of silicon-germanium alloys
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US11538691B2 (en) Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks
US20190333793A1 (en) Pre-clean chamber and process with substrate tray for changing substrate temperature
US10163656B2 (en) Methods for dry etching cobalt metal using fluorine radicals
US11380554B2 (en) Gas phase etching system and method
US11715643B2 (en) Gas phase etch with controllable etch selectivity of metals
US20170207103A1 (en) Gas phase etch of amorphous and poly-crystalline silicon from high aspect ratio features with high selectivity towards various films
US11322350B2 (en) Non-plasma etch of titanium-containing material layers with tunable selectivity to alternate metals and dielectrics
US20230377997A1 (en) Contact formation process for cmos devices

Legal Events

Date Code Title Description
E902 Notification of reason for refusal