KR20200096093A - Fin-based strap cell structure for improving memory performance - Google Patents

Fin-based strap cell structure for improving memory performance Download PDF

Info

Publication number
KR20200096093A
KR20200096093A KR1020190139667A KR20190139667A KR20200096093A KR 20200096093 A KR20200096093 A KR 20200096093A KR 1020190139667 A KR1020190139667 A KR 1020190139667A KR 20190139667 A KR20190139667 A KR 20190139667A KR 20200096093 A KR20200096093 A KR 20200096093A
Authority
KR
South Korea
Prior art keywords
well
type
type well
strap
region
Prior art date
Application number
KR1020190139667A
Other languages
Korean (ko)
Other versions
KR102357523B1 (en
Inventor
펭-밍 창
치아-하오 파오
리엔 중 훙
핑-웨이 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/529,380 external-priority patent/US11127746B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200096093A publication Critical patent/KR20200096093A/en
Application granted granted Critical
Publication of KR102357523B1 publication Critical patent/KR102357523B1/en

Links

Images

Classifications

    • H01L27/1104
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • H01L27/1116
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)

Abstract

Disclosed is a fin-based well strap for improving the performance of a memory array such as a static random access memory array. An exemplary well strap cell is disposed between a first memory cell and a second memory cell. The well strap cell includes a p-type well, a first n-type well, and a second n-type well disposed on a substrate. The p-type well, the first n-type well, and the second n-type well are formed in the well strap cell so that there are no the first n-type well and the second n-type well along a gate length direction in a middle part of the well strap cell. The well strap cell further includes a p-type well pickup area to the p-type well, and an n-type well pickup area to the first n-type well, the second n-type well, or both. The p-type well has an I-shaped plan view along the gate length direction.

Description

메모리 성능 향상을 위한 핀 기반 스트랩 셀 구조물{FIN-BASED STRAP CELL STRUCTURE FOR IMPROVING MEMORY PERFORMANCE}Fin-based strap cell structure for improving memory performance {FIN-BASED STRAP CELL STRUCTURE FOR IMPROVING MEMORY PERFORMANCE}

본원은 2019년 1월 31일자로 출원된 미국 가특허 출원 제62/799,520호의 이익을 주장하며, 그 전체 내용은 본 명세서에 참고로 포함된다.This application claims the benefit of U.S. Provisional Patent Application No. 62/799,520, filed on January 31, 2019, the entire contents of which are incorporated herein by reference.

정적 랜덤 액세스 메모리(Static Random Access Memory, SRAM)는 일반적으로 전력이 인가될 때만 저장된 데이터를 유지할 수 있는 임의의 메모리 또는 스토리지를 말한다. 집적 회로(Integrated Circuit, IC) 기술이 더 작은 기술 노드로 발전함에 따라, SRAM은 종종 핀형 전계 효과 트랜지스터(Fin-like Field Effect Transistors, FinFET)와 같은 핀 기반 구조물을 SRAM 셀에 통합하여 성능을 향상시키며, 여기서 각각의 SRAM 셀은 1 비트의 데이터를 저장할 수 있다. SRAM 셀 성능은 레이아웃에 크게 의존하기 때문에(예를 들어, SRAM 어레이의 내부 SRAM 셀은 SRAM 어레이의 엣지 SRAM 셀과는 달리 수행할 것으로 관찰되었다), 웰 퍼텐셜 안정화를 위해 핀 기반 웰 스트랩 셀이 구현되어, SRAM 어레이에 걸친 균일한 전하 분포 그리고 이에 따른 SRAM 어레이의 SRAM 셀 사이에서의 균일한 성능을 가능하게 하였다. 그러나, 핀 치수가 축소됨에 따라, 핀 기반 웰 스트랩 셀은 픽업 저항을 증가시키고, 및/또는 SRAM 어레이의 래치 업 성능을 감소시키는 것으로 관찰되었다. 따라서, SRAM 어레이를 위한 기존의 웰 스트랩 셀은 일반적으로 의도된 목적에 적절하지만, 모든 점에서 완전히 만족스럽지는 않았다.Static random access memory (SRAM) generally refers to any memory or storage that can hold stored data only when power is applied. As integrated circuit (IC) technology advances to smaller technology nodes, SRAM often improves performance by incorporating fin-based structures such as fin-like field effect transistors (FinFETs) into SRAM cells. Here, each SRAM cell can store 1 bit of data. Because SRAM cell performance is highly dependent on layout (for example, it has been observed that internal SRAM cells in an SRAM array perform differently than edge SRAM cells in an SRAM array), a pin-based well strap cell is implemented to stabilize the well potential. Thus, a uniform charge distribution across the SRAM array and thus uniform performance between the SRAM cells of the SRAM array was enabled. However, as the pin dimensions shrink, it has been observed that pin-based well strap cells increase the pickup resistance and/or decrease the latch-up performance of the SRAM array. Thus, conventional well strap cells for SRAM arrays are generally suitable for their intended purpose, but not completely satisfactory in all respects.

본 개시는 첨부 도면과 함께 다음의 상세한 설명을 읽으면 가장 잘 이해할 수 있다. 본 산업계에서의 표준 관행에 따라, 다양한 피처부는 일정한 비율로 도시되지 않았다는 점에 유의한다. 실제로, 다양한 피처부의 치수는 설명의 명료성을 위해 임의로 증가 또는 감소될 수 있다.
도 1은, 본 개시의 다양한 양태에 따른, 메모리의 부분 개략 평면도이다.
도 2a, 도 2b, 도 2c, 도 2d, 도 2e, 도 2f, 및 도 2g는, 본 개시의 다양한 양태에 따른, 도 1의 메모리에서 구현될 수 있는 웰 스트랩 셀의 일부 또는 전부의 부분 개략도이다.
도 3은, 본 개시의 다양한 양태에 따른, 도 1의 메모리에서 구현될 수 있는 웰 스트랩 셀의 다른 실시예의 일부 또는 전부를 도시하는 간략화된 개략 평면도이다.
도 4는, 본 개시의 다양한 양태에 따른, 도 1의 메모리에서 구현될 수 있는 웰 스트랩 열(column)의 일부의 부분 평면도이다.
도 5는, 본 개시의 다양한 양태에 따른, 도 1의 메모리에서 구현될 수 있는 단일 포트 SRAM 셀의 회로도이다.
도 6은, 본 개시의 다양한 양태에 따른, 도 1의 메모리에서 구현될 수 있는 SRAM 어레이의 부분 또는 전체의 부분 평면도이다.
The present disclosure may be best understood by reading the following detailed description in conjunction with the accompanying drawings. It is noted that, according to standard practice in the industry, the various features are not drawn to scale. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
1 is a partial schematic plan view of a memory, in accordance with various aspects of the present disclosure.
2A, 2B, 2C, 2D, 2E, 2F, and 2G are partial schematic diagrams of some or all of a well strap cell that may be implemented in the memory of FIG. 1 in accordance with various aspects of the present disclosure. to be.
3 is a simplified schematic plan view illustrating some or all of another embodiment of a well strap cell that may be implemented in the memory of FIG. 1, in accordance with various aspects of the present disclosure.
4 is a partial plan view of a portion of a well strap column that may be implemented in the memory of FIG. 1, in accordance with various aspects of the present disclosure.
5 is a circuit diagram of a single port SRAM cell that may be implemented in the memory of FIG. 1, in accordance with various aspects of the present disclosure.
6 is a partial or full partial plan view of an SRAM array that may be implemented in the memory of FIG. 1, in accordance with various aspects of the present disclosure.

본 개시는 일반적으로 집적 회로(Integrated Circuit, IC) 장치에 관한 것으로, 특히 메모리 성능을 향상시키기 위한 핀 기반 스트랩 셀 구조물에 관한 것이다.The present disclosure generally relates to an integrated circuit (IC) device, and more particularly, to a pin-based strap cell structure for improving memory performance.

다음의 개시는 본 발명의 다양한 피처를 구현하기 위한 많은 다양한 실시예 또는 예를 제공한다. 본 개시의 간략화를 위해 구성 요소 및 배치 중 특정 예가 이하에 설명된다. 물론, 이는 단지 예일 뿐이며, 한정하려는 의도가 아니다. 예컨대, 다음의 설명에서 제2 피처부 상의 또는 그 위의 제1 피처부의 형성은, 제1 및 제2 피처부가 직접 컨택하여 형성되는 실시예를 포함할 수도 있고, 또한 부가적인 피처부가 제1 및 제2 피처부 사이에 형성되어 제1 및 제2 피처부가 직접 컨택하지 않는 실시예를 포함할 수도 있다.The following disclosure provides many different embodiments or examples for implementing various features of the present invention. Specific examples of components and arrangements are described below for simplicity of the present disclosure. Of course, this is just an example and not intended to be limiting. For example, in the following description, the formation of the first feature portion on or above the second feature portion may include an embodiment in which the first and second feature portions are formed by direct contact, and additional feature portions are the first and An embodiment in which the first and second feature portions are formed between the second feature portions and do not directly contact may be included.

또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략화 및 명료성을 위한 것이며, 논의되는 다양한 실시예 및/또는 구성 간의 관계를 그 자체로 나타내지 않는다. 또한, 이하의 본 개시에서 하나의 피처부 위에, 그에 연결되도록, 및/또는 결합되도록 또 다른 피처부를 형성함은 그 피처부가 직접 접촉하여 형성되는 실시예를 포함할 수도 있고, 피처부가 직접 접촉하지 않도록 추가의 피처부가 그 피처부 사이에 개재되는 실시예를 포함할 수도 있다. 또한, "하부의", "상부의", "수평의", "수직의", "위에", "상에", "밑에", "아래에", "위", "아래", "최상부", "최하부" 등과 같은 공간적으로 상대적인 용어 뿐만 아니라 (예를 들어, "수평으로", "아래로", "위로" 등과 같은) 이의 파생어가 본 개시에서 하나의 피처부와 다른 피처부와의 관계에 대한 설명을 가능하게 하도록 사용된다. 공간적으로 상대적인 용어는 피처부를 포함하는 장치가 다른 방향으로 위치되는 것을 포함하도록 의도된다.In addition, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for simplicity and clarity and does not, by itself, represent the relationship between the various embodiments and/or configurations discussed. In addition, in the following disclosure, forming another feature portion on, connected to, and/or coupled to one feature portion may include an embodiment in which the feature portion is formed by direct contact, and the feature portion is not directly contacted. It is also possible to include an embodiment in which additional feature portions are interposed between the feature portions. Also, "bottom", "top", "horizontal", "vertical", "top", "top", "bottom", "bottom", "top", "bottom", "top" Spatially relative terms such as ", "lowest part", etc., as well as derivatives thereof (e.g., "horizontally", "downward", "upward", etc.) are used in this disclosure to refer to one feature part and another feature part. It is used to allow the description of the relationship: Spatially relative terms are intended to include the device containing the features being positioned in different directions.

진보된 IC 기술 노드의 경우, (비평면 트랜지스터라고도 하는) 핀형 전계 효과 트랜지스터(Fin-like Field Effect Transistors, FinFET)는 고성능 및 저누설 애플리케이션에 있어서 대중적이고 유망한 후보가 되었다. 정적 랜덤 액세스 메모리(Static Random Access Memory, SRAM) 어레이와 같은 메모리 어레이는 종종 메모리 셀에 FinFET을 통합하여 성능을 향상시키며, 여기서 각각의 메모리 셀은 1 비트의 데이터를 저장할 수 있다. 메모리 셀 성능은 레이아웃에 크게 의존한다. 예를 들어, 메모리 어레이의 내부 메모리 셀은 메모리 어레이의 엣지 메모리 셀과는 달리 수행할 것으로 관찰되었다. 일부 실시예에서, 내부 메모리 셀 및 엣지 메모리 셀은 상이한 임계 전압(Vt), 상이한 온 전류(Ion) 및/또는 상이한 오프 전류(Ioff)를 보인다. 따라서, 웰 퍼텐셜을 안정화시키도록 핀 기반 웰 스트랩 셀이 구현되어, 메모리 어레이에 걸친 균일한 전하 분포 그리고 이에 따른 메모리 어레이의 메모리 셀 사이에서의 균일한 성능을 가능하게 하였다. 핀 기반 웰 스트랩(전기적 타이(tie)라고도 한다)은 메모리 셀의 FinFET에 상응하는 웰 영역을 전압 노드(또는 전압 라인)에 전기적으로 연결한다. 예를 들어, 핀 기반 n형 웰 스트랩은 p형 FinFET에 상응하는 n형 웰 영역을 p형 트랜지스터와 연관된 전압 노드와 같은 전압 노드에 전기적으로 연결시키고, 핀 기반 p형 웰 스트랩은 n형 FinFET에 상응하는 p형 웰 영역을 n형 트랜지스터와 연관된 전압 노드와 같은 전압 노드에 전기적으로 연결시킨다.For advanced IC technology nodes, fin-like field effect transistors (FinFETs) (also known as non-planar transistors) have become popular and promising candidates for high performance and low leakage applications. Memory arrays, such as static random access memory (SRAM) arrays, often incorporate FinFETs into memory cells to improve performance, where each memory cell can store 1 bit of data. Memory cell performance is highly dependent on layout. For example, it has been observed that internal memory cells of a memory array perform differently from edge memory cells of a memory array. In some embodiments, the internal memory cell and the edge memory cell exhibit different threshold voltages (V t ), different on currents (I on ), and/or different off currents (I off ). Accordingly, a pin-based well strap cell is implemented to stabilize the well potential, thereby enabling uniform charge distribution across the memory array and thus uniform performance between the memory cells of the memory array. A pin-based well strap (also called an electrical tie) electrically connects a well region corresponding to a FinFET in a memory cell to a voltage node (or voltage line). For example, a fin-based n-type well strap electrically connects an n-type well region corresponding to a p-type FinFET to a voltage node, such as a voltage node associated with a p-type transistor, and a pin-based p-type well strap is connected to an n-type FinFET The corresponding p-type well region is electrically connected to a voltage node, such as a voltage node associated with an n-type transistor.

FinFET 기술이 더 작은 기술 노드(예를 들어, 20 nm, 16 nm, 10 nm, 7 nm 그리고 그 미만)로 진전함에 따라, 핀 피치의 감소 및 핀 폭의 감소가 핀 기반 웰 스트랩에 의해 제공되는 이점을 감소시키는 것으로 관찰되었다. 예를 들어, 핀 폭의 감소는 웰 픽업 저항을 증가시키는 것으로 관찰되어, 핀 기반(비평면) 웰 스트랩의 웰 픽업 저항이 평면 웰 스트랩의 웰 픽업 저항보다 훨씬 높다. 이와 같은 웰 픽업 저항의 증가는 핀 기반 웰 스트랩을 사용하는 메모리 어레이의 래치 업 성능을 저하시키는 것으로 관찰되었다. 따라서, 본 개시는 성능 개선을 달성할 수 있는, 핀 기반 웰 스트랩 셀에 대한 수정을 제안한다. 예를 들어, 본 개시에 기술된 바와 같이, 핀 기반 웰 스트랩 셀의 웰 도핑 구성이 핀 기반 메모리 셀의 웰 도핑 구성과 상이하도록 핀 기반 웰 스트랩 셀의 웰 도핑 구성을 수정하는 것이 메모리 성능을 크게 향상시키는 것으로 관찰되었다. 일부 실시예에서, n형 웰이 핀 기반 웰 스트랩 셀의 p형 웰 스트랩에서 제거되어, 해당 FinFET의 원하는 특성(예를 들어, 전압 임계값)에 영향을 미치지 않고 및/또는 기존 제조 기술에 큰 수정을 요하지 않으면서, p형 웰 스트랩과 연관된 웰 픽업 저항을 감소시킨다. 이러한 실시예에서, p형 웰 스트랩은 p형 웰만을 포함하고, n형 웰 스트랩은 p형 웰 사이에 배치되는 n형 웰을 포함한다. 일부 실시예에서, n형 웰 스트랩의 이러한 웰 도핑 구성은 핀 기반 메모리 셀의 웰 도핑 구성과 동일하다. 일부 실시예에서, 핀 기반 웰 스트랩 셀은 n형 웰 스트랩 사이에 배치되는 p형 웰 스트랩을 포함하고, 여기서 p형 웰 스트랩의 p형 웰과 n형 웰 스트랩의 p형 웰이 결합하여 I자형의 p형 웰을 핀 기반 웰 스트랩 셀에 형성한다. 이러한 실시예에서, n형 웰 스트랩은 핀 기반 웰 스트랩 셀의 엣지부고, p형 웰 스트랩은 핀 기반 웰 스트랩 셀의 중간부다. 일부 실시예에서, 개시된 핀 기반 웰 스트랩 셀은 메모리 셀 사이에 배치된다. 메모리 성능을 개선하도록 제안된 핀 기반 웰 스트랩 셀 구조물의 세부 사항이 아래에 설명된다. 상이한 실시예는 상이한 이점을 가질 수 있으며, 임의의 실시예에서 특별한 이점이 요구되지는 않는다.As FinFET technology advances to smaller technology nodes (e.g., 20 nm, 16 nm, 10 nm, 7 nm and less), the reduction in fin pitch and fin width is provided by fin-based well straps. It has been observed to reduce the benefit. For example, a reduction in fin width has been observed to increase the well pickup resistance, so that the well pickup resistance of a pin-based (non-planar) well strap is much higher than that of a flat well strap. It has been observed that such an increase in the well pickup resistance degrades the latch-up performance of a memory array using a pin-based well strap. Thus, the present disclosure proposes a modification to the pin-based well strap cell, which can achieve performance improvement. For example, as described in this disclosure, modifying the well doping configuration of the pin-based well strap cell so that the well doping configuration of the pin-based well strap cell is different from the well doping configuration of the pin-based memory cell significantly increases memory performance. Was observed to improve. In some embodiments, the n-type well is removed from the p-type well strap of the fin-based well strap cell, without affecting the desired characteristics (e.g., voltage threshold) of the FinFET and/or large to existing fabrication techniques. It reduces the well pick-up resistance associated with p-type well straps without requiring modification. In this embodiment, the p-type well strap includes only p-type wells, and the n-type well strap includes n-type wells disposed between p-type wells. In some embodiments, this well doping configuration of the n-type well strap is the same as the well doping configuration of a pin-based memory cell. In some embodiments, the pin-based well strap cell comprises a p-type well strap disposed between n-type well straps, wherein the p-type well of the p-type well strap and the p-type well of the n-type well strap are combined to form an I-shaped The p-type well of is formed in the pin-based well strap cell. In this embodiment, the n-type well strap is the edge portion of the pin-based well strap cell, and the p-type well strap is the middle portion of the pin-based well strap cell. In some embodiments, the disclosed pin-based well strap cells are disposed between memory cells. Details of the proposed pin-based well strap cell structure to improve memory performance are described below. Different embodiments may have different advantages, and no special advantage is required in any of the embodiments.

도 1은 본 개시의 다양한 양태에 따라 본 개시에 설명된 바와 같이 구성된 웰 스트랩을 구현할 수 있는 메모리(10)의 개략 평면도이다. 메모리(10)는 정적 랜덤 액세스 메모리(Static random access memory, SRAM)로서 구성된다. 그러나, 본 개시는 메모리(10)가 동적 랜덤 액세스 메모리(Dynamic Random Access Memory, DRAM), 비휘발성 랜덤 액세스 메모리(Non-Volatile Random Access Memory, NVRAM), 플래시 메모리, 또는 그 밖의 적절한 메모리와 같은 다른 유형의 메모리로서 구성되는 실시예를 고려한다. 메모리(10)는 마이크로 프로세서, 메모리 및/또는 다른 IC 장치에 포함될 수 있다. 일부 실시예에서, 메모리(10)는, 저항기, 커패시터, 인덕터, 다이오드, p형 FET(p-type FET, PFET), n형 FET(n-type FET, NFET), 금속 산화물 반도체 FET(Metal-Oxide-Semiconductor FET, MOSFET), 상보성 금속 산화물 반도체(Complementary Metal-Oxide Semiconductor, CMOS) 트랜지스터, 양극성 접합 트랜지스터(Bipolar Junction Transistor, BJT), 횡방향 확산 MOS(Laterally Diffused MOS, LDMOS) 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, 그 밖의 적절한 구성 요소 또는 이의 조합과 같은 다양한 수동 또는 능동 마이크로 전자 장치를 포함하는 IC 칩, 시스템 온 칩(System on Chip, SoC) 또는 그 부분의 일부일 수 있다. 다양한 트랜지스터는 메모리(10)의 설계 요구 사항에 따라 평면 트랜지스터 또는 FinFET과 같은 다중 게이트 트랜지스터일 수 있다. 도 1은 본 개시의 발명의 개념을 더 잘 이해하도록 명확성을 위해 간략화되었다. 추가적인 피처부가 메모리(10)에 추가될 수 있고, 후술하는 피처부 중 일부는 메모리(10)의 다른 실시예에서 대체, 수정 또는 제거될 수 있다.1 is a schematic plan view of a memory 10 that may implement a well strap configured as described in this disclosure in accordance with various aspects of the disclosure. The memory 10 is configured as a static random access memory (SRAM). However, the present disclosure is that the memory 10 is a dynamic random access memory (DRAM), non-volatile random access memory (Non-Volatile Random Access Memory, NVRAM), flash memory, or other suitable memory. Consider an embodiment configured as a tangible memory. The memory 10 may be included in a microprocessor, memory, and/or other IC device. In some embodiments, the memory 10 includes a resistor, a capacitor, an inductor, a diode, a p-type FET (PFET), an n-type FET (NFET), a metal oxide semiconductor FET (Metal- Oxide-Semiconductor FET, MOSFET), Complementary Metal-Oxide Semiconductor (CMOS) transistor, Bipolar Junction Transistor (BJT), Laterally Diffused MOS (LDMOS) transistor, high voltage transistor, It may be an IC chip, a System on Chip (SoC), or part of a variety of passive or active microelectronic devices, such as high-frequency transistors, other suitable components, or combinations thereof. The various transistors may be planar transistors or multi-gate transistors such as FinFETs, depending on the design requirements of the memory 10. 1 has been simplified for clarity to better understand the inventive concept of the present disclosure. Additional feature portions may be added to the memory 10, and some of the feature portions to be described later may be replaced, modified, or removed in another embodiment of the memory 10.

메모리(10)는 메모리 어레이(12A) 및 메모리 어레이(12B)를 포함하고, 여기서 메모리 어레이(12A) 및 메모리 어레이(12B)는 데이터를 저장하기 위한 SRAM 셀(비트 셀이라고도 한다)과 같은 메모리 셀(20)을 각각 포함한다. 메모리 셀(20)은 메모리 셀(20)에 데이터 읽기/쓰기를 가능하게 하도록 구성된 p형 FinFET 및/또는 n형 FinFET과 같은 다양한 트랜지스터를 포함한다. 메모리 셀(20)은 제1 방향(여기서, y 방향)을 따라 연장되는 열(column)(1)(C1) 내지 열(N)(CN) 및 제2 방향(여기서, x 방향)을 따라 연장되는 행(row)(1)(R1) 내지 행(M)(RM)으로 배열되며, 여기서 N 및 M은 양의 정수이다. 열(C1) 내지 열(CN) 비트 라인(Bit Line, BL) 및 비트 라인 바(Bit Line Bar, 비트 라인 바(BLB))(상보성 비트 라인이라고도 한다)와 같이, 제1 방향을 따라 연장되는 비트 라인 쌍을 각각 포함하고, 이 비트 라인 쌍은, 실제 형태 및 상보적인 형태로, 열 단위로, 각각의 메모리 셀(20)로부터 데이터를 읽기하는 것, 및/또는 이에 데이터를 쓰기하는 것을 가능하게 한다. 행(R1) 내지 행(RM)은 행 단위로 각각의 메모리 셀(20)에 액세스하는 것을 가능하게 하는 워드 라인(Word Line, WL)을 각각 포함한다. 각각의 메모리 셀(20)은 제어부(20)에 전기적으로 연결된 각각의 비트 라인(BL), 각각의 비트 라인 바(BLB) 및 각각의 워드 라인(WL)에 전기적으로 연결된다. 제어부(20)는 읽기 동작 및/또는 쓰기 동작을 위해 메모리 셀(20) 중 적어도 하나에 액세스하도록, 적어도 하나의 워드라인(WL) 및 적어도 하나의 비트 라인 쌍(여기서, 비트라인(BL) 및 비트 라인 바(BLB))을 선택하기 위한 하나 이상의 신호를 생성하도록 구성된다. 제어부(20)는 열 디코더 회로, 행 디코더 회로, 열 선택 회로, 행 선택 회로, (예를 들어, 선택된 비트 라인 쌍(즉, 선택된 열)에 해당하는 메모리 셀(20)로부터 데이터를 읽기 및/또는 이에 데이터를 쓰기하도록 구성되는) 읽기/쓰기 회로, 그 밖의 적절한 회로, 또는 이의 조합을 비제한적으로 포함하여, 메모리 셀(20)로부터/에 읽기/쓰기 동작을 가능하게 하기에 적절한 임의의 회로를 포함한다. 일부 실시예에서, 제어부(20)는 선택된 비트 라인 쌍의 전압 차이를 검출 및/또는 증폭하도록 구성된 적어도 하나의 감지 증폭기를 포함한다. 일부 실시예에서, 감지 증폭기는 전압 차이의 데이터 값을 래치하거나, 또는 저장하도록 구성된다.The memory 10 includes a memory array 12A and a memory array 12B, wherein the memory array 12A and the memory array 12B are memory cells such as SRAM cells (also referred to as bit cells) for storing data. Each includes (20). The memory cell 20 includes various transistors, such as p-type FinFETs and/or n-type FinFETs, configured to enable data read/write to the memory cell 20. The memory cell 20 extends in a first direction (here, in the y direction) in a column (1) (C1) to a column (N) (CN) and in a second direction (here, the x direction) Are arranged in a row (1) (R1) to a row (M) (RM), where N and M are positive integers. Columns (C1) to column (CN) bit lines (Bit Line, BL) and bit line bars (Bit Line Bar (BLB)) (also referred to as complementary bit lines) extending along the first direction Each includes a pair of bit lines, and the pair of bit lines is capable of reading data from and/or writing data to and/or from each memory cell 20 in a column-by-column, in actual form and in a complementary form. Let's do it. Rows R1 to RM each include word lines WL that enable access to each memory cell 20 in row units. Each memory cell 20 is electrically connected to each bit line BL, each bit line bar BLB, and each word line WL electrically connected to the controller 20. The control unit 20 is configured to access at least one of the memory cells 20 for a read operation and/or a write operation, at least one word line WL and at least one bit line pair (here, the bit line BL and It is configured to generate one or more signals for selecting the bit line bar (BLB). The control unit 20 reads data from a column decoder circuit, a row decoder circuit, a column select circuit, a row select circuit, and/or a memory cell 20 corresponding to a selected bit line pair (ie, a selected column). Or any circuit suitable to enable read/write operations from/to the memory cell 20, including, but not limited to, read/write circuits, other suitable circuits, or combinations thereof configured to write data thereto. Includes. In some embodiments, the control unit 20 includes at least one sense amplifier configured to detect and/or amplify the voltage difference of the selected bit line pair. In some embodiments, the sense amplifier is configured to latch or store the data value of the voltage difference.

메모리(10)의 주변은, 메모리 셀(20)의 성능의 균일성을 보장하도록, 엣지 더미 셀 및 웰 스트랩 셀과 같은 더미 셀로 구성된다. 더미 셀은 메모리 셀(20)과 물리적 및/또는 구조적으로 유사하지만, 데이터를 저장하지 않는다. 예를 들어, 더미 셀은 p형 웰, n형 웰, (하나 이상의 핀을 포함하는) 핀 구조물, 게이트 구조물, 소스/드레인 피처부 및/또는 접촉 피처부를 포함할 수 있다. 웰 스트랩 셀은 일반적으로 메모리 셀(20)의 n형 웰, 메모리 셀(20)의 p형 웰, 또는 둘 모두에 전압을 전기적으로 연결하도록 구성된 더미 셀을 말한다. 도시된 실시예에서, 메모리(10)는 제1 방향(여기서, y 방향)을 따라 엣지 더미 셀 열(35A) 및 엣지 더미 셀 열(35B)로 배열된 엣지 더미 셀(30)을 포함하며, 여기서 메모리 셀(20)의 각각의 행(R1) 내지 행(RM)은 엣지 더미 셀 열(35A)의 하나의 엣지 더미 셀(30)과 엣지 더미 셀 열(35B)의 하나의 엣지 더미 셀(30) 사이에 배치된다. 도시된 실시예의 취지에 따라, 메모리 셀(20)의 열(C1) 내지 열(CM) 각각은 엣지 더미 셀(30) 사이에 배치된다. 일부 실시예에서, 엣지 더미 셀 열(35A) 및/또는 엣지 더미 셀 열(35B)은 메모리(10)의 적어도 하나의 비트 라인 쌍(여기서, 비트라인(BL) 및 비트 라인 바(BLB))에 실질적으로 평행하게 연장된다. 일부 실시예에서, 엣지 더미 셀(30)은 각각의 메모리 셀(20)을 각각의 워드라인(WL)에 연결하도록 구성된다. 일부 실시예에서, 엣지 더미 셀(30)은 워드라인(WL)을 구동하기 위한 회로를 포함한다. 일부 실시예에서, 엣지 더미 셀(30)은 전원 전압(VDD)(예를 들어, 양의 전원 전압) 및/또는 전원 전압(VSS)(예를 들어, 전기 접지)에 전기적으로 연결된다.The periphery of the memory 10 is composed of dummy cells such as edge dummy cells and well strap cells to ensure uniformity of performance of the memory cells 20. The dummy cell is physically and/or structurally similar to the memory cell 20, but does not store data. For example, the dummy cell may include a p-type well, an n-type well, a fin structure (including one or more fins), a gate structure, a source/drain feature portion, and/or a contact feature portion. The well strap cell generally refers to a dummy cell configured to electrically connect a voltage to an n-type well of the memory cell 20, a p-type well of the memory cell 20, or both. In the illustrated embodiment, the memory 10 includes edge dummy cells 30 arranged in an edge dummy cell column 35A and an edge dummy cell column 35B along a first direction (here, y direction), Here, each row R1 to RM of the memory cell 20 is one edge dummy cell 30 of the edge dummy cell column 35A and one edge dummy cell of the edge dummy cell column 35B. 30) placed between. According to the spirit of the illustrated embodiment, each of the columns C1 to CM of the memory cell 20 is disposed between the edge dummy cells 30. In some embodiments, the edge dummy cell column 35A and/or the edge dummy cell column 35B is at least one bit line pair of the memory 10 (here, the bit line BL and the bit line bar BLB) Extends substantially parallel to. In some embodiments, the edge dummy cells 30 are configured to connect each memory cell 20 to each word line WL. In some embodiments, the edge dummy cell 30 includes a circuit for driving the word line WL. In some embodiments, the edge dummy cell 30 is electrically connected to a power supply voltage V DD (e.g., a positive power supply voltage) and/or a power supply voltage V SS (e.g., electrical ground). .

도시된 실시예의 취지에 따라, 웰 스트랩 열(40)은 제1 방향(여기서, y 방향)을 따라 배열된 웰 스트랩 셀(50)을 포함한다. 웰 스트랩 열(40)은 메모리 어레이(12A)와 메모리 어레이(12B) 사이에 배치되어, 메모리 어레이(12A) 내의 메모리 셀(20)의 각각의 행이 각각의 엣지 더미 셀(30)과 각각의 웰 스트랩 셀(50) 사이에 배치되고, 메모리 어레이(12B) 내의 메모리 셀(20)의 각각의 행이 각각의 웰 스트랩 셀(50)과 각각의 엣지 더미 셀(30) 사이에 배치되도록 한다. 일부 실시예에서, 웰 스트랩 열(40)은 메모리(10)의 적어도 하나의 비트 라인 쌍(여기서, 비트라인(BL) 및 비트 라인 바(BLB))에 실질적으로 평행하게 연장된다. 도시된 실시예에서, 웰 스트랩 셀(50)은 n형 웰 스트랩, p형 웰 스트랩 또는 이의 조합을 포함한다. 일부 실시예에서, 웰 스트랩 셀(50)은 n형 웰 스트랩 사이에 배치되는 p형 웰 스트랩을 포함한다. N형 웰 스트랩은 메모리 셀(20)의 적어도 하나의 p형 FinFET에 상응하는 n형 웰을 전압원에 전기적으로 연결하도록 구성된다. P형 웰 스트랩은 메모리 셀(20)의 적어도 하나의 n형 FinFET에 상응하는 p형 웰을 전압원에 전기적으로 연결하도록 구성된다. 본 개시에 설명된 바와 같이, 웰 스트랩 셀은 웰 픽업 저항을 상당히 감소시켜서 메모리(10)의 래치 업 성능을 개선하도록 구성된다.In accordance with the spirit of the illustrated embodiment, the well strap row 40 includes well strap cells 50 arranged along a first direction (here, y direction). The well strap column 40 is disposed between the memory array 12A and the memory array 12B, so that each row of the memory cells 20 in the memory array 12A is separated from each edge dummy cell 30 and each row. It is disposed between the well strap cells 50, so that each row of the memory cells 20 in the memory array 12B is disposed between each well strap cell 50 and each edge dummy cell 30. In some embodiments, the well strap column 40 extends substantially parallel to at least one pair of bit lines (here, the bit line BL and the bit line bar BLB) of the memory 10. In the illustrated embodiment, the well strap cell 50 includes an n-type well strap, a p-type well strap, or a combination thereof. In some embodiments, the well strap cell 50 includes a p-type well strap disposed between n-type well straps. The n-type well strap is configured to electrically connect an n-type well corresponding to at least one p-type FinFET of the memory cell 20 to a voltage source. The p-type well strap is configured to electrically connect a p-type well corresponding to at least one n-type FinFET of the memory cell 20 to a voltage source. As described in this disclosure, the well strap cell is configured to significantly reduce the well pickup resistance to improve the latch-up performance of the memory 10.

도 2a 내지 도 2g는, 본 개시의 다양한 양태에 따른, 도 1의 메모리(10)에서 구현될 수 있는 웰 스트랩 셀(50)과 같은 웰 스트랩 셀의 일부 또는 전부의 부분 개략도이다. 도 2a는 (예를 들어, x-y 평면에서) 웰 스트랩 셀(50)의 간략화된 개략 평면도이다. 도 2b는 (예를 들어, y-z 평면에서) 도 2a의 B-B 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 도 2c는 (예를 들어, y-z 평면에서) 도 2a의 C-C 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 도 2d는 (예를 들어, x-z 평면에서) 도 2a의 D-D 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 도 2e는 (예를 들어, x-z 평면에서) 도 2a의 E-E 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 도 2f는 (예를 들어, x-z 평면에서) 도 2a의 F-F 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 도 2g는 (예를 들어, x-z 평면에서) 도 2a의 G-G 선을 따른 웰 스트랩 셀(50)의 개략 단면도이다. 웰 스트랩 셀(50)은 메모리 셀(20)의 SRAM 셀(20A)과 메모리 셀(20)의 SRAM 셀(20B) 사이에 배치된다. 일부 실시예에서, (여기서, y 방향을 따른) 웰 스트랩 셀(50)의 폭은 메모리 셀(20)(여기서, SRAM 셀(20A, 20B))의 폭과 실질적으로 동일하다. 웰 스트랩 셀(50)은 웰 스트랩 셀(50)의 길이를 따라(여기서, x 방향을 따라) n형 웰 스트랩(50B)과 n형 웰 스트랩(50C) 사이에 배치되는 p형 웰 스트랩(50A)을 포함한다. 이러한 구성에서, n형 웰 스트랩(50B)은 SRAM 셀(20A)과 같은 각각의 메모리 셀(20)에 인접하게 배치되고, n형 웰 스트랩(50C)은 SRAM 셀(20B)과 같은 각각의 메모리 셀(20)에 인접하여 배치된다. 일부 실시예에서, p형 웰 스트랩(50A)은 핀 길이 방향을 따라 n형 웰 스트랩(50B)과 n형 웰 스트랩(50C) 사이에 배열된다. P형 웰 스트랩(50A)은 메모리 셀(20)의 p형 웰을 전원 전압(VSS)과 같은 제1 전원 전압에 전기적으로 연결하도록 구성된다. N형 웰 스트랩(50B) 및 n형 웰 스트랩(50C)은 각각 메모리 셀(20)의 n형 웰을 전원 전압(VDD)과 같은 제2 전원 전압에 전기적으로 연결하도록 구성된다. 일부 실시예에서, 전원 전압(VDD)은 양의 전원 전압이고, 전원 전압(VSS)은 전기 접지이다. 도 2a 내지 도 2g는 본 개시의 발명의 개념을 더 잘 이해하도록 명확성을 위해 간략화되었다. 웰 스트랩 셀(50)에 추가적인 피처부가 추가될 수 있고, 아래에 설명된 피처부 중 일부는 웰 스트랩 셀(50)의 다른 실시예에서 대체, 수정 또는 제거될 수 있다.2A-2G are partial schematic diagrams of some or all of a well strap cell, such as a well strap cell 50, that may be implemented in the memory 10 of FIG. 1, in accordance with various aspects of the present disclosure. 2A is a simplified schematic top view of a well strap cell 50 (eg, in the xy plane). 2B is a schematic cross-sectional view of the well strap cell 50 along line BB of FIG. 2A (eg, in the yz plane). 2C is a schematic cross-sectional view of the well strap cell 50 along the line CC of FIG. 2A (eg, in the yz plane). 2D is a schematic cross-sectional view of the well strap cell 50 along line DD of FIG. 2A (eg, in the xz plane). 2E is a schematic cross-sectional view of the well strap cell 50 along the line EE of FIG. 2A (eg, in the xz plane). 2F is a schematic cross-sectional view of the well strap cell 50 along line FF of FIG. 2A (eg, in the xz plane). 2G is a schematic cross-sectional view of the well strap cell 50 along line GG of FIG. 2A (eg, in the xz plane). The well strap cell 50 is disposed between the SRAM cell 20A of the memory cell 20 and the SRAM cell 20B of the memory cell 20. In some embodiments, the width of the well strap cell 50 (here, along the y direction) is substantially the same as the width of the memory cell 20 (here, SRAM cells 20A, 20B). The well strap cell 50 is a p-type well strap 50A disposed between the n-type well strap 50B and the n-type well strap 50C along the length of the well strap cell 50 (here, along the x direction). ). In this configuration, the n-type well strap 50B is disposed adjacent to each memory cell 20 such as the SRAM cell 20A, and the n-type well strap 50C is each memory such as the SRAM cell 20B. It is disposed adjacent to the cell 20. In some embodiments, the p-type well strap 50A is arranged between the n-type well strap 50B and the n-type well strap 50C along the pin length direction. The p-type well strap 50A is configured to electrically connect the p-type well of the memory cell 20 to a first power voltage such as a power supply voltage V SS . The n-type well strap 50B and the n-type well strap 50C are each configured to electrically connect the n-type well of the memory cell 20 to a second power supply voltage such as a power supply voltage V DD . In some embodiments, the power supply voltage V DD is a positive power supply voltage and the power supply voltage V SS is an electrical ground. 2A-2G have been simplified for clarity to better understand the inventive concept of the present disclosure. Additional features may be added to the well strap cell 50, and some of the feature portions described below may be replaced, modified or removed in other embodiments of the well strap cell 50.

웰 스트랩 셀(50)은 메모리 셀(20)과 물리적 및/또는 구조적으로 유사하게 구성된다. 예를 들어, 웰 스트랩 셀(50)은 기판(웨이퍼)(110)을 포함한다. 도시된 실시예에서, 기판(110)은 실리콘을 포함하는 벌크 기판이다. 대안적으로 또는 추가적으로, 벌크 기판은, 게르마늄과 같은 또 다른 원소 반도체; 실리콘 탄화물, 실리콘 인화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 인듐 안티몬화물, 아연 산화물, 아연 셀렌화물, 아연 황화물, 아연 텔루르화물, 카드뮴 셀렌화물, 카드뮴 황화물 및/또는 카드뮴 텔루르화물과 같은 화합물 반도체; SiGe, SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP와 같은 합금 반도체; 다른 III-V 족 재료; 다른 II-IV 족 재료; 또는 이의 조합을 포함한다. 대안적으로, 기판(110)은 실리콘 온 인슐레이터(Silicon-On-Insulator, SOI) 기판, 실리콘 게르마늄 온 인슐레이터(Silicon Germanium-On-Insulator, SGOI) 기판, 또는 게르마늄 온 인슐레이터(Germanium-On-Insulator, GOI) 기판과 같은 반도체 온 인슐레이터 기판이다. 반도체 온 인슐레이터 기판은 산소 주입에 의한 분리(Separation By Implantation Of Oxygen, SIMOX), 웨이퍼 본딩 및/또는 그 밖의 적절한 방법에 의해 제조될 수 있다. 기판(110)은 n형 도핑 영역(112A), n형 도핑 영역(112B), n형 도핑 영역(112C), n형 도핑 영역(112D), p형 도핑 영역(114A), p형 도핑 영역(114B), 및 p형 도핑 영역(114C)(이하, n형 웰(112A 내지 112D) 및 p형 웰(114A 내지 114C)로 지칭된다)과 같은 도핑 영역을 포함한다. N형 웰(112A 내지 112D)과 같은 n형 도핑 영역은 인, 비소, 그 밖의 n형 도펀트 또는 이의 조합과 같은 n형 도펀트로 도핑된다. P형 웰(114A 내지 114C)과 같은 p형 도핑 영역은 붕소, 인듐, 그 밖의 p형 도펀트 또는 이의 조합과 같은 p형 도펀트로 도핑된다. 일부 실시예에서, 기판(110)은 p형 도펀트와 n형 도펀트의 조합으로 형성된 도핑 영역을 포함한다. 다양한 도핑 영역은 기판(110) 위에 및/또는 내에 직접 형성되어, 예를 들어 p형 웰 구조물, n형 웰 구조물, 이중 웰 구조물, 융기 구조물 또는 이의 조합을 제공할 수 있다. 이온 주입 공정, 확산 공정 및/또는 그 밖의 적절한 도핑 공정이 수행되어 다양한 도핑 영역을 형성할 수 있다.The well strap cell 50 is configured to be physically and/or structurally similar to the memory cell 20. For example, the well strap cell 50 includes a substrate (wafer) 110. In the illustrated embodiment, the substrate 110 is a bulk substrate comprising silicon. Alternatively or additionally, the bulk substrate may comprise another elemental semiconductor such as germanium; Silicon carbide, silicon phosphide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, indium antimonide, zinc oxide, zinc selenide, zinc sulfide, zinc telluride, cadmium selenide, cadmium sulfide and/or cadmium telluride Compound semiconductors such as; Alloy semiconductors such as SiGe, SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; Other Group III-V materials; Other Group II-IV materials; Or combinations thereof. Alternatively, the substrate 110 is a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (Germanium-On-Insulator), It is a semiconductor on insulator substrate such as GOI) substrate. The semiconductor on insulator substrate can be manufactured by Separation By Implantation Of Oxygen (SIOX), wafer bonding and/or other suitable methods. The substrate 110 includes an n-type doped region 112A, an n-type doped region 112B, an n-type doped region 112C, an n-type doped region 112D, a p-type doped region 114A, and a p-type doped region ( 114B), and a doped region such as a p-type doped region 114C (hereinafter referred to as n-type wells 112A to 112D and p-type wells 114A to 114C). The n-type doped regions, such as N-type wells 112A-112D, are doped with an n-type dopant such as phosphorus, arsenic, or other n-type dopants or combinations thereof. P-type doped regions, such as P-type wells 114A-114C, are doped with p-type dopants such as boron, indium, or other p-type dopants or combinations thereof. In some embodiments, the substrate 110 includes a doped region formed from a combination of a p-type dopant and an n-type dopant. Various doped regions may be formed directly on and/or within the substrate 110 to provide, for example, a p-type well structure, an n-type well structure, a double well structure, a raised structure, or a combination thereof. An ion implantation process, a diffusion process, and/or other suitable doping process may be performed to form various doped regions.

다양한 도핑 영역은 메모리(10)의 설계 요구 사항에 따라 구성된다. SRAM 셀(20A, 20B)은 각각 p형 웰 영역 사이에 배치되는 n형 웰 영역을 포함한다. 예를 들어, SRAM 셀(20A)은 n형 웰(112A) 및 p형 웰(114A)을 포함하고, SRAM 셀(20B)은 n형 웰(112B) 및 p형 웰(114B)을 포함한다. N형 웰(112A, 112B)은 풀업(Pull Up, PU) FinFET과 같은 PMOS FinFET을 위해 구성되고, p형 웰(114A, 114B)은 풀다운(Pull Down, PD) FinFET과 같은 NMOS FinFET을 위해 구성된다. P형 웰(114A)은 p형 웰 서브 영역(114A-1) 및 p형 웰 서브 영역(114A-2)을 포함하고, p형 웰(114B)은 p형 웰 서브 영역(114B-1) 및 p형 웰 서브 영역(114B-2)을 포함한다. N형 웰(112A)이 y 방향을 따라(여기서, 게이트 길이 방향을 따라) p형 웰 서브 영역(114A-1)과 p형 웰 서브 영역(114A-2) 사이에 배치되고, n형 웰(112B)이 y 방향을 따라 p형 웰 서브 영역(114B-1)과 p형 웰 서브 영역(114B-2) 사이에 배치된다. N형 웰(112A), p형 웰 서브 영역(114A-1) 및 p형 웰 서브 영역(114A-2)은, n형 웰(112A), p형 웰 서브 영역(114A-1) 및 p형 웰 서브 영역(114A-2)의 길이가 SRAM 셀(20A)의 (여기서, x 방향을 따른) 길이와 실질적으로 동일하도록 SRAM 셀(20A)의 전체 길이를 따라 연장된다. N형 웰(112B), p형 웰 서브 영역(114B-1) 및 p형 웰 서브 영역(114B-2)은, n형 웰(112B), p형 웰 서브 영역(114B-1) 및 p형 웰 서브 영역(114B-2)의 길이가 SRAM 셀(20B)의 (여기서, x 방향을 따른) 길이와 실질적으로 동일하도록 SRAM 셀(20B)의 전체 길이를 따라 연장된다. N형 웰(112A, 112B)은 폭(W1)을 가지고, p형 웰 서브 영역(114A-1, 114B-1)은 폭(W2)을 가지며, p형 웰 서브 영역(114A-2, 114B-2)은 폭(W3)을 가진다. 폭(W1), 폭(W2) 및 폭(W3)은 SRAM 셀(20A, 20B)의 폭보다 작다. 도시된 실시예에서, 폭(W1), 폭(W2) 및 폭(W3)의 합은 SRAM 셀(20A, 20B)의 폭과 실질적으로 동일하다(즉, W1 + W2 + W3 = SRAM 셀(20A, 20B)의 폭). 일부 실시예에서, 폭(W1), 폭(W2) 및 폭(W3)은 동일하다. 일부 실시예에서, 폭(W1), 폭(W2) 및 폭(W3)은 상이하다. 일부 실시예에서, 폭(W2) 및 폭(W3)은 동일하지만 폭(W1)과 상이하다. 본 개시는 폭(W1), 폭(W2) 및 폭(W3)의 임의의 구성을 고려한다.The various doped regions are configured according to the design requirements of the memory 10. Each of the SRAM cells 20A and 20B includes an n-type well region disposed between the p-type well regions. For example, the SRAM cell 20A includes an n-type well 112A and a p-type well 114A, and the SRAM cell 20B includes an n-type well 112B and a p-type well 114B. N-type wells 112A, 112B are configured for PMOS FinFETs such as Pull Up (PU) FinFETs, and p-type wells 114A, 114B are configured for NMOS FinFETs such as Pull Down (PD) FinFETs. do. The p-type well 114A includes a p-type well sub-region 114A-1 and a p-type well sub-region 114A-2, and the p-type well 114B is a p-type well sub-region 114B-1 and It includes a p-type well sub-region 114B-2. An N-type well 112A is disposed between the p-type well sub-region 114A-1 and the p-type well sub-region 114A-2 along the y direction (here, along the gate length direction), and the n-type well ( 112B) is disposed between the p-type well sub-region 114B-1 and the p-type well sub-region 114B-2 along the y direction. The n-type well 112A, p-type well sub-region 114A-1, and p-type well sub-region 114A-2 are n-type well 112A, p-type well sub-region 114A-1, and p-type The length of the well sub-region 114A-2 extends along the entire length of the SRAM cell 20A such that the length of the SRAM cell 20A is substantially equal to the length of the SRAM cell 20A (here, along the x direction). The n-type well 112B, p-type well sub-region 114B-1, and p-type well sub-region 114B-2 are n-type well 112B, p-type well sub-region 114B-1, and p-type. The length of the well sub-region 114B-2 extends along the entire length of the SRAM cell 20B such that the length of the SRAM cell 20B is substantially equal to the length of the SRAM cell 20B (here, along the x direction). N-type wells 112A and 112B have a width W1, p-type well sub-regions 114A-1 and 114B-1 have a width W2, and p-type well sub-regions 114A-2 and 114B- 2) has a width (W3). The width W1, the width W2, and the width W3 are smaller than the widths of the SRAM cells 20A and 20B. In the illustrated embodiment, the sum of the width W1, the width W2 and the width W3 is substantially equal to the width of the SRAM cells 20A and 20B (i.e., W1 + W2 + W3 = SRAM cell 20A. , Width of 20B)). In some embodiments, width W1, width W2, and width W3 are the same. In some embodiments, width W1, width W2, and width W3 are different. In some embodiments, width W2 and width W3 are the same but different from width W1. The present disclosure contemplates any configuration of width W1, width W2 and width W3.

본 개시는 웰 픽업 저항, 특히 p형 웰 스트랩(50A)과 관련된 웰 픽업 저항을 상당히 감소시키는 웰 스트랩 셀(50)에서의 웰 도핑 구성을 제안한다. 도 2a 내지 2g에서, 웰 스트랩 셀(50)은 n형 웰(112C), n형 웰(112D) 및 p형 웰(114C)을 포함한다. P형 웰(114C)은 웰 스트랩 셀(50)의 폭을 따라(여기서, y 방향을 따라) 평면도에서 I자형이고, 웰 스트랩 셀(50)의 길이를 따라(여기서, x 방향을 따라) 평면도에서 H자형이다. 예를 들어, p형 웰(114C)은 p형 웰 서브 영역(114C-1), p형 웰 서브 영역(114C-2) 및 p형 웰 서브 영역(114C-3)을 포함한다. N형 웰(112C)이 n형 웰 스트랩(50B) 내에서 p형 웰 서브 영역(114C-1)과 p형 웰 서브 영역(114C-2) 사이에 배치되고, n형 웰(112D)이 n형 웰 스트랩(50C) 내에서 p형 웰 서브 영역(114C-1)과 p형 웰 서브 영역(114C-2) 사이에 배치된다. N형 웰(112C)은 n형 웰(112A)로 중단없이 연장되고, n형 웰(112D)은 n형 웰(112B)로 중단없이 연장된다. 일부 실시예에서, n형 웰(112C)과 n형 웰(112A) 사이에 실제 인터페이스가 관찰되지 않을 수 있고, n형 웰(112D)과 n형 웰(112B) 사이에 실제 인터페이스가 관찰되지 않을 수 있다. N형 웰(112C)은 길이(L1) 및 폭(W4)을 가진다. N형 웰(112D)은 길이(L2) 및 폭(W5)을 가진다. 길이(L1)는 웰 스트랩 셀(50)의 길이보다 작고, n형 웰 스트랩(50B)의 길이와 실질적으로 동일하다. 길이(L2)는 웰 스트랩 셀(50)의 길이보다 작고 n형 웰 스트랩(50C)의 길이와 실질적으로 동일하다. 폭(W4, W5)은 SRAM 셀(20A, 20B)의 n형 웰(112A, 112B)의 폭(W1)과 실질적으로 동일하다. 도시된 실시예에서, 폭(W4)은 폭(W5)과 실질적으로 동일하지만, 본 개시는 폭(W4)이 폭(W5)보다 크거나 작은 실시예를 고려한다.The present disclosure proposes a well doping configuration in the well strap cell 50 that significantly reduces the well pickup resistance, particularly the well pickup resistance associated with the p-type well strap 50A. 2A to 2G, the well strap cell 50 includes an n-type well 112C, an n-type well 112D, and a p-type well 114C. The P-type well 114C is I-shaped in plan view along the width of the well strap cell 50 (here, along the y direction), and a plan view along the length of the well strap cell 50 (here, along the x direction). H-shaped in For example, the p-type well 114C includes a p-type well sub-region 114C-1, a p-type well sub-region 114C-2, and a p-type well sub-region 114C-3. An n-type well 112C is disposed between the p-type well sub-region 114C-1 and the p-type well sub-region 114C-2 in the n-type well strap 50B, and the n-type well 112D is n It is disposed between the p-type well sub-region 114C-1 and the p-type well sub-region 114C-2 in the well strap 50C. The n-type well 112C extends without interruption to the n-type well 112A, and the n-type well 112D extends to the n-type well 112B without interruption. In some embodiments, an actual interface may not be observed between the n-type well 112C and the n-type well 112A, and no actual interface may be observed between the n-type well 112D and the n-type well 112B. I can. The N-type well 112C has a length L1 and a width W4. The N-type well 112D has a length L2 and a width W5. The length L1 is smaller than the length of the well strap cell 50 and is substantially the same as the length of the n-type well strap 50B. The length L2 is less than the length of the well strap cell 50 and is substantially the same as the length of the n-type well strap 50C. The widths W4 and W5 are substantially the same as the widths W1 of the n-type wells 112A and 112B of the SRAM cells 20A and 20B. In the illustrated embodiment, the width W4 is substantially the same as the width W5, but the present disclosure contemplates an embodiment in which the width W4 is greater or less than the width W5.

P형 웰 서브 영역(114C-1, 114C-2)은 웰 스트랩 셀(50)의 전체 길이를 따라 연장된다. 따라서, P형 웰 서브 영역(114C-1, 114C-2)은 p형 웰 스트랩(50A), n형 웰 스트랩(50B) 및 n형 웰 스트랩(50C)에 걸쳐 있다. P형 웰 서브 영역(114C-1)은 p형 웰(114A, 114B) 각각의 p형 웰 서브 영역(114A-1, 114B-1)으로 중단없이 연장된다. 일부 실시예에서, p형 웰 서브 영역(114C-1)과 p형 웰 서브 영역(114A-1, 114B-1) 사이에는 실제 인터페이스가 관찰되지 않을 수 있다. P형 웰 서브 영역(114C-2)은 p형 웰(114A, 114B) 각각의 p형 웰 서브 영역(114A-2, 114B-2)으로 중단없이 연장된다. 일부 실시예에서, p형 웰 서브 영역(114C-2)과 p형 웰 서브 영역(114A-2, 114B-2) 사이에는 실제 인터페이스가 관찰되지 않을 수 있다. P형 웰 서브 영역(114C-1)은 길이(L3) 및 폭(W6)을 가진다. P형 웰 서브 영역(114C-2)은 길이(L4) 및 폭(W7)을 가진다. 길이(L3, L4)는 웰 스트랩 셀(50)의 길이와 실질적으로 동일하다. 폭(W6, W7)은 웰 스트랩 셀(50)의 폭보다 작다. 도시된 실시예에서, 폭(W6)은 p형 웰(114A, 114B) 각각의 p형 웰 서브 영역(114A-1, 114B-1)의 폭(W2)과 실질적으로 동일하고, 폭(W7)은 p형 웰(114A, 114B) 각각의 p형 웰 서브 영역(114A-2, 114B-2)의 폭(W3)과 실질적으로 동일하다. 도시된 실시예의 취지에 따라, 폭(W6)은 폭(W7)과 실질적으로 동일하지만, 본 개시는 폭(W6)이 폭(W7)보다 크거나 작은 실시예를 고려한다.The P-type well sub-regions 114C-1 and 114C-2 extend along the entire length of the well strap cell 50. Accordingly, the P-type well sub-regions 114C-1 and 114C-2 span the p-type well strap 50A, the n-type well strap 50B, and the n-type well strap 50C. The p-type well sub-region 114C-1 extends without interruption to the p-type well sub-regions 114A-1 and 114B-1 of each of the p-type wells 114A and 114B. In some embodiments, an actual interface may not be observed between the p-type well sub-region 114C-1 and the p-type well sub-regions 114A-1 and 114B-1. The p-type well sub-region 114C-2 extends without interruption to the p-type well sub-regions 114A-2 and 114B-2 of each of the p-type wells 114A and 114B. In some embodiments, an actual interface may not be observed between the p-type well sub-region 114C-2 and the p-type well sub-regions 114A-2 and 114B-2. The P-type well sub-region 114C-1 has a length L3 and a width W6. The P-type well sub-region 114C-2 has a length L4 and a width W7. The lengths L3 and L4 are substantially the same as the length of the well strap cell 50. The widths W6 and W7 are smaller than the width of the well strap cell 50. In the illustrated embodiment, the width W6 is substantially the same as the width W2 of the p-type well sub-regions 114A-1 and 114B-1 of each of the p-type wells 114A and 114B, and the width W7 Is substantially the same as the width W3 of the p-type well sub-regions 114A-2 and 114B-2 of each of the p-type wells 114A and 114B. According to the spirit of the illustrated embodiment, the width W6 is substantially the same as the width W7, but the present disclosure contemplates an embodiment in which the width W6 is greater than or less than the width W7.

P형 웰 서브 영역(114C-3)은 p형 웰 스트랩(50A) 내에서 웰 스트랩 셀(50)의 폭을 따라 p형 웰 서브 영역(114C-1)과 p형 웰 서브 영역(114C-2) 사이에 배치되어, p형 웰 서브 영역(114C-3), p형 웰 서브 영역(114C-2) 및 p형 웰 서브 영역(114C-1)이 결합되어 p형 웰 스트랩(50A)의 전체에 걸쳐 위치되도록 한다. P형 웰 서브 영역(114C-3)은 추가적으로 웰 스트랩 셀(50)의 길이를 따라 n형 웰(112C)과 n형 웰(112D) 사이에 배치된다. 따라서, P형 웰 서브 영역(114C-3)은 웰 스트랩 셀(50)과 p형 웰 스트랩(50A)의 중앙(또는 중간) 부분을 형성한다. 일부 실시예에서, 폭(여기서, y) 방향을 따른 p형 웰 서브 영역(114C-3)의 대칭 축은 폭 방향을 따른 p형 웰 서브 영역(114C-1)의 대칭 축 및 폭 방향을 따른 p형 웰 서브 영역(114C-2)의 대칭 축과 실질적으로 정렬된다. 이러한 실시예에서, p형 웰 서브 영역(114C-1, 114C-2, 및 114C-3)의 대칭 축은 대칭 축과 정렬된다. P형 웰 서브 영역(114C-3)은 길이(L5) 및 폭(W8)을 가진다. 길이(L5)는 웰 스트랩 셀(50)의 길이보다 작고 p형 웰 스트랩(50A)의 길이와 실질적으로 동일하다. 폭(W8)은 웰 스트랩 셀(50)의 폭보다 작다. 도시된 실시예에서, 폭(W8)은 n형 웰(112C)의 폭(W4) 및/또는 n형 웰(112D)의 폭(W5)과 실질적으로 동일하다(따라서, SRAM 셀(20A, 20B) 내의 n형 웰(112A, 112B)의 폭(W1)과 실질적으로 동일하다). 도시된 실시예의 취지에 따라, 폭(W6), 폭(W7) 및 폭(W8)의 합은 웰 스트랩 셀(50)의 폭과 실질적으로 동일하다(즉, W6 + W7 + W8 = 웰 스트랩 셀(50)의 폭이고, W8 = 웰 스트랩 셀(50)의 폭 - (W6 + W7)이다).The p-type well sub-region 114C-3 is a p-type well sub-region 114C-1 and a p-type well sub-region 114C-2 along the width of the well strap cell 50 within the p-type well strap 50A. ), the p-type well sub-region 114C-3, the p-type well sub-region 114C-2, and the p-type well sub-region 114C-1 are combined to form the entire p-type well strap 50A. To be positioned across. The P-type well sub-region 114C-3 is additionally disposed between the n-type well 112C and the n-type well 112D along the length of the well strap cell 50. Accordingly, the P-type well sub-region 114C-3 forms the center (or middle) portion of the well strap cell 50 and the p-type well strap 50A. In some embodiments, the axis of symmetry of the p-type well sub-region 114C-3 along the width (here, y) direction is the axis of symmetry of the p-type well sub-region 114C-1 along the width direction and p along the width direction. It is substantially aligned with the axis of symmetry of the type well sub-region 114C-2. In this embodiment, the axis of symmetry of the p-type well sub-regions 114C-1, 114C-2, and 114C-3 is aligned with the axis of symmetry. The P-type well sub-region 114C-3 has a length L5 and a width W8. The length L5 is less than the length of the well strap cell 50 and is substantially the same as the length of the p-type well strap 50A. The width W8 is smaller than the width of the well strap cell 50. In the illustrated embodiment, the width W8 is substantially the same as the width W4 of the n-type well 112C and/or the width W5 of the n-type well 112D (thus, the SRAM cells 20A, 20B ) Is substantially the same as the width W1 of the n-type wells 112A and 112B). According to the spirit of the illustrated embodiment, the sum of the width W6, the width W7, and the width W8 is substantially the same as the width of the well strap cell 50 (i.e., W6 + W7 + W8 = well strap cell Is the width of 50, and W8 = the width of the well strap cell 50-(W6 + W7)).

웰 스트랩 셀(50)에 I자형 p형 웰(114C)을 구현함으로써, p형 웰 스트랩(50A)의 웰 도핑 구성은 메모리 셀(20)(여기서, SRAM 셀(20A, 20B))의 웰 도핑 구성과 다르고, N형 웰 스트랩(50B, 50C)의 웰 도핑 구성은 메모리 셀(20)의 웰 도핑 구성과 동일하다. 예를 들어, p형 웰 스트랩(50A)은 p형 웰만을 포함하고 n형 웰이 없고, n형 웰 스트랩(50B, 50C)은 p형 웰 사이에 배치되는 n형 웰을 포함하고, SRAM 셀(20A, 20B)은 p형 웰 사이에 배치되는 n형 웰을 포함한다. 이러한 구성에서, P형 웰 스트랩(50A)의 p형 웰은 종래의 웰 스트랩과 같이 별개의 부분으로 분할되지 않고, 대신 p형 웰 스트랩(50A) 내에서 중단없이 연속적으로 연장되기 때문에, p형 웰 스트랩(50A)과 연관된 웰 픽업 저항이 제한되지 않는다. 이는 p형 웰 스트랩(50A)이 완전한 웰 픽업 저항을 달성할 수 있도록 하고, n형 웰 스트랩(50B, 50C)으로부터의 노이즈와 같은 n형 웰로부터의 노이즈를 차단할 수 있도록 한다. 예를 들어, p형 웰 스트랩(50A)에서 p-n 접합(따라서, p형 웰 스트랩(50A)이 전압에 연결될 때 저항을 증가시킬 수 있는 p-n 공핍 영역)을 제거하는 것은 p형 웰 스트랩(50A)의 웰 픽업 저항을 크게 감소시키고, 이로 인해 메모리(10)의 성능을 개선시키는 것으로 관찰되었다.By implementing the I-shaped p-type well 114C in the well strap cell 50, the well doping configuration of the p-type well strap 50A is well doped of the memory cell 20 (here, SRAM cells 20A, 20B). Unlike the configuration, the well doping configuration of the N-type well straps 50B and 50C is the same as the well doping configuration of the memory cell 20. For example, p-type well strap 50A includes only p-type wells and no n-type well, n-type well straps 50B, 50C include n-type wells disposed between p-type wells, and SRAM cells (20A, 20B) includes n-type wells disposed between p-type wells. In this configuration, the p-type well of the P-type well strap 50A is not divided into separate parts like the conventional well strap, but instead extends continuously without interruption within the p-type well strap 50A, The well pickup resistance associated with the well strap 50A is not limited. This allows the p-type well strap 50A to achieve full well pickup resistance and blocks noise from the n-type well, such as noise from the n-type well straps 50B and 50C. For example, removing the pn junction (hence, the pn depletion region that can increase the resistance when the p-type well strap 50A is connected to a voltage) from the p-type well strap 50A is the p-type well strap 50A. It has been observed to significantly reduce the well pickup resistance of, thereby improving the performance of the memory 10.

웰 스트랩 셀(50)은 기판(110) 위에 배치되는 핀(120)(핀 구조물 또는 활성 핀 영역으로도 지칭된다)을 더 포함하고, 여기서 핀(120)은 SRAM 셀(20A, 20B)의 n형 FinFET 및/또는 p형 FinFET의 핀과 동일하거나 유사하게 구성된다. 핀(120)은 서로 실질적으로 평행하게 배향되며, 각각은 x 방향으로 정의된 길이, y 방향으로 정의된 폭, 및 z 방향으로 정의된 높이를 가진다. 각각의 핀(120)은 x 방향으로 길이를 따라 정의된 적어도 하나의 채널 영역, 적어도 하나의 소스 영역 및 적어도 하나의 드레인 영역을 가지며, 여기서 채널 영역은 소스 영역과 드레인 영역(일반적으로는 소스/드레인 영역으로 지칭된다)사이에 배치된다. 채널 영역은 측벽부 사이에 정의된 최상부를 포함하고, 여기서 최상부와 측벽부는 (후술하는) 게이트 구조물과 결합되어, 작동 중에 소스/드레인 영역 사이에 전류가 흐를 수 있도록 한다. 소스/드레인 영역은 또한 측벽부 사이에 정의된 최상부를 포함한다. 일부 실시예에서, 핀(120)은 기판(110)의 일부(예를 들어, 기판(110)의 재료층의 일부)이다. 예를 들어, 기판(110)이 실리콘을 포함하는 경우, 핀(120)은 실리콘을 포함한다. 대안적으로, 일부 실시예에서, 핀(120)은, 기판(110) 위에 위치하는, 하나 이상의 반도체 재료층과 같은 재료층에 정의된다. 예를 들어, 핀(120)은 기판(110) 위에 배치되는 (헤테로 구조물과 같이) 다양한 반도체층을 가지는 반도체층 스택을 포함할 수 있다. 반도체층은, 실리콘, 게르마늄, 실리콘 게르마늄, 그 밖의 적절한 반도체 재료 또는 이의 조합과 같은 임의의 적절한 반도체 재료를 포함할 수 있다. 반도체층은 동일하거나 상이한 재료, 에칭 속도, 구성 원자 백분율, 구성 중량 백분율, 두께 및/또는 구성을 포함할 수 있다. 일부 실시예에서, 반도체층 스택은, 제1 재료로 구성된 반도체층 및 제2 재료로 구성된 반도체층과 같이 교번하는 반도체층을 포함한다. 예를 들어, 반도체층 스택에서 실리콘층과 실리콘 게르마늄층(예를 들어, SiGe/Si/??)이 교번한다. 일부 실시예에서, 반도체층 스택은, 제1 원자 백분율의 구성 성분을 가지는 반도체층 및 제2 원자 백분율의 구성 성분을 가지는 반도체층과 같이, 동일한 재료이지만, 교번하는 구성 성분 원자 백분율을 가지는 반도체층을 포함한다. 예를 들어, 반도체층 스택은 교번하는 실리콘 및/또는 게르마늄 원자 백분율을 가지는 실리콘 게르마늄층(예를 들어, SiaGeb/SicGed/??, 여기서 a와 c는 실리콘의 상이한 원자 백분율이고, b와 d는 게르마늄의 상이한 원자 백분율이다)을 포함한다.The well strap cell 50 further includes a fin 120 (also referred to as a fin structure or active fin region) disposed over the substrate 110, wherein the fin 120 is the n of the SRAM cells 20A, 20B. It is configured identically or similar to the fins of the type FinFET and/or the p type FinFET. The fins 120 are oriented substantially parallel to each other, and each has a length defined in the x direction, a width defined in the y direction, and a height defined in the z direction. Each fin 120 has at least one channel region, at least one source region, and at least one drain region defined along a length in the x direction, wherein the channel region is a source region and a drain region (generally source/ It is disposed between (referred to as a drain region). The channel region includes an uppermost portion defined between the sidewall portions, wherein the uppermost portion and the sidewall portions are combined with a gate structure (described below) to allow current to flow between the source/drain regions during operation. The source/drain region also includes an uppermost portion defined between the sidewall portions. In some embodiments, fins 120 are part of substrate 110 (eg, part of a material layer of substrate 110 ). For example, when the substrate 110 includes silicon, the fin 120 includes silicon. Alternatively, in some embodiments, fins 120 are defined in a material layer, such as one or more layers of semiconductor material, overlying the substrate 110. For example, the fin 120 may include a semiconductor layer stack having various semiconductor layers (such as a heterostructure) disposed on the substrate 110. The semiconductor layer may comprise any suitable semiconductor material, such as silicon, germanium, silicon germanium, other suitable semiconductor material, or combinations thereof. The semiconductor layer may comprise the same or different material, etch rate, atomic percentage constitution, weight percentage constitution, thickness and/or composition. In some embodiments, the semiconductor layer stack includes alternating semiconductor layers, such as a semiconductor layer composed of a first material and a semiconductor layer composed of a second material. For example, in a semiconductor layer stack, a silicon layer and a silicon germanium layer (eg, SiGe/Si/??) alternate. In some embodiments, the semiconductor layer stack is of the same material, but a semiconductor layer having alternating atomic percentages of constituents, such as a semiconductor layer having a first atomic percentage of constituents and a semiconductor layer having a second atomic percentage of constituents. Includes. For example, a stack of semiconductor layers may be a layer of silicon germanium with alternating silicon and/or germanium atomic percentages (e.g., Si a Ge b /Si c Ge d /??, where a and c are different atomic percentages of silicon. And b and d are different atomic percentages of germanium).

핀(120)은 임의의 적절한 공정에 의해 기판(110) 위에 형성된다. 일부 실시예에서, 퇴적, 리소그래피 및/또는 에칭 공정의 조합이 수행되어 기판(110)에서 연장되는 핀(120)을 정의한다. 예를 들어, 핀(120)을 형성하는 단계는, 기판(110)(또는 기판(110) 위에 배치된, 헤테로 구조물과 같은 재료층) 위에 패터닝된 마스크층을 형성하도록 리소그래피 공정을 수행하는 단계 및 상기 패터닝된 마스크층에 정의된 패턴을 기판(110)(또는 기판(110) 위에 배치된, 헤테로 구조물과 같은 재료층)에 전사하도록 에칭 공정을 수행하는 단계를 포함한다. 리소그래피 공정은 기판(110) 위에 배치되는 마스크층 위에 (예를 들어, 스핀 코팅에 의해) 레지스트층을 형성하는 단계, 노광 전 베이킹 공정을 수행하는 단계, 마스크를 사용하여 노광 공정을 수행하는 단계, 노광 후 베이킹 공정을 수행하는 단계, 및 형상 공정을 수행하는 단계를 포함할 수 있다. 노광 공정 중에, 레지스트층은 (자외선(ultraviolet, UV) 광, 원자외선(Deep UV, DUV) 광, 또는 극자외선(Extreme UV, EUV) 광과 같은) 방사선 에너지에 노출되며, 마스크는 마스크의 마스크 패턴 및/또는 마스크 유형(예를 들어, 이진 마스크, 위상 시프트 마스크 또는 EUV 마스크)에 따라 레지스트층으로의 방사선을 차단, 투과 및/또는 반사하여, 마스크 패턴에 상응하는 이미지가 레지스트층에 투영되도록 한다. 레지스트층은 방사선 에너지에 민감하기 때문에, 레지스트층의 노출된 부분이 현상 공정 중에 화학적으로 변하고, 레지스트층의 특성 및 현상 공정에서 사용되는 현상액의 특성에 따라 레지스트층의 노출된(또는 노출되지 않은) 부분이 현상 공정 중에 용해된다. 현상 이후에, 패터닝된 레지스트층은 마스크에 상응하는 레지스트 패턴을 포함한다. 에칭 공정은 패터닝된 레지스트층을 에칭 마스크로서 사용하여 마스크층의 일부를 제거한 다음, 패터닝된 마스크층을 사용하여 기판(110)(또는 기판(110) 위에 배치되는 재료층)의 일부를 제거한다. 에칭 공정은 건식 에칭 공정(예를 들어, 반응성 이온 에칭(Reactive Ion Etching, RIE) 공정), 습식 에칭 공정, 그 밖의 적절한 에칭 공정 또는 이의 조합을 포함할 수 있다. 패터닝된 레지스트층은 에칭 공정 중에 또는 이후에, 예를 들어 레지스트 스트리핑 공정에 의해 제거된다. 대안적으로 또는 추가적으로, 이중 패터닝 리소그래피(Double Patterning Lithography, DPL) 공정(예를 들어, 리소그래피 에칭 리소그래피 에칭(Lithography-Etch-Lithography-Etch, LELE) 공정, 자기 정렬 이중 패터닝(Self-Aligned Double Patterning, SADP)) 공정, 스페이서가 유전체인 패터닝(Spacer-Is-Dielectric Patterning, SIDP) 공정, 그 밖의 이중 패터닝 공정 또는 이의 조합), 삼중 패터닝 공정(예를 들어, 리소그래피 에칭 리소그래피 에칭 리소그래피 에칭(Lithography-Etch-Lithography-Etch-Lithography-Etch, LELELE) 공정), 자기 정렬 삼중 패터닝(Self-Aligned Triple Patterning, SATP) 공정, 그 밖의 삼중 패터닝 공정 또는 이의 조합), 그 밖의 다중 패터닝 공정(예를 들어, 자기 정렬 사중 패터닝(Self-Aligned Quadruple Patterning, SAQP) 공정, 또는 이의 조합)과 같은 다중 패터닝 공정에 의해 핀(120)이 형성된다. 일반적으로, 이중 패터닝 공정 및/또는 다중 패터닝 공정은 리소그래피 공정과 자기 정렬 공정을 결합하여, 예를 들어 단일, 직접 리소그래피 공정을 사용하여 얻을 수 있는 것보다 작은 피치를 가지는 패턴이 생성될 수 있도록 한다. 예를 들어, 일부 실시예에서, 맨드렐층이 마스크층의 일부를 제거하기 위한 에칭 마스크로서 사용되며, 맨드렐층은 스페이서 패터닝 기술을 사용하여 형성된다. 예를 들어, 맨드렐층을 형성하는 단계는 리소그래피 공정을 사용하여(예를 들어, 패터닝된 레지스트층을 사용하여) 마스크층 위에 (제1 간격을 가지는 희생 피처부를 포함하는) 패터닝된 희생층을 형성하는 단계, 패터닝된 희생층 위에 스페이서층을 형성하는 단계, 각 희생 피처부의 측벽을 따라 스페이서를 형성하도록 스페이서층을 에칭하는 단계(예를 들어, 스페이서층은 희생 피처부의 최상부 표면 및 마스크층의 최상부 표면의 일부로부터 제거된다), 및 제2 간격을 가지는 스페이서(마스크층의 일부를 노출시키는 개구부를 포함하는, 패터닝된 스페이서층으로 지칭될 수 있다)를 남겨두고 패터닝된 희생층을 제거하는 단계를 포함한다. 따라서 맨드렐층 및 그 맨드렐은 각각 스페이서층 및 스페이서로 지칭될 수 있다. 일부 실시예에서, 스페이서층은 실질적으로 균일한 두께를 갖도록 패터닝된 희생층 위에 컨포멀하게 형성된다. 일부 실시예에서, 스페이서는 패터닝된 희생층을 제거하기 이전에 또는 이후에 트리밍된다. 일부 실시예에서, 방향성 자기 조립(Directed Self-Assembly, DSA) 기술이 핀(120)을 형성하는 동안 구현된다.Fins 120 are formed over substrate 110 by any suitable process. In some embodiments, a combination of deposition, lithography and/or etching processes is performed to define fins 120 extending from substrate 110. For example, forming the fins 120 may include performing a lithographic process to form a patterned mask layer over the substrate 110 (or a layer of material such as a heterostructure, disposed over the substrate 110), and And performing an etching process to transfer the pattern defined in the patterned mask layer to the substrate 110 (or a material layer such as a heterostructure disposed on the substrate 110). The lithography process includes forming a resist layer (for example, by spin coating) on a mask layer disposed on the substrate 110, performing a baking process before exposure, performing an exposure process using a mask, It may include performing a baking process after exposure and performing a shape process. During the exposure process, the resist layer is exposed to radiation energy (such as ultraviolet (UV) light, deep UV (DUV) light, or extreme UV (EUV) light), and the mask is the mask of the mask. Blocks, transmits and/or reflects radiation to the resist layer according to the pattern and/or mask type (e.g., binary mask, phase shift mask or EUV mask) so that an image corresponding to the mask pattern is projected onto the resist layer. do. Because the resist layer is sensitive to radiation energy, the exposed portion of the resist layer chemically changes during the development process, and the exposed (or unexposed) of the resist layer depends on the properties of the resist layer and the properties of the developer used in the development process. The part is dissolved during the developing process. After development, the patterned resist layer includes a resist pattern corresponding to the mask. The etching process uses a patterned resist layer as an etching mask to remove a portion of the mask layer, and then uses the patterned mask layer to remove a portion of the substrate 110 (or a material layer disposed over the substrate 110). The etching process may include a dry etching process (eg, a Reactive Ion Etching (RIE) process), a wet etching process, any other suitable etching process, or a combination thereof. The patterned resist layer is removed during or after the etching process, for example by a resist stripping process. Alternatively or additionally, a Double Patterning Lithography (DPL) process (e.g., Lithography-Etch-Lithography-Etch, LELE) process, Self-Aligned Double Patterning, SADP)) process, spacer-Is-Dielectric Patterning (SIDP) process, other double patterning process or a combination thereof), triple patterning process (e.g., lithography etching lithography etching lithography etching (Lithography-Etch) -Lithography-Etch-Lithography-Etch, LELELE) process), Self-Aligned Triple Patterning (SATP) process, other triple patterning process or a combination thereof), other multiple patterning processes (e.g., magnetic The fins 120 are formed by a multiple patterning process such as a self-aligned quadruple patterning (SAQP) process, or a combination thereof. In general, the double patterning process and/or the multiple patterning process combine a lithographic process with a self-aligning process, so that a pattern with a smaller pitch than can be achieved using, for example, a single, direct lithography process can be created. . For example, in some embodiments, a mandrel layer is used as an etching mask to remove a portion of the mask layer, and the mandrel layer is formed using a spacer patterning technique. For example, the step of forming the mandrel layer may include forming a patterned sacrificial layer (including sacrificial features having a first gap) over the mask layer using a lithography process (e.g., using a patterned resist layer). Forming a spacer layer on the patterned sacrificial layer, etching the spacer layer to form spacers along sidewalls of each sacrificial feature (e.g., the spacer layer is the top surface of the sacrificial feature and the top of the mask layer) Removed from a portion of the surface), and a spacer having a second spacing (which may be referred to as a patterned spacer layer, including an opening exposing a portion of the mask layer), and removing the patterned sacrificial layer. Include. Accordingly, the mandrel layer and the mandrel may be referred to as a spacer layer and a spacer, respectively. In some embodiments, the spacer layer is conformally formed over the patterned sacrificial layer to have a substantially uniform thickness. In some embodiments, the spacers are trimmed before or after removing the patterned sacrificial layer. In some embodiments, a Directed Self-Assembly (DSA) technique is implemented during fin 120 formation.

격리 피처부(들)(122)가, IC 장치(100)의, 다양한 장치 영역과 같은 다양한 영역을 격리하도록 기판(110) 위에 및/또는 내에 형성된다. 예를 들어, 격리 피처부(122)는 메모리(10)의 다양한 FinFET과 같은 활성 장치 영역 및/또는 수동 장치 영역을 서로 분리 및 격리한다. 격리 피처부(122)는 또한 핀(120)을 서로 분리하고 격리시킨다. 도시된 실시예에서, 격리 피처부(122)는 핀(120)의 최하부를 둘러싼다. 격리 피처부(122)는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, (예를 들어, 실리콘, 산소, 질소, 탄소 및/또는 그 밖의 적절한 격리 구성 성분을 포함하는) 그 밖의 적절한 격리 재료, 또는 이의 조합을 포함한다. 격리 피처부(122)는 얕은 트렌치 격리(Shallow Trench Isolation, STI) 구조물, 깊은 트렌치 격리(Deep Trench Isolation, DTI) 구조물 및/또는 실리콘 국소 산화(Local Oxidation Of Silicon, LOCOS) 구조물과 같은 다른 구조물을 포함할 수 있다. 일부 실시예에서, STI 피처부는 (예를 들어, 건식 에칭 공정 및/또는 습식 에칭 공정을 사용하여) 기판(110)에 트렌치를 에칭하고, (예를 들어, 화학 기상 증착 공정 또는 스핀 온 유리 공정을 사용하여) 절연체 재료로 트렌치를 충전함으로써 형성될 수 있다. 과잉 절연체 재료를 제거하고 및/또는 격리 피처부(122)의 최상부 표면을 평탄화하도록 화학적 기계적 연마(Chemical Mechanical Polishing, CMP) 공정이 수행될 수 있다. 일부 실시예에서, 핀(120)을 형성한 후에 (일부 실시예에서, 절연체 재료층이 핀(120) 사이의 갭(트렌치)을 채우도록) 기판(110) 위에 절연체 재료를 퇴적하고, 절연체 재료층을 에치 백하여 격리 피처부(122)를 형성함으로써 STI 피처부가 형성될 수 있다. 일부 실시예에서, 격리 피처부(122)는, 라이너 유전층 위에 배치되는 벌크 유전층과 같은, 트렌치를 채우는 다층 구조물을 포함하고, 여기서 벌크 유전층 및 라이너 유전층은 설계 요구 사항에 따른 재료(예를 들어, 열 산화물을 포함하는 라이너 유전층 위에 배치되는 실리콘 질화물을 포함하는 벌크 유전층)를 포함한다. 일부 실시예에서, 격리 피처부(122)는 (예를 들어, 보론 실리케이트 유리(Boron Silicate Glass, BSG), 또는 포스포실리케이트 유리(Phosphosilicate glass, PSG)를 포함하는) 도핑된 라이너층 위에 배치되는 유전층을 포함한다.Isolation feature(s) 122 are formed over and/or within the substrate 110 to isolate various regions of the IC device 100, such as various device regions. For example, the isolation feature 122 separates and isolates the active device regions such as various FinFETs and/or passive device regions of the memory 10 from each other. Isolation features 122 also separate and isolate pins 120 from each other. In the illustrated embodiment, the isolation feature 122 surrounds the lowermost portion of the pin 120. Isolation feature 122 is a silicon oxide, silicon nitride, silicon oxynitride, other suitable isolating material (e.g., including silicon, oxygen, nitrogen, carbon and/or other suitable isolating components), or Includes a combination. Isolation feature 122 is a shallow trench isolation (STI) structure, a deep trench isolation (Deep Trench Isolation (DTI)) structure, and / or other structures such as local oxidation of silicon (LOCOS) structure. Can include. In some embodiments, the STI features etch a trench in the substrate 110 (e.g., using a dry etch process and/or a wet etch process), and (e.g., a chemical vapor deposition process or a spin-on glass process). Can be formed by filling the trench with an insulator material. A chemical mechanical polishing (CMP) process may be performed to remove excess insulator material and/or planarize the top surface of the isolation feature portion 122. In some embodiments, after forming fins 120 (in some embodiments, a layer of insulator material fills the gap (trench) between fins 120), depositing an insulator material over the substrate 110, and The STI feature portion may be formed by forming the isolation feature portion 122 by etching back the layer. In some embodiments, the isolation feature 122 includes a multilayer structure that fills the trench, such as a bulk dielectric layer disposed over the liner dielectric layer, wherein the bulk dielectric layer and the liner dielectric layer are material in accordance with design requirements (e.g., A bulk dielectric layer comprising silicon nitride disposed over the liner dielectric layer comprising thermal oxide). In some embodiments, the isolation feature 122 is disposed over a doped liner layer (including, for example, Boron Silicate Glass (BSG), or Phosphosilicate glass (PSG)). Includes a dielectric layer.

웰 스트랩 셀(50)은 핀(120) 및 격리 피처부(122) 위에 배치되는 게이트 구조물(130)을 더 포함하며, 여기서 게이트 구조물(130)은 SRAM 셀(20A, 20B)의 n형 FinFET 및/또는 p형 FinFET의 게이트 구조물과 동일하거나 유사하게 구성된다. 게이트 구조물(130)이 각각의 핀(120)의 상부 부분을 감싸도록(wrap), 게이트 구조물(130)은 (예를 들어, 핀(120)에 실질적으로 수직인) y 방향을 따라 연장되고, 각각의 핀 구조물(120)을 횡단한다. 게이트 구조물(130)은 핀(120)의 채널 영역 위에 배치되고 이를 감싸서, 핀(120)의 각각의 소스/드레인 영역 사이에 개재된다. 게이트 구조물(130)은 핀(120)의 각각의 채널 영역과 맞물려서, 작동 중에 핀(120)의 각각의 소스/드레인 영역 사이에 전류가 흐를 수 있도록 한다. 웰 스트랩 셀(50)의 게이트 구조물(130)은 더미 게이트 구조물인 반면, 메모리 셀(20)의 게이트 구조물은 활성 게이트 구조물이다(게이트 구조물(130)은 메모리 셀(20)의 FinFET의 게이트 구조물과 동일하게 구성된다). "활성 게이트 구조물"은 일반적으로 전기적으로 기능하는 게이트 구조물을 지칭하는 반면, "더미 게이트 구조물"은 일반적으로 전기적으로 기능하지 않는 게이트 구조물을 지칭한다. 예를 들어, 게이트 구조물(130)은 메모리 셀(20)의 FinFET의 활성 게이트 구조물의 물리적 특성(예를 들어, 활성 게이트 구조물의 물리적 치수)을 모방하지만, 전기적으로 동작할 수 없다(즉, 전류가 소스/드레인 영역 사이에 흐르지 않도록 한다). 일부 실시예에서, 게이트 구조물(130)은 실질적으로 균일한 처리 환경을 가능하게 하며, 예를 들어, (예를 들어, 에피택시 소스/드레인 피처부를 형성할 때) 핀(120)의 소스/드레인 영역에서의 균일한 에피택시 재료 성장, (예를 들어, 소스/드레인 리세스를 형성할 때) 핀(120)의 소스/드레인 영역에서의 균일한 에칭 속도, 및/또는 (예를 들어, CMP 유도 디싱 효과를 감소(또는 방지)함으로써) 균일하고, 실질적으로 평탄한 표면을 가능하게 한다. 도시된 실시예에서, 게이트 구조물(130)은 메모리 셀(20) 내의 FinFET의 게이트 구조물의 게이트 스택과 동일하게 구성된 게이트 스택을 포함한다. 예를 들어, 각 게이트 구조물(130)의 게이트 스택은, 게이트 스택에 인접하여 (예를 들어, 측벽을 따라) 배치되는 게이트 스페이서(138)와 함께, 게이트 유전체(132), 게이트 전극(134) 및 하드 마스크층(136)을 포함한다. 게이트 유전체(132), 게이트 전극(134) 및/또는 하드 마스크층(136)은 게이트 구조물(130) 내에 동일하거나 상이한 층 및/또는 재료를 포함할 수 있다. 게이트 구조물(130)이 p형 웰 스트랩(50A), n형 웰 스트랩(50B) 및 n형 웰 스트랩(50C)에 걸쳐 있기 때문에, 게이트 구조물(130)은 p형 웰 스트랩(50A), n형 웰 스트랩(50B) 및 n형 웰 스트랩(50C)에 상응하는 영역에서 상이한 층을 가질 수 있다. 예를 들어, p형 웰 스트랩(50A)에 상응하는 게이트 유전체(132) 및/또는 게이트 전극(134)의 층의 개수, 구성 및/또는 재료는 n형 웰 스트랩(50B) 및/또는 n형 웰 스트랩(50C)에 상응하는 게이트 유전체(32) 및/또는 게이트 전극(34)의 층의 개수, 구성 및/또는 재료와 다를 수 있다.The well strap cell 50 further includes a gate structure 130 disposed over the fin 120 and the isolation feature portion 122, wherein the gate structure 130 is an n-type FinFET of the SRAM cells 20A, 20B and /Or the same or similar structure as the gate structure of the p-type FinFET. The gate structure 130 extends along a y direction (e.g., substantially perpendicular to the fin 120) so that the gate structure 130 wraps the upper portion of each fin 120, Each fin structure 120 is traversed. The gate structure 130 is disposed on and surrounds the channel region of the fin 120, and is interposed between each source/drain region of the fin 120. The gate structure 130 meshes with each channel region of the fin 120 to allow current to flow between each source/drain region of the fin 120 during operation. While the gate structure 130 of the well strap cell 50 is a dummy gate structure, the gate structure of the memory cell 20 is an active gate structure (the gate structure 130 is the gate structure of the FinFET of the memory cell 20). Are configured the same). "Active gate structure" generally refers to an electrically functional gate structure, while "dummy gate structure" generally refers to a gate structure that is not electrically functional. For example, the gate structure 130 mimics the physical properties of the active gate structure of the FinFET of the memory cell 20 (e.g., the physical dimensions of the active gate structure), but cannot be electrically operated (i.e., current Does not flow between the source/drain regions). In some embodiments, the gate structure 130 enables a substantially uniform processing environment, e.g., the source/drain of the fins 120 (e.g., when forming an epitaxy source/drain feature). Uniform epitaxy material growth in the region, a uniform etch rate in the source/drain regions of fins 120 (e.g., when forming source/drain recesses), and/or (e.g., CMP By reducing (or preventing) the induction dishing effect) a uniform, substantially flat surface is possible. In the illustrated embodiment, the gate structure 130 includes a gate stack configured identically to the gate stack of the gate structure of the FinFET in the memory cell 20. For example, the gate stack of each gate structure 130, along with a gate spacer 138 disposed adjacent to the gate stack (eg, along a sidewall), a gate dielectric 132, a gate electrode 134 And a hard mask layer 136. The gate dielectric 132, the gate electrode 134 and/or the hard mask layer 136 may include the same or different layers and/or materials within the gate structure 130. Since the gate structure 130 spans the p-type well strap 50A, the n-type well strap 50B, and the n-type well strap 50C, the gate structure 130 is a p-type well strap 50A, n-type. Different layers may be provided in regions corresponding to the well strap 50B and the n-type well strap 50C. For example, the number, configuration and/or material of the layers of the gate dielectric 132 and/or the gate electrode 134 corresponding to the p-type well strap 50A is the n-type well strap 50B and/or the n-type. The number, configuration, and/or material of the gate dielectric 32 and/or the gate electrode 34 corresponding to the well strap 50C may be different.

게이트 구조물(130)의 게이트 스택은 게이트 라스트 공정, 게이트 우선 공정 또는 게이트 라스트/게이트 우선 하이브리드 공정에 따라 제조된다. 게이트 라스트 공정 실시예에서, 하나 이상의 게이트 구조물(130)은 이후에 금속 게이트 스택으로 대체되는 더미 게이트 스택을 포함한다. 더미 게이트 스택은, 예를 들어 (예를 들어, 실리콘 산화물을 포함하는) 계면층 및 (예를 들어, 폴리 실리콘을 포함하는) 더미 게이트 전극층을 포함한다. 이러한 실시예에서, 이후에 내부에 게이트 유전체(132) 및/또는 게이트 전극(134)이 형성되는 개구부(트렌치)를 형성하도록 더미 게이트 전극층이 제거된다. 일부 실시예에서, 적어도 하나의 게이트 구조물(130)의 더미 게이트 스택이 금속 게이트 스택으로 대체되는 반면, 적어도 하나의 게이트 구조물(130)의 더미 게이트 스택은 유지된다. 예를 들어, 게이트 구조물(130)의 일부 또는 전부는 폴리 실리콘 게이트 스택을 포함할 수 있다. 게이트 라스트 공정 및/또는 게이트 우선 공정은 퇴적 공정, 리소그래피 공정, 에칭 공정, 그 밖의 적절한 공정 또는 이의 조합을 구현할 수 있다. 퇴적 공정은 CVD, 물리적 기상 증착(Physical Vapor Deposition, PVD), 원자층 퇴적(Atomic Layer Deposition, ALD), 고밀도 플라즈마 CVD(High Density Plasma CVD, HDPCVD), 금속 유기 CVD(Metal Organic CVD, MOCVD), 원격 플라즈마 CVD(Remote Plasma CVD, RPCVD), 플라즈마 강화 CVD(Plasma Enhanced CVD, PECVD), 저압 CVD(Low-Pressure CVD, LPCVD), 원자층 CVD(Atomic Layer CVD, ALCVD), 대기압 CVD(Atmospheric Pressure CVD, APCVD), 도금, 그 밖의 적절한 방법 또는 이의 조합을 포함한다. 리소그래피 패터닝 공정은 (예를 들어, 스핀 온 코팅과 같은) 레지스트 코팅, 소프트 베이킹, 마스크 정렬, 노광, 노광 후 베이킹, 레지스트 현상, 헹굼, (예를 들어, 하드 베이킹과 같은) 건조, 그 밖의 적절한 공정, 또는 이의 조합을 포함한다. 대안적으로, 리소그래피 노광 공정은 마스크 불포함 리소그래피, 전자 빔 쓰기, 또는 이온 빔 쓰기와 같은 다른 방법에 의해 보조, 구현 또는 대체된다. 에칭 공정은 건식 에칭 공정, 습식 에칭 공정, 그 밖의 에칭 공정 또는 이의 조합을 포함한다. CMP 공정은 게이트 유전체(132), 게이트 전극(134) 및/또는 하드 마스크층(136)의 임의의 과잉 재료를 제거하여 게이트 구조물을 평탄화하도록 수행될 수 있다.The gate stack of the gate structure 130 is manufactured according to a gate last process, a gate priority process, or a gate last/gate priority hybrid process. In a gate-last process embodiment, one or more gate structures 130 include a dummy gate stack that is later replaced by a metal gate stack. The dummy gate stack includes, for example, an interface layer (including, for example, silicon oxide) and a dummy gate electrode layer (including, for example, polysilicon). In this embodiment, the dummy gate electrode layer is removed to form an opening (trench) in which the gate dielectric 132 and/or the gate electrode 134 are formed thereafter. In some embodiments, the dummy gate stack of at least one gate structure 130 is replaced with a metal gate stack, while the dummy gate stack of at least one gate structure 130 is maintained. For example, some or all of the gate structure 130 may include a polysilicon gate stack. The gate last process and/or the gate priority process may implement a deposition process, a lithography process, an etching process, any other suitable process, or a combination thereof. The deposition process is CVD, Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), High Density Plasma CVD (HDPCVD), Metal Organic CVD (MOCVD), Remote Plasma CVD (RPCVD), Plasma Enhanced CVD (PECVD), Low-Pressure CVD (LPCVD), Atomic Layer CVD (ALCVD), Atmospheric Pressure CVD , APCVD), plating, other suitable methods, or combinations thereof. Lithographic patterning processes include resist coating (such as spin-on coating), soft baking, mask alignment, exposure, post-exposure baking, resist development, rinsing, drying (e.g., hard baking), and other suitable Process, or a combination thereof. Alternatively, the lithographic exposure process is assisted, implemented, or replaced by other methods such as maskless lithography, electron beam writing, or ion beam writing. The etching process includes a dry etching process, a wet etching process, another etching process, or a combination thereof. The CMP process may be performed to planarize the gate structure by removing any excess material in the gate dielectric 132, gate electrode 134 and/or hard mask layer 136.

게이트 유전체(132)가 실질적으로 균일한 두께를 갖도록, 게이트 유전체(132)가 핀(120) 및 격리 피처부(122) 위에 배치된다. 게이트 유전체(132)는 실리콘 산화물, 고-k 유전체 재료, 그 밖의 적절한 유전체 재료 또는 이의 조합과 같은 유전체 재료를 포함한다. 도시된 실시예에서, 게이트 유전체(132)는, 예를 들어 하프늄, 알루미늄, 지르코늄, 란타넘, 탄탈럼, 티타늄, 이트륨, 산소, 질소, 그 밖의 적절한 구성 성분 또는 이의 조합을 포함하는 하나 이상의 고-k 유전층을 포함한다. 일부 실시예에서, 하나 이상의 고-k 유전층은 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, ZrO2, Al2O3, HfO2-Al2O3, TiO2, Ta2O5, La2O3, Y2O3, 그 밖의 적절한 고-k 유전체 재료, 또는 이의 조합을 포함한다. 고-k 유전체 재료는, 예를 들어 실리콘 산화물(k

Figure pat00001
3.9)보다 큰 고유전 상수를 가지는 유전체 재료를 일반적으로 말한다. 일부 실시예에서, 게이트 유전체(132)는 고-k 유전층과 핀(120A) 및 격리 피처부(122) 사이에 배치되는 (실리콘 산화물과 같은 유전체 재료를 포함하는) 계면층을 더 포함한다.A gate dielectric 132 is disposed over the fin 120 and the isolation feature 122 such that the gate dielectric 132 has a substantially uniform thickness. The gate dielectric 132 includes a dielectric material such as silicon oxide, high-k dielectric material, other suitable dielectric material, or combinations thereof. In the illustrated embodiment, the gate dielectric 132 is one or more solids comprising, for example, hafnium, aluminum, zirconium, lanthanum, tantalum, titanium, yttrium, oxygen, nitrogen, other suitable constituents or combinations thereof. -k Include dielectric layer. In some embodiments, the one or more high-k dielectric layers are HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, ZrO 2 , Al 2 O 3 , HfO 2 -Al 2 O 3 , TiO 2 , Ta 2 O 5 , La 2 O 3 , Y 2 O 3 , other suitable high-k dielectric materials, or combinations thereof. The high-k dielectric material is, for example, silicon oxide (k
Figure pat00001
Generally refers to a dielectric material having a high dielectric constant greater than 3.9). In some embodiments, gate dielectric 132 further includes a high-k dielectric layer and an interfacial layer (including a dielectric material such as silicon oxide) disposed between fins 120A and isolation features 122.

게이트 전극(134)은 게이트 유전체(132) 위에 배치된다. 게이트 전극(134)은 전기 도전성 재료를 포함한다. 일부 실시예에서, 게이트 전극(134)은 하나 이상의 캡핑층, 일함수(work function)층, 글루/배리어층 및/또는 금속 충전(또는 벌크)층과 같은 다중층을 포함한다. 캡핑층은 게이트 유전체(132)와 게이트 구조물(130)의 다른 층(특히, 금속을 포함하는 게이트층) 사이의 구성 성분의 확산 및/또는 반응을 방지하거나 제거하는 재료를 포함할 수 있다. 일부 실시예에서, 캡핑층은 티타늄 질화물(TiN), 탄탈럼 질화물(TaN), 텅스텐 질화물(W2N), 티타늄 실리콘 질화물(TiSiN), 탄탈럼 실리콘 질화물(TaSiN), 또는 이의 조합과 같은 금속 및 질소를 포함한다. 일함수층은, n형 일함수 재료 및/또는 p형 일함수 재료와 같이, 원하는 일함수(예를 들어, n형 일함수 또는 p형 일함수)를 갖도록 튜닝된 도전성 재료를 포함할 수 있다. P형 일함수 재료는 TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, 그 밖의 p형 일함수 재료 또는 이의 조합을 포함한다. N형 일함수 재료는 Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, 그 밖의 n형 일함수 재료 또는 이의 조합을 포함한다. 글루/배리어층은 일함수층 및 금속 충전층과 같은 인접한 층 사이의 접착을 촉진하는 재료, 및/또는 일함수층 및 금속 충전층과 같은 게이트층 사이의 확산을 차단 및/또는 감소시키는 재료를 포함할 수 있다. 예를 들어, 글루/배리어층은 (예를 들어, W, Al, Ta, Ti, Ni, Cu, Co, 그 밖의 적절한 금속 또는 이의 조합과 같은) 금속, 금속 산화물, (예를 들어, TiN과 같은) 금속 질화물, 또는 이의 조합을 포함한다. 금속 충전층은 Al, W 및/또는 Cu와 같은 적절한 도전성 재료를 포함할 수 있다. 하드 마스크층(136)은 게이트 전극(134) 및 게이트 전극(132) 위에 배치되고, 실리콘, 질소 및/또는 탄소와 같은 임의의 적절한 재료(예를 들어, 실리콘 질화물 또는 실리콘 탄화물)를 포함한다.The gate electrode 134 is disposed over the gate dielectric 132. The gate electrode 134 includes an electrically conductive material. In some embodiments, the gate electrode 134 includes multiple layers, such as one or more capping layers, work function layers, glue/barrier layers, and/or metal filling (or bulk) layers. The capping layer may include a material that prevents or removes diffusion and/or reaction of constituents between the gate dielectric 132 and another layer of the gate structure 130 (especially, a gate layer including a metal). In some embodiments, the capping layer is a metal such as titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (W 2 N), titanium silicon nitride (TiSiN), tantalum silicon nitride (TaSiN), or combinations thereof. And nitrogen. The work function layer may include a conductive material tuned to have a desired work function (eg, n-type work function or p-type work function), such as an n-type work function material and/or a p-type work function material. . P-type work function materials include TiN, TaN, Ru, Mo, Al, WN, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, other p-type work function materials, or combinations thereof. The N-type work function material includes Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, other n-type work function materials, or combinations thereof. The glue/barrier layer comprises a material that promotes adhesion between adjacent layers, such as a work function layer and a metal filling layer, and/or a material that blocks and/or reduces diffusion between gate layers, such as a work function layer and a metal filling layer. Can include. For example, the glue/barrier layer may be a metal (e.g., W, Al, Ta, Ti, Ni, Cu, Co, other suitable metals or combinations thereof), metal oxides, (e.g., TiN and Like) metal nitrides, or combinations thereof. The metal filling layer may comprise a suitable conductive material such as Al, W and/or Cu. The hard mask layer 136 is disposed over the gate electrode 134 and the gate electrode 132 and includes any suitable material (eg, silicon nitride or silicon carbide) such as silicon, nitrogen and/or carbon.

게이트 스페이서(138)는 임의의 적절한 공정에 의해 형성되며 유전체 재료를 포함한다. 유전체 재료는 실리콘, 산소, 탄소, 질소, 그 밖의 적절한 재료 또는 이의 조합(예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물 또는 실리콘 탄화물)을 포함할 수 있다. 예를 들어, 도시된 실시예에서, 실리콘 질화물층과 같은, 실리콘 및 질소를 포함하는 유전층이 기판(110) 위에 퇴적되고, 이후에 이방성 에칭되어 게이트 스페이서(138)을 형성할 수 있다. 일부 실시예에서, 게이트 스페이서(138)는 실리콘 질화물을 포함하는 제1 유전층 및 실리콘 산화물을 포함하는 제2 유전층과 같은 다층 구조물을 포함한다. 일부 실시예에서, 게이트 스페이서(138)는, 게이트 스택에 인접하여 형성되는, 밀봉 스페이서, 오프셋 스페이서, 희생 스페이서, 더미 스페이서 및/또는 메인 스페이서과 같은 하나 이상의 스페이서 세트를 포함한다. 이러한 실시예에서, 다양한 스페이서 세트는 상이한 에칭 특성을 가지는 재료를 포함할 수 있다. 예를 들어, 실리콘 및 산소를 포함하는 제1 유전층이 기판(110) 위에 퇴적되고, 이어서 이방성으로 에칭되어 게이트 스택에 인접한 제1 스페이서 세트를 형성할 수 있고, 실리콘 및 질소를 포함하는 제2 유전층이 기판(110) 위에 퇴적되고, 이어서 이방성으로 에칭되어 제1 스페이서 세트에 인접한 제2 스페이서 세트를 형성할 수 있다. 게이트 스페이서(138)를 형성하기 이전에 및/또는 이후에, 핀(120)의 소스/드레인(S/D) 영역에 저농도 소스 및 드레인(Lightly Doped Source and Drain, LDD) 피처부 및/또는 고농도 소스 및 드레인(Heavily Doped Source and Drain, HDD) 피처부가 형성되도록(둘 다 도 2a 내지 도 2g에 미도시), 주입, 확산 및/또는 어닐링 공정이 수행될 수 있다.The gate spacer 138 is formed by any suitable process and includes a dielectric material. The dielectric material may include silicon, oxygen, carbon, nitrogen, other suitable materials or combinations thereof (eg, silicon oxide, silicon nitride, silicon oxynitride or silicon carbide). For example, in the illustrated embodiment, a dielectric layer comprising silicon and nitrogen, such as a silicon nitride layer, may be deposited over the substrate 110 and then anisotropically etched to form the gate spacer 138. In some embodiments, the gate spacer 138 includes a multilayer structure, such as a first dielectric layer comprising silicon nitride and a second dielectric layer comprising silicon oxide. In some embodiments, the gate spacers 138 include one or more sets of spacers, such as sealing spacers, offset spacers, sacrificial spacers, dummy spacers, and/or main spacers, formed adjacent the gate stack. In such embodiments, the various sets of spacers may include materials with different etching properties. For example, a first dielectric layer comprising silicon and oxygen may be deposited over the substrate 110 and then anisotropically etched to form a first set of spacers adjacent to the gate stack, and a second dielectric layer comprising silicon and nitrogen It may be deposited on the substrate 110 and then anisotropically etched to form a second set of spacers adjacent to the first set of spacers. Before and/or after forming the gate spacer 138, a lightly doped source and drain (LDD) feature portion and/or a high concentration in the source/drain (S/D) region of the fin 120 In order to form the source and drain (Heavily Doped Source and Drain, HDD) feature portions (both not shown in FIGS. 2A to 2G), implantation, diffusion, and/or annealing processes may be performed.

웰 스트랩 셀(50)은 핀(120)의 소스/드레인 영역에 배치되는 소스 피처부 및 드레인 피처부(소스/드레인 피처부로 지칭된다)를 더 포함하며, 여기서 소스/드레인 피처부는 SRAM 셀(20A, 20B)의 n형 FinFET 및/또는 p형 FinFET의 소스/드레인 피처부와 동일하거나 유사하게 구성된다. 예를 들어, 반도체 재료는 핀(120) 위에서 에피택시 성장하여, n형 웰(112C, 112D) 위의 핀(120) 위에 (즉, SRAM 메모리 셀(20A, 20B)의 p형 FinFET을 포함하는 p형 FinFET 영역과 유사하게 구성된 웰 스트랩 셀(50)의 영역에) 에피택시 소스/드레인 피처부(140A)를 형성하고, p형 웰(114C) 위의 핀(120) 위에 (즉, SRAM 메모리 셀(20A, 20B)의 n형 FinFET을 포함하는 n형 FinFET 영역과 유사하게 구성된 웰 스트랩 셀(50)의 영역에) 에피택시 소스/드레인 피처부(140B)를 형성한다. 일부 실시예에서, 핀 리세스 공정(예를 들어, 에치 백 공정)이 핀(120)의 소스/드레인 영역에 수행되어, 에피택시 소스/드레인 피처부(140A, 140B)가 핀(120)의 최하부로부터 성장한다. 일부 실시예에서, 핀(120)의 소스/드레인 영역에 핀 리세스 공정이 수행되지 않아서, 에피택시 소스/드레인 피처부(140A, 140B)가 핀(120)의 상부 핀 활성 영역의 적어도 일부로부터 성장되어 이를 감싼다. 에피택시 소스/드레인 피처부(140A, 140B)가 하나 이상의 핀(120)에 걸쳐 위치하는 에피택시 소스/드레인 피처부와 병합되도록, 에피택시 소스/드레인 피처부(140A, 140B)는 (일부 실시예에서, 핀(120)에 실질적으로 수직인) y 방향을 따라 횡방향으로 연장(성장)할 수 있다. 일부 실시예에서, 에피택시 소스/드레인 피처부(140A) 및/또는 에피택시 소스/드레인 피처부(140B)는, (인접한 핀(120)으로부터 성장한 에피택시 재료 사이에 중단(또는 갭)을 포함하여) 부분적으로 병합된 부분 및/또는 (인접한 핀(120)으로부터 성장한 에피택시 재료 사이에 중단(또는 갭)을 포함하지 않고) 완전히 병합된 부분을 포함한다.The well strap cell 50 further includes a source feature portion and a drain feature portion (referred to as a source/drain feature portion) disposed in a source/drain region of the fin 120, wherein the source/drain feature portion is an SRAM cell 20A. , 20B) of the n-type FinFET and/or the source/drain feature of the p-type FinFET. For example, the semiconductor material is epitaxially grown on the fins 120 to include the p-type FinFETs on the fins 120 on the n-type wells 112C, 112D (i.e., SRAM memory cells 20A, 20B). An epitaxy source/drain feature portion 140A is formed in the region of the well strap cell 50 configured similarly to the p-type FinFET region, and on the fin 120 above the p-type well 114C (i.e., SRAM memory An epitaxy source/drain feature portion 140B is formed in a region of the well strap cell 50 configured similarly to the n-type FinFET region including the n-type FinFETs of the cells 20A and 20B. In some embodiments, a pin recess process (eg, an etch back process) is performed on the source/drain regions of the fin 120 so that the epitaxy source/drain feature portions 140A and 140B are It grows from the bottom. In some embodiments, the pin recess process is not performed in the source/drain regions of the fins 120, so that the epitaxy source/drain feature portions 140A and 140B are formed from at least a portion of the upper fin active region of the fin 120. It grows and wraps around it. The epitaxy source/drain feature portions 140A, 140B are (partially implemented) so that the epitaxy source/drain feature portions 140A, 140B are merged with the epitaxy source/drain feature portions positioned over one or more pins 120 In an example, it may extend (grow) laterally along the y-direction (substantially perpendicular to the fins 120 ). In some embodiments, the epitaxy source/drain feature portion 140A and/or the epitaxy source/drain feature portion 140B includes a break (or gap) between the epitaxy material grown from adjacent fins 120. Thus) a partially merged portion and/or a fully merged portion (without including a break (or gap) between epitaxy material grown from adjacent fins 120).

에피택시 공정은, (예를 들어, 기상 에피택시(Vapor-Phase Epitaxy, VPE), 초고진공 CVD(Ultra-High Vacuum CVD, UHV-CVD), LPCVD 및/또는 PECVD와 같은) CVD 퇴적 기술, 분자 빔 에피택시, 그 밖의 적절한 SEG 공정, 또는 이의 조합을 구현할 수 있다. 에피택시 공정은 핀(120)의 조성과 상호 작용하는 기체 및/또는 액체 전구체를 사용할 수 있다. 에피택시 소스/드레인 피처부(140A, 140B)는 n형 도펀트 및/또는 p형 도펀트로 도핑된다. 일부 실시예에서, n형 웰 스트랩(50B, 50C) 및 메모리 셀(20)의 p형 FinFET는 동일한, 도핑된 에피택시 소스/드레인 피처부를 가지며, p형 웰 스트랩(50A) 및 메모리 셀(20)의 n형 FinFET는 동일한, 도핑된 에피택시 소스/드레인 피처부를 가진다. 예를 들어, n형 웰 스트랩(50B, 50C)의 에피택시 소스/드레인 피처부(140A) 및 메모리 셀(20)의 p형 FinFET의 에피택시 소스/드레인 피처부는 실리콘 및/또는 게르마늄을 포함하는 에피택시층을 포함할 수 있으며, 여기서 실리콘 게르마늄 함유 에피택시층은 붕소, 탄소, 그 밖의 p형 도펀트 또는 이의 조합으로 도핑된다(예를 들어, Si:Ge:B 에피택시층 또는 Si:Ge:C 에피택시층을 형성한다). 본 예시의 취지에 따라, p형 웰 스트랩(50A)의 에피택시 소스/드레인 피처부(140B) 및 메모리 셀(20)의 n형 FinFET의 에피택시 소스/드레인 피처부는 실리콘 및/또는 탄소를 포함하는 에피택시층을 포함할 수 있으며, 여기서 실리콘 함유 에피택시층 또는 실리콘-탄소 함유 에피택시층은 인, 비소, 그 밖의 n형 도펀트 또는 이의 조합으로 도핑된다(예를 들어, Si:P 에피택시층, Si:C 에피택시층, Si:As 에피택시층, 또는 Si:C:P 에피택시층을 형성한다). 일부 실시예에서, n형 웰 스트랩(50B, 50C) 및 메모리 셀(20)의 p형 FinFET는 반대로 도핑된 에피택시 소스/드레인 피처부를 가지며, p형 웰 스트랩(50A) 및 메모리 셀(20)의 n형 FinFET는 반대로 도핑된 에피택시 소스/드레인 피처부를 가진다. 일부 실시예에서, 에피택시 소스/드레인 피처부(140A, 140B)는 채널 영역에서 원하는 인장 응력 및/또는 압축 응력을 달성하는 재료 및/또는 도펀트를 포함한다. 일부 실시예에서, 에피택시 공정의 소스 재료에 불순물을 추가함으로써, 에피택시 소스/드레인 피처부(140A, 140B)는 퇴적 중에 도핑된다. 일부 실시예에서, 에피택시 소스/드레인 피처부(140A, 140B)는 퇴적 공정에 후속하여 이온 주입 공정에 의해 도핑된다. 일부 실시예에서, 에피택시 소스/드레인 피처부(140A), 에피택시 소스/드레인 피처부(140B), 및/또는 HDD 영역 및/또는 LDD 영역과 같은 메모리(10)의 다른 소스/드레인 피처부에서 도펀트를 활성화시키도록 어닐링 공정이 수행된다.Epitaxy processes include CVD deposition techniques (such as, for example, Vapor-Phase Epitaxy (VPE), Ultra-High Vacuum CVD (UHV-CVD), LPCVD and/or PECVD), molecular Beam epitaxy, other suitable SEG processes, or combinations thereof can be implemented. The epitaxy process may use gaseous and/or liquid precursors that interact with the composition of fins 120. The epitaxy source/drain feature portions 140A and 140B are doped with an n-type dopant and/or a p-type dopant. In some embodiments, n-type well straps 50B, 50C and p-type FinFETs of memory cell 20 have the same, doped epitaxy source/drain features, and p-type well straps 50A and memory cell 20 ) N-type FinFETs have the same, doped epitaxy source/drain features. For example, the epitaxy source/drain features 140A of the n-type well straps 50B and 50C and the epitaxy source/drain features of the p-type FinFET of the memory cell 20 include silicon and/or germanium. An epitaxy layer may be included, wherein the silicon germanium-containing epitaxy layer is doped with boron, carbon, other p-type dopants, or combinations thereof (e.g., Si:Ge:B epitaxy layer or Si:Ge: C epitaxy layer is formed). For the purpose of this example, the epitaxy source/drain feature portion 140B of the p-type well strap 50A and the epitaxy source/drain feature portion of the n-type FinFET of the memory cell 20 include silicon and/or carbon. And a silicon-containing epitaxy layer or a silicon-carbon-containing epitaxy layer is doped with phosphorus, arsenic, other n-type dopants or combinations thereof (e.g., Si:P epitaxy Layer, Si:C epitaxy layer, Si:As epitaxy layer, or Si:C:P epitaxy layer). In some embodiments, the n-type well straps 50B, 50C and the p-type FinFET of the memory cell 20 have oppositely doped epitaxy source/drain features, and the p-type well strap 50A and the memory cell 20 The n-type FinFET of has oppositely doped epitaxy source/drain features. In some embodiments, epitaxy source/drain features 140A, 140B comprise a material and/or dopant that achieves a desired tensile and/or compressive stress in the channel region. In some embodiments, by adding impurities to the source material of the epitaxy process, the epitaxy source/drain features 140A and 140B are doped during deposition. In some embodiments, the epitaxy source/drain feature portions 140A and 140B are doped by an ion implantation process following the deposition process. In some embodiments, the epitaxy source/drain feature portion 140A, the epitaxy source/drain feature portion 140B, and/or other source/drain features of the memory 10, such as an HDD region and/or an LDD region. An annealing process is performed to activate the dopant at.

다층 상호 연결(Multilayer Interconnect, MLI) 피처부(150)가 기판(110) 위에 배치된다. MLI 피처부(150)는 다양한 장치(예를 들어, 메모리 셀(20)의 p형 FinFET, 메모리 셀(20)의 n형 FinFET, n형 웰 스트랩 영역(50A)의 n형 웰스트랩, p형 웰 스트랩 영역(50B)의 p형 웰스트랩, 트랜지스터, 저항기, 커패시터 및/또는 인덕터), 및/또는 구성 요소(예를 들어, 메모리 셀(20)의 p형 FinFET 및/또는 n형 FinFET의 게이트 구조물, 소스/드레인 피처부(예를 들어, 에피택시 소스/드레인 피처부(140A, 140B) 및/또는 메모리 셀(20)의 p형 FinFET 및/또는 n형 FinFET의 에피택시 소스/드레인 피처부), 및/또는 웰 스트랩(50)의 도핑된 웰(예를 들어, n형 웰(112C, 112D) 및/또는 p형 웰(114C)))를 전기적으로 결합하여, 다양한 장치 및/또는 구성 요소가 메모리(10)의 설계 요구 사항에 의해 지정된 바와 같이 작동할 수 있도록 한다. MLI 피처부(150)는 다양한 상호 연결 구조물을 형성하도록 구성되는 유전층과 전기적 도전층(예를 들어, 금속층)의 조합을 포함한다. 도전층은, 장치 레벨 컨택 및/또는 비아와 같은 수직 상호 연결 피처부 및/또는 도전성 라인과 같은 수평 상호 연결 피처부를 형성하도록 구성된다. 수직 상호 연결 피처부는 일반적으로 MLI 피처부(150)의 상이한 층(또는 상이한 평면)에서 수평 상호 연결 피처부를 연결한다. 작동 중에, 상호 연결 피처부는, 장치 및/또는 메모리(10)의 구성 요소 사이에서 신호를 라우팅하고 및/또는 신호(예를 들어, 클록 신호, 전압 신호 및/또는 접지 신호)를 장치 및/또는 메모리(10)의 구성 요소에 분배하도록 구성된다. 예를 들어, MLI 피처부(150)은 전원 또는 접지 전압을 p형 웰 스트랩(50A) 및/또는 n형 웰 스트랩(50B, 50C)으로 라우팅하도록 구성된 상호 연결 피처부를 포함한다. MLI 피처부(150)가 소정의 개수의 유전층 및 도전층으로 도시되어 있지만, 본 개시는 다소 더 많거나 적은 유전층 및/또는 도전층을 가지는 MLI 피처부(150)를 고려한다.A multilayer interconnect (MLI) feature portion 150 is disposed on the substrate 110. The MLI feature unit 150 includes various devices (e.g., p-type FinFET of the memory cell 20, n-type FinFET of the memory cell 20, n-type well strap of the n-type well strap region 50A, p-type P-type wellstrap, transistor, resistor, capacitor, and/or inductor) of well strap region 50B, and/or components (e.g., p-type FinFET of memory cell 20 and/or gate of n-type FinFET) Structure, source/drain features (e.g., epitaxy source/drain features 140A and 140B) and/or p-type FinFETs of memory cells 20 and/or epitaxy source/drain features of n-type FinFETs ), and/or the doped wells of the well strap 50 (e.g., n-type wells 112C, 112D and/or p-type well 114C)), and/or various devices and/or configurations It allows the element to operate as specified by the design requirements of the memory 10. The MLI feature portion 150 includes a combination of a dielectric layer and an electrically conductive layer (eg, a metal layer) configured to form various interconnect structures. The conductive layer is configured to form vertical interconnect features such as device level contacts and/or vias and/or horizontal interconnect features such as conductive lines. Vertical interconnect features generally connect horizontal interconnect features at different layers (or different planes) of MLI feature 150. During operation, the interconnection feature routes signals between the devices and/or components of the memory 10 and/or signals (e.g., clock signals, voltage signals and/or ground signals) to the device and/or It is configured to distribute to the components of the memory 10. For example, MLI feature 150 includes interconnect features configured to route power or ground voltage to p-type well strap 50A and/or n-type well strap 50B, 50C. Although MLI feature 150 is shown with a predetermined number of dielectric and conductive layers, the present disclosure contemplates MLI feature 150 having somewhat more or less dielectric and/or conductive layers.

MLI 피처부(150)는 기판(110) 위에(특히 에피택시 소스/드레인 피처부(140A, 140B), 게이트 구조물(130) 및 핀(120) 위에) 배치되는 층간 유전층(152)(ILD-0), 및 ILD층(152) 위에 배치되는 층간 유전층(154)(ILD-1)과 같은 하나 이상의 유전층을 포함한다. ILD층(152, 154)은, 예를 들어 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, TEOS 형성 산화물, PSG, BPSG, 저-k 유전체 재료, 그 밖의 적절한 유전체 재료, 또는 이의 조합을 포함하는 유전체 재료를 포함한다. 예시적인 저-k 유전체 재료는 FSG, 탄소 도핑된 실리콘 산화물, Black Diamond®(어플라이드 머티어리얼즈, 캘리포니아, 산타 클라라), 크 세로겔, 에어로겔, 비정질 불화 탄소, 파릴렌, BCB, SiLK®(다우 케미컬, 미드랜드, 미시간), 폴리이미드, 그 밖의 저-k 유전체 재료 또는 이의 조합을 포함하는 유전체 재료를 포함한다. 도시된 실시예에서, ILD층(152, 154)은 저-k 유전체 재료를 포함하는 유전층(일반적으로 저-k 유전층이라고 한다)이다. 일부 실시예에서, 저-k 유전체 재료는 일반적으로 3 미만의 유전 상수(k)를 가지는 재료를 말한다. ILD층(152, 154)은 다수의 유전체 재료를 가지는 다층 구조물을 포함할 수 있다. MLI 피처부(150)는, ILD층(152)과 ILD층(154) 사이에 배치되는 컨택 에칭 정지층(Contact Etching Stop Layer, CESL)과 같은, ILD층(152, 154) 사이에 배치되는 하나 이상의 CESL을 더 포함할 수 있다. 일부 실시예에서, CESL은 기판(110) 및/또는 격리 피처부(122)와 ILD층(154) 사이에 배치된다. CESL은, ILD층(152, 154)의 유전체 재료와 다른 유전체 재료와 같이, ILD층(152, 154)과 다른 재료를 포함한다. 예를 들어, ILD층(152, 154)이 저-k 유전체 재료를 포함하는 경우, CESL은 실리콘 질화물 또는 실리콘 산화질화물과 같은 실리콘 및 질소를 포함한다. ILD층(152, 154)은 CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, 도금, 그 밖의 적절한 방법 또는 이의 조합과 같은 퇴적 공정에 의해 기판(110) 위에 형성된다. 일부 실시예에서, ILD층(152, 154)은 유동성 CVD(Flowable CVD, FCVD) 공정에 의해 형성되며, FCVD 공정은 예를 들어 기판(110) 위에 유동성 재료(예를 들어, 액체 화합물)를 퇴적하는 단계, 및 열적 어닐링 및/또는 자외선 처리와 같은 적절한 기술에 의해 유동성 재료를 고체 재료로 변환시키는 단계를 포함한다. ILD층(152) 및/또는 CESL의 퇴적에 이어서, CMP 공정 및/또는 다른 평탄화 공정이 게이트 구조물(130)의 게이트 스택의 최상부 표면에 도달(노출)할 때까지 수행된다. ILD층(154)의 및/또는 CESL의 퇴적 이후에, CMP 공정 및/또는 다른 평탄화 공정이 수행될 수 있다.The MLI feature portion 150 is an interlayer dielectric layer 152 (ILD-0) disposed on the substrate 110 (especially on the epitaxy source/drain features 140A and 140B, the gate structure 130 and the fin 120). ), and one or more dielectric layers, such as an interlayer dielectric layer 154 (ILD-1) disposed over the ILD layer 152. ILD layers 152, 154 are dielectric materials including, for example, silicon oxide, silicon nitride, silicon oxynitride, TEOS forming oxide, PSG, BPSG, low-k dielectric material, other suitable dielectric material, or combinations thereof. Includes. Exemplary low-k dielectric materials include FSG, carbon-doped silicon oxide, Black Diamond® (Applied Materials, Santa Clara, CA), xerogel, airgel, amorphous fluorocarbon, parylene, BCB, SiLK® ( Dow Chemical, Midland, Michigan), polyimide, other low-k dielectric materials, or combinations thereof. In the illustrated embodiment, the ILD layers 152 and 154 are dielectric layers (generally referred to as low-k dielectric layers) comprising a low-k dielectric material. In some embodiments, a low-k dielectric material generally refers to a material having a dielectric constant (k) of less than 3. The ILD layers 152 and 154 may include a multilayer structure having a plurality of dielectric materials. The MLI feature unit 150 is one disposed between the ILD layers 152 and 154, such as a Contact Etching Stop Layer (CESL) disposed between the ILD layer 152 and the ILD layer 154. It may further include the above CESL. In some embodiments, the CESL is disposed between the substrate 110 and/or the isolation features 122 and the ILD layer 154. CESL includes a material different from the ILD layers 152 and 154, such as a dielectric material different from the dielectric material of the ILD layers 152 and 154. For example, when the ILD layers 152 and 154 comprise a low-k dielectric material, CESL comprises silicon and nitrogen such as silicon nitride or silicon oxynitride. The ILD layers 152 and 154 are formed on the substrate 110 by a deposition process such as CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, plating, other suitable methods or combinations thereof. . In some embodiments, the ILD layers 152 and 154 are formed by a flowable CVD (FCVD) process, and the FCVD process deposits a flowable material (e.g., a liquid compound) on the substrate 110, for example. And converting the flowable material into a solid material by suitable techniques such as thermal annealing and/or ultraviolet treatment. Following the deposition of the ILD layer 152 and/or CESL, a CMP process and/or other planarization process is performed until reaching (exposing) the top surface of the gate stack of the gate structure 130. After deposition of the ILD layer 154 and/or CESL, a CMP process and/or other planarization process may be performed.

도 2a 내지 2g에서, 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B)), 비아 및/또는 도전성 라인(총괄하여 MLI 피처부(150)의 금속 하나(M1)층으로 지칭된다)이 ILD층(152, 154) 중 하나 이상에 배치되어 상호 연결 구조물을 형성한다. 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B)), 비아 및/또는 도전성 라인은 Ta, Ti, Al, Cu, Co, W, TiN, TaN, 그 밖의 적절한 도전성 재료, 또는 이의 조합과 같은 임의의 적절한 전기적 도전성 재료를 포함한다. 배리어층, 접착층, 라이너층, 벌크층, 그 밖의 적절한 층 또는 이의 조합과 같은 다양한 층과의 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B)), 비아 및/또는 도전성 라인을 제공하도록, 다양한 도전성 재료가 결합된다. 일부 실시예에서, 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B))은 Ti, TiN 및/또는 Co를 포함하고, 비아는 Ti, TiN 및/또는 W를 포함하고, 도전성 라인은 Cu, Co 및/또는 Ru를 포함한다. ILD층(152, 154)을 패터닝하여, 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B)), 비아 및/또는 도전성 라인이 형성된다. ILD층(152, 154)을 패터닝하는 단계는, 각각의 ILD층(152, 154)에 컨택 개구부, 비아 개구부 및/또는 라인 개구부와 같은 개구부(트렌치)를 형성하는 리소그래피 공정 및/또는 에칭 공정을 포함할 수 있다. 일부 실시예에서, 리소그래피 공정은, 각각의 ILD층(152, 154) 위에 레지스트층을 형성하는 단계, 레지스트층을 패터닝된 방사선에 노광시키는 단계, 노광된 레지스트층을 현상하여, 각각의 ILD층(152-154)에서 개구부(들)를 에칭하기 위한 마스킹 엘리먼트로서 사용될 수 있는 패터닝된 레지스트층을 형성하는 단계를 포함한다. 에칭 공정은 건식 에칭 공정, 습식 에칭 공정, 그 밖의 에칭 공정 또는 이의 조합을 포함한다. 다음으로, 개구부(들)는 하나 이상의 도전성 재료로 채워진다. 도전성 재료(들)는 PVD, CVD, ALD, 전기 도금, 무전해 도금, 그 밖의 적절한 퇴적 공정 또는 이의 조합에 의해 퇴적될 수 있다. 다음으로, 임의의 과잉 도전성 재료(들)가 CMP 공정과 같은 평탄화 공정에 의해 제거될 수 있으며, 이에 의해 ILD층(152, 154), 장치 레벨 컨택(예를 들어, n형 웰 컨택(160A) 및 p형 웰 컨택(160B)), 비아 및/또는 도전성 라인의 최상부 표면을 평탄화시킬 수 있다.2A to 2G, device-level contacts (e.g., n-type well contact 160A and p-type well contact 160B), vias and/or conductive lines (collectively, one metal of the MLI feature 150 ( M1) layer) is disposed on one or more of the ILD layers 152 and 154 to form an interconnect structure. Device-level contacts (e.g., n-type well contact 160A and p-type well contact 160B), vias and/or conductive lines are Ta, Ti, Al, Cu, Co, W, TiN, TaN, etc. Any suitable electrically conductive material, such as a suitable conductive material, or a combination thereof. Device-level contacts (e.g., n-type well contacts 160A and p-type well contacts 160B) with various layers such as barrier layers, adhesive layers, liner layers, bulk layers, other suitable layers or combinations thereof, vias. And/or various conductive materials are combined to provide a conductive line. In some embodiments, device level contacts (e.g., n-type well contact 160A and p-type well contact 160B) include Ti, TiN, and/or Co, and the vias are Ti, TiN, and/or W And the conductive line includes Cu, Co and/or Ru. The ILD layers 152 and 154 are patterned to form device-level contacts (eg, n-type well contacts 160A and p-type well contacts 160B), vias, and/or conductive lines. Patterning the ILD layers 152 and 154 includes a lithographic process and/or an etching process for forming openings (trenches) such as contact openings, via openings and/or line openings in each of the ILD layers 152 and 154. Can include. In some embodiments, the lithography process includes forming a resist layer over each of the ILD layers 152 and 154, exposing the resist layer to patterned radiation, developing the exposed resist layer, and performing the respective ILD layers ( 152-154), forming a patterned resist layer that can be used as a masking element for etching the opening(s). The etching process includes a dry etching process, a wet etching process, another etching process, or a combination thereof. Next, the opening(s) are filled with one or more conductive materials. The conductive material(s) may be deposited by PVD, CVD, ALD, electroplating, electroless plating, any other suitable deposition process, or a combination thereof. Next, any excess conductive material(s) can be removed by a planarization process such as a CMP process, whereby the ILD layers 152, 154, device level contacts (e.g., n-type well contacts 160A). And the p-type well contact 160B), the via, and/or the top surface of the conductive line may be planarized.

N형 웰 컨택(160A)(n형 웰 픽업 영역이라고도 한다)이 각각의 n형 웰(112C, 112D) 위에 배치되어 n형 웰 컨택(160A)이 n형 웰(112C, 112D)을 전원 전압(VDD)과 같은 전원 전압에 전기적으로 연결하고, p형 웰 컨택(160B)(p형 웰 픽업 영역이라고도 한다)이 p형 웰(114C) 위에 배치되어 p형 웰 컨택(160B)이 p형 웰(114C)을 전원 전압(VSS)과 같은 전원 전압에 전기적으로 연결한다. N형 웰 컨택(160A) 및 p형 웰 컨택(160B)은 ILD층(152), ILD층(154) 및 격리 피처부(122)를 통해 연장되지만, 본 개시는 n형 웰 컨택(160A) 및/또는 p형 웰 컨택(160B)이 MLI 피처부(150)의 다소 더 많거나 적은 ILD층 및/또는 CESL를 통해 연장되는 실시예를 고려한다. 일부 실시예에서, 하나 이상의 n형 웰 컨택(160A) 및/또는 p형 웰 컨택(160B)은 n형 웰(112C, 112D) 및/또는 p형 웰(114A)을 비아와 같은 MLI 피처부(150)의 다른 전기 도전성 피처부에 전기적으로 연결하지 않는다. 이러한 실시예에서, 하나 이상의 n형 웰 컨택(160A) 및/또는 p형 웰 컨택(160B)은 더미 컨택이고, 이는 비(非)더미 컨택과 유사한 물리적 특성을 가져서 실질적으로 균일한 처리 환경을 가능하게 한다. An n-type well contact 160A (also referred to as an n-type well pickup area) is disposed on each of the n-type wells 112C and 112D, so that the n-type well contact 160A supplies the n-type wells 112C and 112D to the power supply voltage ( V DD ) is electrically connected to the same power supply voltage, and a p-type well contact 160B (also referred to as a p-type well pickup area) is disposed on the p-type well 114C, so that the p-type well contact 160B is a p-type well. Electrically connect (114C) to a power supply voltage equal to the power supply voltage (V SS ). The n-type well contact 160A and the p-type well contact 160B extend through the ILD layer 152, the ILD layer 154, and the isolation feature portion 122, but the present disclosure relates to the n-type well contact 160A and Consider an embodiment in which the p-type well contact 160B extends through somewhat more or less ILD layers and/or CESL of the MLI feature portion 150. In some embodiments, one or more n-type well contacts 160A and/or p-type well contacts 160B connect the n-type wells 112C and 112D and/or p-type well 114A to MLI features, such as vias ( 150) are not electrically connected to other electrically conductive features. In this embodiment, one or more n-type well contacts 160A and/or p-type well contacts 160B are dummy contacts, which have similar physical properties to non-dummy contacts, thereby enabling a substantially uniform processing environment. Let's do it.

도시된 실시예에서, p형 웰 컨택(160B)이 p형 웰 스트랩(50A)에 배치되고, n형 웰 스트랩(50B, 50C)에는 p형 웰 컨택(160B)이 없다. P형 웰 스트랩(50A)에는 n형 웰이 없기 때문에, 일반적으로 n형 웰 스트랩(50B, 50C)과 유사한 도핑 구성을 가져서 n형 웰에 의해 분리된 두 개의 p형 웰 위에 p형 웰 컨택이 위치하는 종래의 p형 웰 스트랩과 비교하여, p형 웰 컨택(160B)(p형 웰 픽업 영역)은 감소된 웰 픽업 저항을 나타낸다. 도시된 실시예의 취지에 따라, p형 웰 스트랩(50A)은 n형 웰 스트랩(50B, 50C)보다 많은 컨택을 가진다. 예를 들어, p형 웰 스트랩 영역(50A)은 아홉 개의 p형 웰 컨택(160B)을 포함하는 반면, n형 웰 스트랩(50B, 50C)은 각각 세 개의 n형 웰 컨택(160A)을 포함한다. 본 개시는 n형 웰 컨택(160A) 및/또는 p형 웰 컨택(160B)의 임의의 구성을 고려한다. 예를 들어, 도 3은, 본 개시의 다양한 양태에 따른, 도 1의 메모리(10)에 구현되는 웰 스트랩 셀(50)과 같은 웰 스트랩 셀의 다른 실시예의 일부 또는 전부를 도시하는 간략화된 개략 평면도이다. 도 3에서, n형 웰 컨택(160A)이 n형 웰 스트랩(50B)과 같은 하나의 n형 웰 스트랩에 배치된다. 이러한 실시예에서, n형 웰 스트랩(50C)에는 n형 웰 컨택(160A)이 없다.In the illustrated embodiment, the p-type well contact 160B is disposed on the p-type well strap 50A, and there is no p-type well contact 160B in the n-type well straps 50B and 50C. Since the p-type well strap 50A does not have an n-type well, it generally has a doping configuration similar to that of the n-type well straps 50B and 50C, so that a p-type well contact is placed on the two p-type wells separated by the n-type well. Compared to the conventional p-type well strap in place, the p-type well contact 160B (p-type well pickup area) exhibits a reduced well pickup resistance. According to the spirit of the illustrated embodiment, the p-type well strap 50A has more contacts than the n-type well straps 50B and 50C. For example, the p-type well strap region 50A includes nine p-type well contacts 160B, while the n-type well straps 50B and 50C each include three n-type well contacts 160A. . The present disclosure contemplates any configuration of n-type well contact 160A and/or p-type well contact 160B. For example, FIG. 3 is a simplified schematic showing some or all of another embodiment of a well strap cell, such as a well strap cell 50 implemented in the memory 10 of FIG. 1, in accordance with various aspects of the present disclosure. It is a top view. In Fig. 3, an n-type well contact 160A is disposed on one n-type well strap, such as an n-type well strap 50B. In this embodiment, there is no n-type well contact 160A in the n-type well strap 50C.

도 4는, 본 개시의 다양한 양태에 따른, 웰 스트랩 열(column)(40)의 일부(300)의 부분 평면도이다. 도 4에서, 세 개의 웰 스트랩 셀(50)이 메모리 셀(20)의 열(예를 들어, 메모리 어레이(12A)의 열 및 메모리 어레이(12B)의 열) 사이의 열에 배열된다. 웰 스트랩 열(40)은, 웰 스트랩 셀(50) 및 SRAM 셀(20)의 결합된 n형 웰(예를 들어, 도 2a 내지 2g를 참조하여 전술한 바와 같은 n형 웰(112A, 112B))을 나타내는 n형 웰(312) 및 웰 스트랩 셀(50) 및 SRAM 셀(20)의 결합된 p형 웰(예를 들어, 도 2a 내지 2g를 참조하여 전술한 바와 같은 p형 웰(114A 내지 114C))을 나타내는 p형 웰(314)을 포함한다. 도 4에서, n형 웰(312)은 메모리 셀(20)에서 n형 웰 스트랩(50B, 50C)으로 연장되지만, p형 웰 스트랩(50A)으로는 연장되지 않고, p형 웰은 메모리 셀(20)에서 n형 웰 스트랩(50B, 50C) 및 p형 웰 스트랩(50A)으로 연장된다. P형 웰(314)이 웰 스트랩 셀(50)에서 I자형이기 때문에, 웰 스트랩 열(40)은 웰 스트랩 열(40)의 전체 길이를 따라(여기서, y 방향을 따라) n형 웰이 없는 중간부를 포함한다. 도 4는 본 개시의 발명의 개념을 더 잘 이해하도록 명확성을 위해 간략화되었다. 웰 스트랩 열(40)의 일부(300)에 추가적인 피처부가 추가될 수 있고, 아래에 설명된 피처부 중 일부는 웰 스트랩 열(40)의 일부(300)의 다른 실시예에서 대체, 수정 또는 제거될 수 있다.4 is a partial plan view of a portion 300 of a well strap column 40, in accordance with various aspects of the present disclosure. In FIG. 4, three well strap cells 50 are arranged in a row between a row of memory cells 20 (eg, a row of memory array 12A and a row of memory array 12B). The well strap column 40 is a combined n-type well of the well strap cell 50 and the SRAM cell 20 (eg, n-type wells 112A and 112B as described above with reference to FIGS. 2A to 2G). ) Of the n-type well 312 and the well strap cell 50 and the combined p-type well of the SRAM cell 20 (for example, p-type wells 114A to 114A as described above with reference to FIGS. 114C)) and a p-type well 314. In FIG. 4, the n-type well 312 extends from the memory cell 20 to the n-type well straps 50B and 50C, but does not extend to the p-type well strap 50A, and the p-type well is a memory cell ( 20) extends to n-type well straps 50B and 50C and p-type well straps 50A. Since the P-type well 314 is I-shaped in the well strap cell 50, the well strap column 40 is along the entire length of the well strap column 40 (here, along the y direction) without n-type wells. Includes the middle part. 4 has been simplified for clarity to better understand the inventive concept of the present disclosure. Additional features may be added to the portion 300 of the well strap row 40, and some of the feature portions described below may be replaced, modified or removed in other embodiments of the portion 300 of the well strap row 40. Can be.

도 5는, 본 개시의 다양한 양태에 따라, SRAM의 메모리 셀에서 구현될 수 있는 단일 포트 SRAM 셀(400)의 회로도이다. 예를 들어, 단일 포트 SRAM 셀(400)은 메모리(10)의 하나 이상의 메모리 셀(20)(도 1)에서 구현된다. 단일 포트 SRAM 셀(400)은, 패스 게이트 트랜지스터(PG-1), 패스 게이트 트랜지스터(PG-2), 풀업 트랜지스터(PU-1), 풀업 트랜지스터(PU-2), 풀다운 트랜지스터(PD-1), 및 풀다운 트랜지스터(PD-2)의 여섯 개의 트랜지스터를 포함한다. 따라서, 단일 포트 SRAM 셀(400)은 대안적으로 6T SRAM 셀로도 지칭된다. 작동 시에, 패스 게이트 트랜지스터(PG-1) 및 패스 게이트 트랜지스터(PG-2)는, 교차 결합된 한 쌍의 인버터, 즉, 인버터(410) 및 인버터(420)를 포함하는 SRAM 셀(400)의 저장부에 대한 액세스를 제공한다. 인버터(410)는 풀업 트랜지스터(PU-1) 및 풀다운 트랜지스터(PD-1)를 포함하고, 인버터(420)는 풀업 트랜지스터(PU-2) 및 풀다운 트랜지스터(PD-2)를 포함한다. 도 5는 본 개시의 발명의 개념을 더 잘 이해하도록 명확성을 위해 간략화되었다. 추가적인 피처부가 단일 포트 SRAM 셀(400)에 추가될 수 있고, 후술하는 피처부 중 일부가 단일 포트 SRAM 셀(400)의 다른 실시예에서 대체, 수정 또는 제거될 수 있다.5 is a circuit diagram of a single port SRAM cell 400 that may be implemented in a memory cell of an SRAM, in accordance with various aspects of the present disclosure. For example, a single port SRAM cell 400 is implemented in one or more memory cells 20 (FIG. 1) of memory 10. The single port SRAM cell 400 is a pass gate transistor (PG-1), a pass gate transistor (PG-2), a pull-up transistor (PU-1), a pull-up transistor (PU-2), and a pull-down transistor (PD-1). , And six transistors of the pull-down transistor PD-2. Thus, the single port SRAM cell 400 is alternatively also referred to as a 6T SRAM cell. In operation, the pass gate transistor PG-1 and the pass gate transistor PG-2 are a cross-coupled pair of inverters, i.e., the SRAM cell 400 including the inverter 410 and the inverter 420 Provides access to its storage. The inverter 410 includes a pull-up transistor PU-1 and a pull-down transistor PD-1, and the inverter 420 includes a pull-up transistor PU-2 and a pull-down transistor PD-2. Figure 5 has been simplified for clarity to better understand the inventive concept of the present disclosure. Additional features may be added to the single port SRAM cell 400, and some of the features described below may be replaced, modified or removed in other embodiments of the single port SRAM cell 400.

일부 실시예에서, 풀업 트랜지스터(PU-1, PU-2)는 p형 FinFET으로 구성된다. 예를 들어, 풀업 트랜지스터(PU-1, PU-2)는 (하나 이상의 n형 핀을 포함하는) n형 핀 구조물의 채널 영역 위에 배치되는 게이트 구조물을 각각 포함하여, 게이트 구조물이 n형 핀 구조물의 p형 소스/드레인 영역(예를 들어, p형 에피택시 소스/드레인 피처부) 사이에 개재되도록 하고, 여기서 게이트 구조물 및 n형 핀 구조물은 n형 웰 영역 위에 배치되며, 풀다운 트랜지스터(PD-1, PD-2)는 (하나 이상의 p형 핀을 포함하는) p형 핀 구조물의 채널 영역 위에 배치되는 게이트 구조물을 각각 포함하여, 게이트 구조물이 p형 핀 구조물의 n형 소스/드레인 영역(예를 들어, n형 에피택시 소스/드레인 피처부) 사이에 개재되도록 하고, 여기서 게이트 구조물 및 p형 핀 구조물은 p형 웰 영역 위에 배치된다. 일부 실시예에서, 패스 게이트 트랜지스터(PG-1, PG-2)가 또한 n형 FinFET으로서 구성된다. 예를 들어, 패스 게이트 트랜지스터(PG-1, PG-2)는 (하나 이상의 p형 핀을 포함하는) p형 핀 구조물의 채널 영역 위에 배치되는 게이트 구조물을 각각 포함하여, 게이트 구조물이 p형 핀 구조물의 n형 소스/드레인 영역(예를 들어, n형 에피택시 소스/드레인 피처부) 사이에 개재되도록 하고, 여기서 게이트 구조물 및 p형 핀 구조물이 p형 웰 영역 위에 배치된다.In some embodiments, the pull-up transistors PU-1 and PU-2 are configured as p-type FinFETs. For example, the pull-up transistors PU-1 and PU-2 each include a gate structure disposed on a channel region of an n-type fin structure (including one or more n-type fins), and the gate structure is an n-type fin structure Between the p-type source/drain regions (e.g., p-type epitaxy source/drain features), wherein the gate structure and the n-type fin structure are disposed over the n-type well region, and the pull-down transistor (PD- 1, PD-2) each includes a gate structure disposed on a channel region of a p-type fin structure (including one or more p-type fins), and the gate structure is an n-type source/drain region of the p-type fin structure (e.g. For example, the n-type epitaxy source/drain feature portion) is interposed between the gate structure and the p-type fin structure over the p-type well region. In some embodiments, pass gate transistors PG-1 and PG-2 are also configured as n-type FinFETs. For example, the pass gate transistors PG-1 and PG-2 each include a gate structure disposed on a channel region of a p-type fin structure (including one or more p-type fins), and the gate structure is a p-type fin. Interposed between the n-type source/drain regions of the structure (eg, n-type epitaxy source/drain features), wherein the gate structure and the p-type fin structure are disposed over the p-type well region.

풀업 트랜지스터(PU-1)의 게이트는 제1 공통 드레인(CD1)과 (전원 전압(VDD)과 전기적으로 결합되는) 소스 사이에 개재되고, 풀다운 트랜지스터(PD-1)의 게이트는 제1 공통 드레인과 (전원 전압(VSS)과 전기적으로 결합되는) 소스 사이에 개재된다. 풀업 트랜지스터(PU-2)의 게이트는 제2 공통 드레인(CD2)과 (전원 전압(VDD)과 전기적으로 결합되는) 소스 사이에 개재되고, 풀다운 트랜지스터(PD-2)의 게이트는 제2 공통 드레인과 (전원 전압(VSS)과 전기적으로 결합되는) 소스 사이에 개재된다. 일부 실시예에서, 제1 공통 드레인(CD1)은 실제 형태로 데이터를 저장하는 스토리지 노드(SN)이고, 제2 공통 드레인(CD2)은 상보적인 형태로 데이터를 저장하는 스토리지 노드(SNB)이다. 풀업 트랜지스터(PU-1)의 게이트 및 풀다운 트랜지스터(PD-1)의 게이트는 제2 공통 드레인과 결합되고, 풀업 트랜지스터(PU-2)의 게이트 및 풀다운 트랜지스터(PD-2)의 게이트는 제1 공통 드레인과 결합된다. 패스 게이트 트랜지스터(PG-1)의 게이트는 드레인과 (비트 라인(BL)과 전기적으로 결합되는) 소스 사이에 개재되며, 이는 제1 공통 드레인과 전기적으로 결합된다. 패스 게이트 트랜지스터(PG-2)의 게이트는 드레인과 (상보적 비트 라인(BLB)과 전기적으로 결합되는) 소스 사이에 개재되며, 이는 제2 공통 드레인과 전기적으로 결합된다. 패스 게이트 트랜지스터(PG-1, PG-2)의 게이트는 워드 라인(WL)과 전기적으로 결합된다. 일부 실시예에서, 패스 게이트 트랜지스터(PG-1, PG-2)는 읽기 동작 및/또는 쓰기 동작 중에 스토리지 노드(SN, SNB)에 대한 액세스를 제공한다. 예를 들어, 패스 게이트 트랜지스터(PG-1, PG-2)는, 워드라인(WL)에 의해 패스 게이트 트랜지스터(PG-1, PG-2)의 게이트에 인가된 전압에 응답하여, 스토리지 노드(SN, SNB)를 각각 비트 라인(BL, BLB)에 결합한다.The gate of the pull-up transistor PU-1 is interposed between the first common drain CD1 and the source (electrically coupled to the power supply voltage V DD ), and the gate of the pull-down transistor PD-1 is the first common. It is interposed between the drain and the source (electrically coupled to the power supply voltage V SS ). The gate of the pull-up transistor PU-2 is interposed between the second common drain CD2 and the source (electrically coupled to the power supply voltage V DD ), and the gate of the pull-down transistor PD-2 is the second common. It is interposed between the drain and the source (electrically coupled to the power supply voltage V SS ). In some embodiments, the first common drain CD1 is a storage node SN that stores data in an actual form, and the second common drain CD2 is a storage node SNB that stores data in a complementary form. The gate of the pull-up transistor PU-1 and the gate of the pull-down transistor PD-1 are coupled to the second common drain, and the gate of the pull-up transistor PU-2 and the gate of the pull-down transistor PD-2 are first It is combined with a common drain. The gate of the pass gate transistor PG-1 is interposed between the drain and the source (electrically coupled to the bit line BL), which is electrically coupled to the first common drain. The gate of the pass gate transistor PG-2 is interposed between the drain and the source (electrically coupled to the complementary bit line BLB), which is electrically coupled to the second common drain. Gates of the pass gate transistors PG-1 and PG-2 are electrically coupled to the word line WL. In some embodiments, pass gate transistors PG-1 and PG-2 provide access to storage nodes SN and SNB during a read operation and/or a write operation. For example, the pass gate transistors PG-1 and PG-2 respond to the voltage applied to the gates of the pass gate transistors PG-1 and PG-2 by the word line WL, and the storage node ( SN and SNB are coupled to bit lines BL and BLB, respectively.

도 6은, 본 개시의 다양한 양태에 따른, SRAM 어레이(500)의 부분 또는 전체의 부분 평면도이다. 일부 실시예에서, SRAM 어레이(500)는 SRAM 셀(20)의 일부와 같은 메모리(10)의 일부를 나타낸다. 도 6에서, SRAM 어레이(500)는, n형 웰(512A), n형 웰(512B), p형 웰(514A), p형 웰(514B), 및 p형 웰(514C)과 같은 다양한 도핑 영역이 배치되는 기판(510)을 포함한다. 기판(510), n형 웰(512A, 512B) 및 p형 웰(514A 내지 514C)은 각각 도 2a 내지 도 2g를 참조하여 전술한 기판(110), n형 웰(112A, 112B) 및 p형 웰(114A 내지 114C)과 유사하다. SRAM 어레이(500)는 n형 웰(512A, 512B) 및 p형 웰(514A 내지 514C) 위에 배치되는 다양한 피처부를 추가로 포함하며, 여기서 다양한 피처부는 원하는 기능을 달성하도록 구성된다. 예를 들어, SRAM 어레이(500)는, (도 2a 내지 2g를 참조하여 전술한 핀(120)과 유사한) 핀(520), (도 2a 내지 2g를 참조하여 전술한 격리 피처부(222)와 유사한) 격리 피처부(들), (도 2a 내지 2g를 참조하여 전술한 게이트 구조물(130)과 유사한) 게이트 구조물(530)(예를 들어, 도 2a 내지 2g를 참조하여 전술한 게이트 유전체(132), 게이트 전극(134), 하드 마스크(136), 및/또는 게이트 스페이서(138)와 유사한 게이트 유전체, 게이트 전극, 하드 마스크 및/또는 게이트 스페이서를 포함한다), (도 2a 내지 2g를 참조하여 전술한 에피택시 소스/드레인 피처부(140A, 140B)와 유사한) 에피택시 소스/드레인 피처부, (도 2a 내지 2g를 참조하여 전술한 MLI 피처부(150)와 유사한) MLI 피처부, (도 2a 내지 2g를 참조하여 전술한 ILD층(152, 154)과 유사한) ILD층, (도 2a 내지 도 2g를 참조하여 전술한 장치 레벨 컨택과 유사한) 장치 레벨 컨택, (도 2a 내지 도 2g를 참조하여 전술한 비아와 유사한) 비아, 및 (도 2a 내지 도 2g를 참조하여 전술한 도전성 라인과 유사한) 도전성 라인을 포함한다. 다양한 피처부는 SRAM 셀(560A), SRAM 셀(560B), SRAM 셀(560C), 및 SRAM 셀(560D)을 포함하는 SRAM 셀 영역을 형성하도록 구성된다. SRAM 셀(560A 내지 560D)은 메모리(10)의 SRAM 셀(20) 내에 구현될 수 있다. 일부 실시예에서, SRAM 셀(560B) 또는 SRAM 셀(560D)은 도 2의 웰 스트랩(50)에 인접한 SRAM 셀(20A)로서 구현될 수 있다. 일부 실시예에서, SRAM 셀(560A) 또는 SRAM 셀(560C)은 도 2의 웰 스트랩(50)에 인접한 SRAM 셀(20B)로서 구현될 수 있다. 도 6은 본 개시의 발명의 개념을 더 잘 이해하도록 명확성을 위해 간략화되었다. 추가적인 피처부가 SRAM 어레이(500)에 추가될 수 있고, 후술하는 피처부 중 일부가 SRAM 어레이(500)의 다른 실시예에서 대체, 수정 또는 제거될 수 있다.6 is a partial or full partial plan view of SRAM array 500, in accordance with various aspects of the present disclosure. In some embodiments, SRAM array 500 represents a portion of memory 10 such as a portion of SRAM cell 20. In FIG. 6, the SRAM array 500 is doped with various types such as n-type well 512A, n-type well 512B, p-type well 514A, p-type well 514B, and p-type well 514C. It includes a substrate 510 on which the region is disposed. The substrate 510, n-type wells 512A and 512B, and p-type wells 514A to 514C are respectively the substrate 110, n-type wells 112A and 112B, and p-type wells described above with reference to FIGS. 2A to 2G. Similar to wells 114A-114C. The SRAM array 500 further includes various feature portions disposed over n-type wells 512A, 512B and p-type wells 514A-514C, wherein the various features are configured to achieve a desired function. For example, the SRAM array 500 includes a pin 520 (similar to the pin 120 described above with reference to FIGS. 2A to 2G), the isolation feature portion 222 (described above with reference to FIGS. 2A to 2G), and Similar) isolation feature(s), a gate structure 530 (similar to the gate structure 130 described above with reference to FIGS. 2A-2G) (e.g., the gate dielectric 132 described above with reference to FIGS. 2A-2G). ), a gate electrode 134, a hard mask 136, and/or a gate dielectric similar to the gate spacer 138, including a gate electrode, a hard mask and/or a gate spacer), (see FIGS. 2A to 2G The epitaxy source/drain feature portion (similar to the epitaxy source/drain feature portions 140A, 140B) described above, the MLI feature portion (similar to the MLI feature portion 150 described above with reference to FIGS. 2A to 2G), (FIG. ILD layers (similar to the ILD layers 152, 154 described above with reference to 2A to 2G), device level contacts (similar to the device level contacts described above with reference to Figs. 2A to 2G), see Figs. 2A to 2G And a via, similar to the via described above, and a conductive line (similar to the conductive line described above with reference to FIGS. 2A-2G ). The various features are configured to form an SRAM cell region including SRAM cells 560A, SRAM cells 560B, SRAM cells 560C, and SRAM cells 560D. The SRAM cells 560A to 560D may be implemented in the SRAM cell 20 of the memory 10. In some embodiments, the SRAM cell 560B or the SRAM cell 560D may be implemented as the SRAM cell 20A adjacent to the well strap 50 of FIG. 2. In some embodiments, the SRAM cell 560A or the SRAM cell 560C may be implemented as an SRAM cell 20B adjacent to the well strap 50 of FIG. 2. 6 has been simplified for clarity to better understand the inventive concept of the present disclosure. Additional feature portions may be added to the SRAM array 500, and some of the feature portions described later may be replaced, modified, or removed in another embodiment of the SRAM array 500.

SRAM 셀(560A 내지 560D)은 단일 포트 SRAM, 이중 포트 SRAM, 그 밖의 유형의 SRAM 또는 이의 조합을 포함한다. 도시된 실시예에서, SRAM 셀(560A 내지 560D)은 단일 포트 SRAM을 포함한다. 예를 들어, 각각의 SRAM 셀(560A 내지 560D)은 패스 게이트 트랜지스터(PG-1), 패스 게이트 트랜지스터(PG-2), 풀업 트랜지스터(PU-1), 풀업 트랜지스터(PU-2), 풀다운 트랜지스터(PD-1), 및 풀다운 트랜지스터(PD-2)의 여섯 개의 트랜지스터를 포함한다. SRAM 셀(560A 내지 560D) 각각은 p형 웰 사이에 배치되는 n형 웰을 포함한다. 예를 들어, SRAM 셀(560A, 560B) 각각은 p형 웰(514A)과 p형 웰(514B) 사이에 배치되는 n형 웰(512A)을 포함하고, 여기서 풀업 트랜지스터(PU-1, PU-2)는 n형 웰(512A) 위에 배치되고, 패스 게이트 트랜지스터(PG-1, PG-2) 및 풀다운 트랜지스터(PD-1, PD-2)는 p형 웰(514A) 또는 p형 웰(514B) 위에 배치된다. SRAM 셀(560C, 560D) 각각은 p형 웰(514B)과 p형 웰(514C) 사이에 배치되는 n형 웰(512B)을 포함하고, 여기서 풀업 트랜지스터(PU-1, PU-2)는 n형 웰(512B) 위에 배치되고, 패스 게이트 트랜지스터(PG-1, PG-2) 및 풀다운 트랜지스터(PD-1, PD-2)는 p형 웰(514B) 또는 p형 웰(514C) 위에 배치된다. 풀업 트랜지스터(PU-1, PU-2)는 p형 FinFET이고, 패스 게이트 트랜지스터(PG-1, PG-2)는 n형 FinFET이고, 풀다운 트랜지스터(PD-1, PD-2)는 p형 트랜지스터이다. 일부 실시예에서, 풀업 트랜지스터(PU-1, PU-2)는 p형 FinFET으로 구성되는 반면, 패스 게이트 트랜지스터(PG-1, PG-2) 및 풀다운 트랜지스터(PD-1, PD-2)는 n형 FinFET으로 구성된다. 예를 들어, 패스 게이트 트랜지스터(PG-1, PG-2) 및/또는 풀다운 트랜지스터(PD-1, PD-2) 각각은, 각각의 p형 웰 위에 배치되는 (하나 이상의 핀(520)을 포함하는) 핀 구조물 및 핀 구조물의 채널 영역 위에 배치되는 각각의 게이트 구조물(530)을 포함하여, 각각의 게이트 구조물(530)이 핀 구조물의 소스/드레인 영역 사이에 개재되도록 한다. 패스 게이트 트랜지스터(PG-1, PG-2) 및/또는 풀다운 트랜지스터(PD-1, PD-2)의 핀 구조물은 p형 도펀트를 포함하고 p형 웰에 전기적으로 연결된다. 패스 게이트 트랜지스터(PG-1, PG-2) 및/또는 풀다운 트랜지스터(PD-1, PD-2)의 핀 구조물은 n형 에피택시 소스/드레인 피처부를 더 포함한다(즉, 패스 게이트 트랜지스터(PG-1, PG-2) 및/또는 풀다운 트랜지스터(PD-1, PD-2)의 에피택시 소스/드레인 피처부는 n형 도펀트를 포함한다). 패스 게이트 트랜지스터(PG-1, PG-2) 및/또는 풀다운 트랜지스터(PD-1, PD-2)의 게이트 구조물(530) 및/또는 에피택시 소스/드레인 피처부는, MLI 피처부(150)과 같은 MLI 피처부에 의해 전압 소스(예를 들어, VSS)에 전기적으로 연결된다. 본 예시의 취지에 따라, 각각의 풀업 트랜지스터(PU-1, PU-2)는 각각의 n형 웰 위에 배치되는 (하나 이상의 핀(520)을 포함하는) 핀 구조물 및 핀 구조물의 채널 영역 위에 배치되는 각각의 게이트 구조물(530)을 포함하여, 각각의 게이트 구조물(530)이 핀 구조물의 소스/드레인 영역 사이에 개재되도록 한다. 풀업 트랜지스터(PU-1, PU-2)의 핀 구조물은 n형 도펀트를 포함하고 n형 웰에 전기적으로 연결된다. 풀업 트랜지스터(PU-1, PU-2)의 핀 구조물은 p형 에피택시 소스/드레인 피처부를 더 포함한다(즉, 풀업 트랜지스터(PU-1, PU-2)의 에피택시 소스/드레인 피처부는 p형 도펀트를 포함한다). 풀업 트랜지스터(PU-1, PU-2)의 게이트 구조물(530) 및/또는 에피택시 소스/드레인 피처부는 MLI 피처부에 의해 전압 소스(예를 들어, VDD)에 전기적으로 연결된다. 본 예시에서, 풀업 트랜지스터(PU-1, PU-2), 패스 게이트 트랜지스터(PG-1, PG-2), 및 풀다운 트랜지스터(PD-1, PD-2)는 단일 핀 FinFET이지만(다시 말해서, 핀 구조물이 하나의 핀을 포함하지만), 본 개시는 하나 이상의 풀업 트랜지스터(PU-1, PU-2), 패스 게이트 트랜지스터(PG-1, PG-2) 및 풀다운 트랜지스터(PD-1, PD-2)가 다중 핀 FinFET인(다시 말해서, 핀 구조물이 다수의 핀을 포함하는) 구현도 고려한다.The SRAM cells 560A-560D include single port SRAM, dual port SRAM, other types of SRAM, or combinations thereof. In the illustrated embodiment, SRAM cells 560A-560D contain single port SRAM. For example, each of the SRAM cells 560A to 560D is a pass gate transistor (PG-1), a pass gate transistor (PG-2), a pull-up transistor (PU-1), a pull-up transistor (PU-2), and a pull-down transistor. (PD-1), and six transistors of the pull-down transistor PD-2. Each of the SRAM cells 560A to 560D includes an n-type well disposed between p-type wells. For example, each of the SRAM cells 560A and 560B includes an n-type well 512A disposed between a p-type well 514A and a p-type well 514B, wherein pull-up transistors PU-1 and PU- 2) is disposed on the n-type well 512A, and the pass gate transistors PG-1 and PG-2 and the pull-down transistors PD-1 and PD-2 are p-type well 514A or p-type well 514B. ) Is placed above. Each of the SRAM cells 560C and 560D includes an n-type well 512B disposed between a p-type well 514B and a p-type well 514C, wherein the pull-up transistors PU-1 and PU-2 are n The pass gate transistors PG-1 and PG-2 and the pull-down transistors PD-1 and PD-2 are disposed over the p-type well 512B, and are disposed over the p-type well 514B or the p-type well 514C. . Pull-up transistors (PU-1, PU-2) are p-type FinFETs, pass gate transistors (PG-1, PG-2) are n-type FinFETs, and pull-down transistors (PD-1, PD-2) are p-type transistors. to be. In some embodiments, the pull-up transistors PU-1 and PU-2 are configured as p-type FinFETs, while the pass gate transistors PG-1 and PG-2 and the pull-down transistors PD-1 and PD-2 are It is composed of n-type FinFET. For example, each of the pass gate transistors PG-1 and PG-2 and/or the pull-down transistors PD-1 and PD-2 includes one or more pins 520 disposed on each p-type well. Including a) fin structure and each gate structure 530 disposed on the channel region of the fin structure, each gate structure 530 is interposed between the source/drain regions of the fin structure. The fin structures of the pass gate transistors PG-1 and PG-2 and/or the pull-down transistors PD-1 and PD-2 include a p-type dopant and are electrically connected to the p-type well. The fin structures of the pass gate transistors PG-1 and PG-2 and/or the pull-down transistors PD-1 and PD-2 further include n-type epitaxy source/drain features (ie, pass gate transistors PG -1, PG-2) and/or the epitaxy source/drain feature portions of the pull-down transistors PD-1 and PD-2 include an n-type dopant). The gate structure 530 and/or the epitaxy source/drain feature portion of the pass gate transistors PG-1 and PG-2 and/or the pull-down transistors PD-1 and PD-2 may include the MLI feature portion 150 and the It is electrically connected to a voltage source (eg, V SS ) by the same MLI feature. For the purpose of this example, each of the pull-up transistors PU-1 and PU-2 is disposed on a fin structure (including one or more fins 520) disposed on each n-type well and a channel region of the fin structure. Each gate structure 530 is included so that each gate structure 530 is interposed between the source/drain regions of the fin structure. The fin structures of the pull-up transistors PU-1 and PU-2 include an n-type dopant and are electrically connected to the n-type well. The fin structures of the pull-up transistors PU-1 and PU-2 further include p-type epitaxy source/drain features (that is, the epitaxy source/drain features of the pull-up transistors PU-1 and PU-2 are p Type dopant). The gate structure 530 and/or the epitaxy source/drain feature portion of the pull-up transistors PU-1 and PU-2 are electrically connected to the voltage source (eg, V DD ) by the MLI feature portion. In this example, pull-up transistors PU-1 and PU-2, pass gate transistors PG-1 and PG-2, and pull-down transistors PD-1 and PD-2 are single-fin FinFETs (in other words, Although the fin structure includes one fin), the present disclosure includes one or more pull-up transistors PU-1 and PU-2, pass gate transistors PG-1 and PG-2, and pull-down transistors PD-1 and PD- Also consider an implementation in which 2) is a multi-fin FinFET (that is, the fin structure contains multiple fins).

본 개시는 많은 많은 다양한 실시예를 제공한다. 핀 기반 웰 스트랩 및 그 제조 방법이, SRAM 어레이와 같은 메모리 어레이의 성능을 향상시키기 위해 본 명세서에 개시되었다. 예시적인 집적 회로(Integrated Circuit, IC)는, 기판에 배치되는 제1 웰 영역, 제2 웰 영역 및 제3 웰 영역을 포함하는 제1 웰 도핑 구성을 가지는 메모리 셀을 포함한다. 제2 웰 영역은 제1 웰 영역과 제3 웰 영역 사이에 배치된다. 제1 웰 영역 및 제3 웰 영역은 제1형 도펀트로 도핑되고, 제2 웰 영역은 제2형 도펀트로 도핑된다. 집적 회로는 메모리 셀에 인접하여 배치되는 웰 스트랩 셀을 더 포함한다. 웰 스트랩 셀은 제1 웰 스트랩 영역, 제2 웰 스트랩 영역 및 제3 웰 스트랩 영역을 가지며, 제2 웰 스트랩 영역은 제1 웰 스트랩 영역과 제3 웰 스트랩 영역 사이에 배치된다. 제1 웰 스트랩 영역 및 제3 웰 스트랩 영역은 제1 웰 도핑 구성을 가진다. 제2 웰 스트랩 영역은 제1형 도펀트로 도핑된 제4 웰 영역을 포함하는 제2 도핑 구성을 가진다. 웰 스트랩 셀은 제4 웰 영역으로의 제1 웰 픽업 영역 및 제2 웰 영역으로의 제2 웰 픽업 영역을 포함한다. 일부 실시예에서, 제1 웰 영역, 제3 웰 영역 및 제4 웰 영역이 결합하여, 제1형 도펀트로 도핑된, 웰 스트랩 셀 내의 I자형 웰 영역을 형성한다. 일부 실시예에서, 제1형 도펀트는 p형 도펀트이고, 제2형 도펀트는 n형 도펀트이다.The present disclosure provides many many different embodiments. Pin-based well straps and methods of manufacturing the same are disclosed herein to improve the performance of memory arrays, such as SRAM arrays. An exemplary integrated circuit (IC) includes a memory cell having a first well doped configuration including a first well region, a second well region, and a third well region disposed on a substrate. The second well region is disposed between the first well region and the third well region. The first well region and the third well region are doped with a first type dopant, and the second well region is doped with a second type dopant. The integrated circuit further includes a well strap cell disposed adjacent to the memory cell. The well strap cell has a first well strap region, a second well strap region, and a third well strap region, and the second well strap region is disposed between the first well strap region and the third well strap region. The first well strap region and the third well strap region have a first well doping configuration. The second well strap region has a second doping configuration including a fourth well region doped with a first type dopant. The well strap cell includes a first well pick-up area to a fourth well area and a second well pick-up area to a second well area. In some embodiments, the first well region, the third well region, and the fourth well region are combined to form an I-shaped well region in the well strap cell, doped with a first type dopant. In some embodiments, the first type dopant is a p-type dopant and the second type dopant is an n-type dopant.

일부 실시예에서, 제1 웰 영역, 제2 웰 영역, 제3 웰 영역 및 제4 웰 영역은 게이트 길이 방향에 수직인 방향을 따라 연장된다. 일부 실시예에서, 제4 웰 영역은 웰 스트랩 셀의 폭과 실질적으로 동일한 폭을 가진다. 일부 실시예에서, 제2 웰 픽업 영역은 제1 웰 스트랩 영역 또는 제3 웰 스트랩 영역에서만 제2 웰 영역에 배치된다. 일부 실시예에서, 제1 웰 픽업 영역은 제1 전압에 연결되고, 제2 웰 픽업 영역은 제1 전압과 다른 제2 전압에 연결된다. 일부 실시예에서, 웰 스트랩 셀은, 더미 핀형 전계 효과 트랜지스터(Fin-like Field Effect Transistors, FinFET)로서 구성되는 핀, 게이트 구조물, 및 에피택시 소스/드레인 피처부를 포함한다.In some embodiments, the first well region, the second well region, the third well region, and the fourth well region extend along a direction perpendicular to the gate length direction. In some embodiments, the fourth well region has a width substantially equal to the width of the well strap cell. In some embodiments, the second well pickup area is disposed in the second well area only in the first well strap area or the third well strap area. In some embodiments, the first well pickup region is connected to a first voltage and the second well pickup region is connected to a second voltage different from the first voltage. In some embodiments, a well strap cell includes fins, gate structures, and epitaxy source/drain features configured as dummy fin-like field effect transistors (FinFETs).

예시적인 웰 스트랩 셀은 제1 메모리 셀과 제2 메모리 셀 사이에 배치된다. 웰 스트랩 셀은 기판에 배치되는 p형 웰, 제1 n형 웰, 및 제2 n형 웰을 포함한다. 웰 스트랩 셀의 중간부에 게이트 길이 방향을 따라 제1 n형 웰 및 제2 n형 웰이 없도록, p형 웰, 제1 n형 웰, 및 제2 n형 웰이 웰 스트랩 셀에 구성된다. 웰 스트랩 셀은 p형 웰로의 p형 웰 픽업 영역, 및 제1 n형 웰, 제2 n형 웰, 또는 둘 다로의 n형 웰 픽업 영역을 더 포함한다. 일부 실시예에서, p형 웰은 게이트 길이 방향을 따라 I자형 평면도를 가진다. 일부 실시예에서, 게이트 길이 방향을 따라 제1 n형 웰 및 제2 n형 웰이 없는, 웰 스트랩 셀의 중간부의 폭이 웰 스트랩 셀의 폭과 실질적으로 동일하다. 일부 실시예에서, 웰 스트랩 셀은 게이트 길이 방향에 수직인 방향을 따라 연장되는 핀을 포함하는 핀 기반 웰 스트랩 셀이다.An exemplary well strap cell is disposed between a first memory cell and a second memory cell. The well strap cell includes a p-type well, a first n-type well, and a second n-type well disposed on a substrate. A p-type well, a first n-type well, and a second n-type well are configured in the well strap cell such that there are no first n-type wells and second n-type wells along the gate length direction in the middle portion of the well strap cell. The well strap cell further includes a p-type well pickup region to the p-type well, and an n-type well pickup region to the first n-type well, the second n-type well, or both. In some embodiments, the p-type well has an I-shaped plan view along the gate length direction. In some embodiments, the width of the middle portion of the well strap cell without the first n-type well and the second n-type well along the gate length direction is substantially equal to the width of the well strap cell. In some embodiments, the well strap cell is a pin-based well strap cell comprising fins extending along a direction perpendicular to the gate length direction.

일부 실시예에서, 웰 스트랩 셀의 중간부는 웰 스트랩 셀의 제1 엣지부와 웰 스트랩 셀의 제2 엣지부 사이에 배치되며, 중간부는 p형 웰의 제1 서브 영역을 포함하고; 제1 엣지부는 게이트 길이 방향을 따라 p형 웰의 제2 서브 영역과 p형 웰의 제3 서브 영역 사이에 배치되는 제1 n형 웰을 포함하고, p형 웰의 제2 서브 영역 및 p형 웰의 제3 서브 영역은 p형 웰의 제1 서브 영역으로부터 연장되고; 제2 엣지부는 게이트 길이 방향을 따라 p형 웰의 제4 서브 영역과 p형 웰의 제5 서브 영역 사이에 배치되는 제2 n형 웰을 포함하고, p형 웰의 제4 서브 영역 및 p형 웰의 제5 서브 영역은 p형 웰의 제1 서브 영역으로부터 연장된다. 이러한 실시예에서, 중간부는 p형 웰 스트랩에 해당하고, 제1 엣지부는 제1 n형 웰 스트랩에 해당하고, 제2 엣지부는 제2 n형 웰 스트랩에 해당한다. p형 웰 스트랩은 제1 n형 웰 스트랩과 제2 n형 웰 스트랩 사이에 배치된다.In some embodiments, the middle portion of the well strap cell is disposed between the first edge portion of the well strap cell and the second edge portion of the well strap cell, and the middle portion includes a first sub-region of the p-type well; The first edge portion includes a first n-type well disposed between the second sub-region of the p-type well and the third sub-region of the p-type well along the gate length direction, and the second sub-region and the p-type of the p-type well The third sub-region of the well extends from the first sub-region of the p-type well; The second edge portion includes a second n-type well disposed between the fourth sub-region of the p-type well and the fifth sub-region of the p-type well along the gate length direction, and the fourth sub-region and the p-type of the p-type well The fifth sub-region of the well extends from the first sub-region of the p-type well. In this embodiment, the middle portion corresponds to the p-type well strap, the first edge portion corresponds to the first n-type well strap, and the second edge portion corresponds to the second n-type well strap. The p-type well strap is disposed between the first n-type well strap and the second n-type well strap.

일부 실시예에서, 제1 게이트 구조물이 웰 스트랩 셀의 중간부에 배치되어, 제1 게이트 구조물이 p형 웰 위에 배치되도록 하고; 제2 게이트 구조물이 웰 스트랩 셀의 제1 엣지부에 배치되어, 제2 게이트 구조물이 제1 n형 웰, p형 웰의 제2 서브 영역 및 p형 웰의 제3 서브 영역 위에 배치되도록 하고, 제3 게이트 구조물이 웰 스트랩 셀의 제2 엣지부에 배치되어, 제3 게이트 구조물제2 n형 웰, p형 웰의 제4 서브 영역 및 p형 웰의 제5 서브 영역 위에 배치되도록 한다. 일부 실시예에서, p형 웰 픽업 영역은, 게이트 길이 방향을 따라 제1 n형 웰 및 제2 n형 웰이 없는 웰 스트랩 셀의 중간부에 배치된다. 일부 실시예에서, p형 웰 픽업 영역 중 적어도 하나는 게이트 길이 방향에 수직인 방향을 따라 제1 n형 웰과 제2 n형 웰 사이에 배치된다.In some embodiments, a first gate structure is disposed in the middle of the well strap cell such that the first gate structure is disposed over the p-type well; A second gate structure is disposed on the first edge of the well strap cell so that the second gate structure is disposed on the first n-type well, the second sub-region of the p-type well, and the third sub-region of the p-type well, The third gate structure is disposed on the second edge of the well strap cell, so that the third gate structure is disposed on the second n-type well, the fourth sub-region of the p-type well, and the fifth sub-region of the p-type well. In some embodiments, the p-type well pickup region is disposed in the middle of the well strap cell without the first n-type well and the second n-type well along the gate length direction. In some embodiments, at least one of the p-type well pickup regions is disposed between the first n-type well and the second n-type well along a direction perpendicular to the gate length direction.

예시적인 메모리 어레이는 제1 메모리 셀 열 및 제2 메모리 셀 열을 포함한다. 제1 메모리 셀 열의 각각의 메모리 셀 및 제2 메모리 셀 열의 각각의 메모리 셀은 제1 웰 도핑 구성을 가진다. 메모리 어레이는 제1 메모리 셀 열과 제2 메모리 셀 열 사이에 배치되는 웰 스트랩 열을 더 포함한다. 웰 스트랩 열의 각각의 웰 스트랩 셀은 제1 n형 웰 스트랩과 제2 n형 웰 스트랩 사이에 배치되는 p형 웰 스트랩을 포함한다. 제1 n형 웰 스트랩 및 제2 n형 웰 스트랩은 제1 웰 도핑 구성을 가진다. p형 웰 스트랩은 제1 웰 도핑 구성과 다른 제2 웰 도핑 구성을 가진다. 일부 실시예에서, 제1 웰 도핑 구성은 n형 웰을 포함하고, 제2 웰 도핑 구성에는 n형 웰이 없다. 일부 실시예에서, p형 웰 스트랩은 제1 n형 웰 스트랩의 n형 웰과 제2 n형 웰 스트랩의 n형 웰 사이에 배치되는 p형 웰 픽업 영역을 포함한다.An exemplary memory array includes a first row of memory cells and a second row of memory cells. Each memory cell of the first memory cell column and each memory cell of the second memory cell column have a first well doping configuration. The memory array further includes a column of well straps disposed between the column of first and second memory cells. Each well strap cell of the well strap row includes a p-type well strap disposed between a first n-type well strap and a second n-type well strap. The first n-type well strap and the second n-type well strap have a first well doping configuration. The p-type well strap has a second well doping configuration different from the first well doping configuration. In some embodiments, the first well doped configuration comprises an n-type well and the second well doped configuration does not have an n-type well. In some embodiments, the p-type well strap includes a p-type well pick-up region disposed between the n-type well of the first n-type well strap and the n-type well of the second n-type well strap.

1) 본 개시의 실시형태에 따른 집적 회로는, 기판에 배치되는 제1 웰 영역, 제2 웰 영역 및 제3 웰 영역을 포함하는 제1 웰 도핑 구성을 가지는 메모리 셀 - 상기 제2 웰 영역은 상기 제1 웰 영역과 상기 제3 웰 영역 사이에 배치되고, 상기 제1 웰 영역 및 상기 제3 웰 영역은 제1형 도펀트로 도핑되고, 상기 제2 웰 영역은 제2형 도펀트로 도핑됨 - ; 및 상기 메모리 셀에 인접하여 배치되는 웰 스트랩 셀을 포함하며, 상기 웰 스트랩 셀은 제1 웰 스트랩 영역, 제2 웰 스트랩 영역 및 제3 웰 스트랩 영역을 가지며, 상기 제2 웰 스트랩 영역은 상기 제1 웰 스트랩 영역과 상기 제3 웰 스트랩 영역 사이에 배치되고, 상기 제1 웰 스트랩 영역 및 상기 제3 웰 스트랩 영역은 상기 제1 웰 도핑 구성을 가지고, 상기 제2 웰 스트랩 영역은 상기 제1형 도펀트로 도핑된 제4 웰 영역을 포함하는 제2 도핑 구성을 가지며, 상기 웰 스트랩 셀은 상기 제4 웰 영역으로의 제1 웰 픽업 영역 및 상기 제2 웰 영역으로의 제2 웰 픽업 영역을 포함한다.1) In an integrated circuit according to an embodiment of the present disclosure, a memory cell having a first well doping configuration including a first well region, a second well region, and a third well region disposed on a substrate-the second well region It is disposed between the first well region and the third well region, the first well region and the third well region are doped with a first type dopant, and the second well region is doped with a second type dopant- ; And a well strap cell disposed adjacent to the memory cell, wherein the well strap cell has a first well strap area, a second well strap area, and a third well strap area, and the second well strap area It is disposed between the first well strap region and the third well strap region, the first well strap region and the third well strap region have the first well doping configuration, and the second well strap region is the first type Has a second doping configuration including a fourth well region doped with a dopant, wherein the well strap cell includes a first well pickup region to the fourth well region and a second well pickup region to the second well region do.

2) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제1 웰 영역, 상기 제3 웰 영역 및 상기 제4 웰 영역이 결합하여, 상기 제1형 도펀트로 도핑된, 상기 웰 스트랩 셀 내의 I자형 웰 영역을 형성한다.2) In the integrated circuit according to the embodiment of the present disclosure, the first well region, the third well region, and the fourth well region are combined and doped with the first type dopant, and I in the well strap cell A shaped well region is formed.

3) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제1형 도펀트는 p형 도펀트이고, 상기 제2형 도펀트는 n형 도펀트이다.3) In the integrated circuit according to the embodiment of the present disclosure, the first type dopant is a p-type dopant, and the second type dopant is an n-type dopant.

4) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제1 웰 영역, 상기 제2 웰 영역, 상기 제3 웰 영역 및 상기 제4 웰 영역은 게이트 길이 방향에 수직인 방향을 따라 연장된다.4) In the integrated circuit according to the embodiment of the present disclosure, the first well region, the second well region, the third well region, and the fourth well region extend along a direction perpendicular to a gate length direction.

5) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제4 웰 영역은 상기 웰 스트랩 셀의 폭과 실질적으로 동일한 폭을 갖는다.5) In the integrated circuit according to the embodiment of the present disclosure, the fourth well region has a width substantially equal to the width of the well strap cell.

6) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제2 웰 픽업 영역은 상기 제1 웰 스트랩 영역 또는 상기 제3 웰 스트랩 영역에서만 상기 제2 웰 영역에 배치된다.6) In the integrated circuit according to the embodiment of the present disclosure, the second well pick-up area is disposed in the second well area only in the first well strap area or the third well strap area.

7) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 제1 웰 픽업 영역은 제1 전압에 연결되고, 상기 제2 웰 픽업 영역은 상기 제1 전압과 다른 제2 전압에 연결된다.7) In the integrated circuit according to the embodiment of the present disclosure, the first well pickup region is connected to a first voltage, and the second well pickup region is connected to a second voltage different from the first voltage.

8) 본 개시의 실시형태에 따른 집적 회로에 있어서, 상기 웰 스트랩 셀은, 더미 핀형 전계 효과 트랜지스터(Fin-like Field Effect Transistor, FinFET)로서 구성되는 핀, 게이트 구조물, 및 에피택시 소스/드레인 피처부를 포함한다.8) In the integrated circuit according to the embodiment of the present disclosure, the well strap cell includes a fin configured as a dummy fin-like field effect transistor (FinFET), a gate structure, and an epitaxy source/drain feature. Includes wealth.

9) 본 개시의 다른 실시형태에 따른 메모리는, 제1 메모리 셀과 제2 메모리 셀 사이에 배치되는 웰 스트랩 셀을 포함하며, 상기 웰 스트랩 셀은, 기판에 배치되는 p형 웰, 제1 n형 웰, 및 제2 n형 웰 - 상기 p형 웰, 제1 n형 웰, 및 제2 n형 웰은, 상기 웰 스트랩 셀의 중간부에 게이트 길이 방향을 따라 상기 제1 n형 웰 및 상기 제2 n형 웰이 없도록, 상기 웰 스트랩 셀 내에 구성됨 - ; 상기 p형 웰로의 p형 웰 픽업 영역; 및 상기 제1 n형 웰, 상기 제2 n형 웰, 또는 둘 다로의 n형 웰 픽업 영역을 포함한다.9) A memory according to another embodiment of the present disclosure includes a well strap cell disposed between a first memory cell and a second memory cell, wherein the well strap cell includes a p-type well disposed on a substrate, and a first n The p-type well, the first n-type well, and the second n-type well include the first n-type well and the first n-type well along a gate length direction in a middle portion of the well strap cell. Configured in the well strap cell so that there is no second n-type well; A p-type well pickup region to the p-type well; And an n-type well pick-up region to the first n-type well, the second n-type well, or both.

10) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 p형 웰은 상기 게이트 길이 방향을 따라 I자형 상면(top view)을 갖는다.10) In the memory according to another embodiment of the present disclosure, the p-type well has an I-shaped top view along the gate length direction.

11) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 웰 스트랩 셀의 중간부는 상기 웰 스트랩 셀의 제1 엣지부와 상기 웰 스트랩 셀의 제2 엣지부 사이에 배치되며, 상기 중간부는 상기 p형 웰의 제1 서브 영역을 포함하고; 상기 제1 엣지부는 상기 게이트 길이 방향을 따라 상기 p형 웰의 제2 서브 영역과 상기 p형 웰의 제3 서브 영역 사이에 배치되는 상기 제1 n형 웰을 포함하고, 상기 p형 웰의 제2 서브 영역 및 상기 p형 웰의 제3 서브 영역은 상기 p형 웰의 제1 서브 영역으로부터 연장되고; 상기 제2 엣지부는 상기 게이트 길이 방향을 따라 상기 p형 웰의 제4 서브 영역과 상기 p형 웰의 제5 서브 영역 사이에 배치되는 상기 제2 n형 웰을 포함하고, 상기 p형 웰의 제4 서브 영역 및 상기 p형 웰의 제5 서브 영역은 상기 p형 웰의 제1 서브 영역으로부터 연장된다.11) In the memory according to another embodiment of the present disclosure, the middle portion of the well strap cell is disposed between the first edge portion of the well strap cell and the second edge portion of the well strap cell, and the middle portion is the p Including a first sub-region of the type well; The first edge portion includes the first n-type well disposed between the second sub-region of the p-type well and the third sub-region of the p-type well along the gate length direction, and 2 sub-regions and a third sub-region of the p-type well extend from the first sub-region of the p-type well; The second edge portion includes the second n-type well disposed between a fourth sub-region of the p-type well and a fifth sub-region of the p-type well along the gate length direction, and the p-type well The fourth sub-region and the fifth sub-region of the p-type well extend from the first sub-region of the p-type well.

12) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 중간부는 p형 웰 스트랩에 해당하고, 상기 제1 엣지부는 제1 n형 웰 스트랩에 해당하고, 상기 제2 엣지부는 제2 n형 웰 스트랩에 해당하고, 상기 p형 웰 스트랩은 상기 제1 n형 웰 스트랩과 상기 제2 n형 웰 스트랩 사이에 배치된다.12) In the memory according to another embodiment of the present disclosure, the middle portion corresponds to a p-type well strap, the first edge portion corresponds to a first n-type well strap, and the second edge portion corresponds to a second n-type well strap. It corresponds to a strap, and the p-type well strap is disposed between the first n-type well strap and the second n-type well strap.

13) 본 개시의 다른 실시형태에 따른 메모리는, 상기 p형 웰 위에 배치되도록, 상기 웰 스트랩 셀의 중간부에 배치되는 제1 게이트 구조물; 상기 제1 n형 웰, 상기 p형 웰의 제2 서브 영역 및 상기 p형 웰의 제3 서브 영역 위에 배치되도록, 상기 웰 스트랩 셀의 제1 엣지부에 배치되는 제2 게이트 구조물; 및 상기 제2 n형 웰, 상기 p형 웰의 제4 서브 영역 및 상기 p형 웰의 제5 서브 영역 위에 배치되도록, 상기 웰 스트랩 셀의 제2 엣지부에 배치되는 제3 게이트 구조물을 더 포함한다.13) A memory according to another embodiment of the present disclosure may include: a first gate structure disposed in a middle portion of the well strap cell to be disposed on the p-type well; A second gate structure disposed on a first edge portion of the well strap cell so as to be disposed on the first n-type well, the second sub-region of the p-type well, and the third sub-region of the p-type well; And a third gate structure disposed on a second edge portion of the well strap cell so as to be disposed on the second n-type well, the fourth sub-region of the p-type well, and the fifth sub-region of the p-type well. do.

14) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 제1 n형 웰의 폭, 상기 제2 n형 웰의 폭, 및 상기 게이트 길이 방향을 따라 상기 제1 n형 웰 및 상기 제2 n형 웰이 없는 상기 웰 스트랩 셀의 중간부의 합(sum)은, 상기 웰 스트랩 셀의 폭과 실질적으로 동일하다.14) In a memory according to another embodiment of the present disclosure, the first n-type well and the second n are formed along a width of the first n-type well, a width of the second n-type well, and the gate length direction. The sum of the middle portion of the well strap cell without a type well is substantially equal to the width of the well strap cell.

15) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 p형 웰 픽업 영역은, 상기 게이트 길이 방향을 따라 상기 제1 n형 웰 및 상기 제2 n형 웰이 없는 상기 웰 스트랩 셀의 중간부에 배치된다.15) In a memory according to another embodiment of the present disclosure, the p-type well pickup region is a middle portion of the well strap cell without the first n-type well and the second n-type well along the gate length direction. Is placed in

16) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 p형 웰 픽업 영역 중 적어도 하나는 상기 게이트 길이 방향에 수직인 방향을 따라 상기 제1 n형 웰과 상기 제2 n형 웰 사이에 배치된다.16) In the memory according to another embodiment of the present disclosure, at least one of the p-type well pickup regions is disposed between the first n-type well and the second n-type well along a direction perpendicular to the gate length direction. do.

17) 본 개시의 다른 실시형태에 따른 메모리에 있어서, 상기 웰 스트랩 셀은 상기 게이트 길이 방향에 수직인 방향을 따라 연장되는 핀을 포함하는 핀 기반 웰 스트랩 셀이다.17) In a memory according to another embodiment of the present disclosure, the well strap cell is a pin-based well strap cell including a fin extending in a direction perpendicular to the gate length direction.

18) 본 개시의 또 다른 실시형태에 따른 메모리 어레이는, 제1 메모리 셀 열 - 상기 제1 메모리 셀 열의 각각의 메모리 셀은 제1 웰 도핑 구성을 가짐 - ; 제2 메모리 셀 열 - 상기 제2 메모리 셀 열의 각각의 메모리 셀은 상기 제1 웰 도핑 구성을 가짐 - ; 및 상기 제1 메모리 셀 열과 상기 제2 메모리 셀 열 사이에 배치되는 웰 스트랩 셀 열 - 상기 웰 스트랩 셀 열의 각각의 웰 스트랩 셀은 제1 n형 웰 스트랩과 제2 n형 웰 스트랩 사이에 배치되는 p형 웰 스트랩을 포함하고, 상기 제1 n형 웰 스트랩 및 상기 제2 n형 웰 스트랩은 상기 제1 웰 도핑 구성을 가지고, 상기 p형 웰 스트랩은 상기 제1 웰 도핑 구성과 다른 제2 웰 도핑 구성을 가짐 - 을 포함한다.18) A memory array according to another embodiment of the present disclosure includes: a first memory cell column, wherein each memory cell of the first memory cell column has a first well doping configuration; A second memory cell row, wherein each memory cell of the second memory cell row has the first well doped configuration; And a column of well strap cells disposed between the first memory cell column and the second memory cell column, wherein each well strap cell of the well strap cell column is disposed between a first n-type well strap and a second n-type well strap. a p-type well strap, wherein the first n-type well strap and the second n-type well strap have the first well doping configuration, and the p-type well strap is a second well different from the first well doping configuration Has a doping configuration-includes.

19) 본 개시의 또 다른 실시형태에 따른 메모리 어레이에 있어서, 상기 제1 웰 도핑 구성은 n형 웰을 포함하고, 상기 제2 웰 도핑 구성에는 n형 웰이 없다.19) In the memory array according to another embodiment of the present disclosure, the first well doped configuration includes an n-type well, and the second well doped configuration does not have an n-type well.

20) 본 개시의 또 다른 실시형태에 따른 메모리 어레이에 있어서, 상기 p형 웰 스트랩은 상기 제1 n형 웰 스트랩의 n형 웰과 상기 제2 n형 웰 스트랩의 n형 웰 사이에 배치되는 p형 웰 픽업 영역을 포함한다.20) In the memory array according to another embodiment of the present disclosure, the p-type well strap is a p-type well disposed between the n-type well of the first n-type well strap and the n-type well of the second n-type well strap. Includes a type well pickup area.

전술한 바는 몇몇 실시예의 피처를 개략적으로 설명하여 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 한다. 당업자는 본 개시에서 소개하는 실시예와 동일한 목적을 수행하고/하거나 동일한 장점을 달성하기 위한 다른 공정 및 구조물을 설계 또는 변화하기 위한 기초로서 본 개시를 가능하게 사용할 수 있다는 것을 이해할 것이다. 당업자는 또한 이러한 균등 구성물이 본 개시의 사상 및 범위를 벗어나지 않는다는 것과, 이것이 본 개시의 사상 및 범위를 벗어나지 않고 다양한 변화, 치환 및 변형을 행할 수 있음을 알 것이다.The foregoing outlines features of some embodiments to enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art will understand that the present disclosure may possibly be used as a basis for designing or changing other processes and structures to perform the same purposes and/or achieve the same advantages as the embodiments introduced in the present disclosure. Those skilled in the art will also appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions and modifications can be made without departing from the spirit and scope of the present disclosure.

Claims (10)

집적 회로로서,
기판에 배치되는 제1 웰 영역, 제2 웰 영역 및 제3 웰 영역을 포함하는 제1 웰 도핑 구성을 가지는 메모리 셀 - 상기 제2 웰 영역은 상기 제1 웰 영역과 상기 제3 웰 영역 사이에 배치되고, 상기 제1 웰 영역 및 상기 제3 웰 영역은 제1형 도펀트로 도핑되고, 상기 제2 웰 영역은 제2형 도펀트로 도핑됨 - ; 및
상기 메모리 셀에 인접하여 배치되는 웰 스트랩 셀
을 포함하며,
상기 웰 스트랩 셀은 제1 웰 스트랩 영역, 제2 웰 스트랩 영역 및 제3 웰 스트랩 영역을 가지며, 상기 제2 웰 스트랩 영역은 상기 제1 웰 스트랩 영역과 상기 제3 웰 스트랩 영역 사이에 배치되고,
상기 제1 웰 스트랩 영역 및 상기 제3 웰 스트랩 영역은 상기 제1 웰 도핑 구성을 가지고,
상기 제2 웰 스트랩 영역은 상기 제1형 도펀트로 도핑된 제4 웰 영역을 포함하는 제2 도핑 구성을 가지며,
상기 웰 스트랩 셀은 상기 제4 웰 영역으로의 제1 웰 픽업 영역 및 상기 제2 웰 영역으로의 제2 웰 픽업 영역을 포함하는 것인, 집적 회로.
As an integrated circuit,
A memory cell having a first well doping configuration including a first well region, a second well region, and a third well region disposed on a substrate-the second well region is between the first well region and the third well region Disposed, the first well region and the third well region are doped with a first type dopant, and the second well region is doped with a second type dopant -; And
Well strap cell disposed adjacent to the memory cell
It includes,
The well strap cell has a first well strap area, a second well strap area, and a third well strap area, and the second well strap area is disposed between the first well strap area and the third well strap area,
The first well strap region and the third well strap region have the first well doping configuration,
The second well strap region has a second doping configuration including a fourth well region doped with the first type dopant,
Wherein the well strap cell comprises a first well pick-up area to the fourth well area and a second well pick-up area to the second well area.
제1항에 있어서,
상기 제1 웰 영역, 상기 제3 웰 영역 및 상기 제4 웰 영역이 결합하여, 상기 제1형 도펀트로 도핑된, 상기 웰 스트랩 셀 내의 I자형 웰 영역을 형성하는 것인, 집적 회로.
The method of claim 1,
Wherein the first well region, the third well region, and the fourth well region are combined to form an I-shaped well region in the well strap cell doped with the first type dopant.
제1항에 있어서,
상기 제1형 도펀트는 p형 도펀트이고, 상기 제2형 도펀트는 n형 도펀트인 것인, 집적 회로.
The method of claim 1,
Wherein the first type dopant is a p-type dopant, and the second type dopant is an n-type dopant.
제1항에 있어서,
상기 제1 웰 영역, 상기 제2 웰 영역, 상기 제3 웰 영역 및 상기 제4 웰 영역은 게이트 길이 방향에 수직인 방향을 따라 연장되는 것인, 집적 회로.
The method of claim 1,
Wherein the first well region, the second well region, the third well region, and the fourth well region extend along a direction perpendicular to a gate length direction.
제1항에 있어서,
상기 제4 웰 영역은 상기 웰 스트랩 셀의 폭과 동일한 폭을 가지는 것인, 집적 회로.
The method of claim 1,
Wherein the fourth well region has a width equal to that of the well strap cell.
제1항에 있어서,
상기 제2 웰 픽업 영역은 상기 제1 웰 스트랩 영역 또는 상기 제3 웰 스트랩 영역에서만 상기 제2 웰 영역에 배치되는 것인, 집적 회로.
The method of claim 1,
Wherein the second well pick-up area is disposed in the second well area only in the first well strap area or the third well strap area.
제1항에 있어서,
상기 제1 웰 픽업 영역은 제1 전압에 연결되고, 상기 제2 웰 픽업 영역은 상기 제1 전압과 다른 제2 전압에 연결되는 것인, 집적 회로.
The method of claim 1,
Wherein the first well pickup region is connected to a first voltage and the second well pickup region is connected to a second voltage different from the first voltage.
제1항에 있어서,
상기 웰 스트랩 셀은, 더미 핀형 전계 효과 트랜지스터(Fin-like Field Effect Transistor, FinFET)로서 구성되는 핀, 게이트 구조물, 및 에피택시 소스/드레인 피처부를 포함하는 것인, 집적 회로.
The method of claim 1,
Wherein the well strap cell includes a fin configured as a dummy fin-like field effect transistor (FinFET), a gate structure, and an epitaxy source/drain feature.
메모리로서,
제1 메모리 셀과 제2 메모리 셀 사이에 배치되는 웰 스트랩 셀을 포함하며,
상기 웰 스트랩 셀은,
기판에 배치되는 p형 웰, 제1 n형 웰, 및 제2 n형 웰 - 상기 p형 웰, 제1 n형 웰, 및 제2 n형 웰은, 상기 웰 스트랩 셀의 중간부에 게이트 길이 방향을 따라 상기 제1 n형 웰 및 상기 제2 n형 웰이 없도록, 상기 웰 스트랩 셀 내에 구성됨 - ;
상기 p형 웰로의 p형 웰 픽업 영역; 및
상기 제1 n형 웰, 상기 제2 n형 웰, 또는 둘 다로의 n형 웰 픽업 영역
을 포함하는 것인, 메모리.
As a memory,
A well strap cell disposed between the first memory cell and the second memory cell,
The well strap cell,
A p-type well, a first n-type well, and a second n-type well disposed on the substrate-the p-type well, the first n-type well, and the second n-type well have a gate length in the middle portion of the well strap cell Configured in the well strap cell such that there are no the first n-type well and the second n-type well along a direction;
A p-type well pickup region to the p-type well; And
N-type well pickup region to the first n-type well, the second n-type well, or both
That includes, memory.
메모리 어레이로서,
제1 메모리 셀 열 - 상기 제1 메모리 셀 열의 각각의 메모리 셀은 제1 웰 도핑 구성을 가짐 - ;
제2 메모리 셀 열 - 상기 제2 메모리 셀 열의 각각의 메모리 셀은 상기 제1 웰 도핑 구성을 가짐 - ; 및
상기 제1 메모리 셀 열과 상기 제2 메모리 셀 열 사이에 배치되는 웰 스트랩 셀 열 - 상기 웰 스트랩 셀 열의 각각의 웰 스트랩 셀은 제1 n형 웰 스트랩과 제2 n형 웰 스트랩 사이에 배치되는 p형 웰 스트랩을 포함하고, 상기 제1 n형 웰 스트랩 및 상기 제2 n형 웰 스트랩은 상기 제1 웰 도핑 구성을 가지고, 상기 p형 웰 스트랩은 상기 제1 웰 도핑 구성과 다른 제2 웰 도핑 구성을 가짐 -
을 포함하는, 메모리 어레이.
As a memory array,
A first memory cell row, wherein each memory cell of the first memory cell row has a first well doping configuration;
A second memory cell row, wherein each memory cell of the second memory cell row has the first well doped configuration; And
Well strap cell rows disposed between the first and second memory cell rows-Each well strap cell of the well strap cell row is p disposed between a first n-type well strap and a second n-type well strap Including a type well strap, wherein the first n-type well strap and the second n-type well strap have the first well doping configuration, the p-type well strap is a second well doping different from the first well doping configuration Has configuration-
Including, a memory array.
KR1020190139667A 2019-01-31 2019-11-04 Fin-based strap cell structure for improving memory performance KR102357523B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962799520P 2019-01-31 2019-01-31
US62/799,520 2019-01-31
US16/529,380 US11127746B2 (en) 2019-01-31 2019-08-01 Fin-based strap cell structure for improving memory performance
US16/529,380 2019-08-01

Publications (2)

Publication Number Publication Date
KR20200096093A true KR20200096093A (en) 2020-08-11
KR102357523B1 KR102357523B1 (en) 2022-02-04

Family

ID=71615596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190139667A KR102357523B1 (en) 2019-01-31 2019-11-04 Fin-based strap cell structure for improving memory performance

Country Status (3)

Country Link
KR (1) KR102357523B1 (en)
DE (1) DE102019121626A1 (en)
TW (1) TWI758681B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950298B1 (en) 2020-01-17 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Mixed threshold voltage memory array

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170015074A (en) * 2015-07-30 2017-02-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Memory array with strap cells
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9418728B2 (en) * 2014-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port static random-access memory cell
US9768179B1 (en) * 2016-11-18 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Connection structures for routing misaligned metal lines between TCAM cells and periphery circuits
TWI689080B (en) * 2017-05-08 2020-03-21 聯華電子股份有限公司 Memory device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170015074A (en) * 2015-07-30 2017-02-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Memory array with strap cells
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure

Also Published As

Publication number Publication date
DE102019121626A1 (en) 2020-08-06
TWI758681B (en) 2022-03-21
KR102357523B1 (en) 2022-02-04
TW202030866A (en) 2020-08-16

Similar Documents

Publication Publication Date Title
US11925011B2 (en) Fin-based strap cell structure for improving memory performance
US10957766B2 (en) Fin-based strap cell structure
CN111508962B (en) Integrated circuit, memory and memory array
US11251091B2 (en) Semiconductor device with contracted isolation feature
US11195755B2 (en) Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors
CN111106159A (en) Semiconductor device and method of manufacturing semiconductor structure
US11856746B2 (en) Well strap structures and methods of forming the same
US11616125B2 (en) Integrated circuit device and manufacturing method thereof
US11158632B1 (en) Fin-based strap cell structure for improving memory performance
KR102357523B1 (en) Fin-based strap cell structure for improving memory performance
US20230062162A1 (en) Integrated circuit device with reduced via resistance

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant