KR20190143590A - Transfer robot and Apparatus for treating substrate with the robot - Google Patents

Transfer robot and Apparatus for treating substrate with the robot Download PDF

Info

Publication number
KR20190143590A
KR20190143590A KR1020180071195A KR20180071195A KR20190143590A KR 20190143590 A KR20190143590 A KR 20190143590A KR 1020180071195 A KR1020180071195 A KR 1020180071195A KR 20180071195 A KR20180071195 A KR 20180071195A KR 20190143590 A KR20190143590 A KR 20190143590A
Authority
KR
South Korea
Prior art keywords
substrate
end effector
contact
pad
pad body
Prior art date
Application number
KR1020180071195A
Other languages
Korean (ko)
Inventor
김정재
이상열
심광보
Original Assignee
피에스케이홀딩스 (주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이홀딩스 (주) filed Critical 피에스케이홀딩스 (주)
Priority to KR1020180071195A priority Critical patent/KR20190143590A/en
Publication of KR20190143590A publication Critical patent/KR20190143590A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

An embodiment of the present invention provides an apparatus for transferring a substrate. A robot for transferring a substrate includes: an end effector having a mounting surface on which a substrate is mounted; at least one arm supporting the end effector; and a driving member moving the at least one arm, wherein the end effector can include a plurality of contact pads supporting a back surface of the substrate.

Description

반송 로봇 및 이를 가지는 기판 처리 장치{Transfer robot and Apparatus for treating substrate with the robot}Transfer robot and Apparatus for treating substrate with the robot}

본 발명은 기판을 반송하는 장치에 관한 것이다.The present invention relates to an apparatus for carrying a substrate.

반도체 소자 및 평판 디스플레이를 제조하기 위해서는 사진, 식각, 증착, 애싱, 이온 주입, 그리고 세정 등 다양한 공정들이 수행된다. 이러한 공정들을 진행하는 기판 처리 시스템은 복수 개의 유닛들로 제공되며, 기판은 반송 장치에 의해 각각의 유닛들 간에 반송된다. Various processes such as photography, etching, deposition, ashing, ion implantation, and cleaning are performed to manufacture semiconductor devices and flat panel displays. A substrate processing system that goes through these processes is provided in a plurality of units, and the substrate is conveyed between the respective units by a conveying apparatus.

반송 장치는 기판이 안착되는 블레이드를 가진다. 기판은 클램프 및 진공 흡착 등 다양한 방식에 의해 블레이드에 고정될 수 있다.The conveying apparatus has a blade on which a substrate is seated. The substrate may be secured to the blade by various methods such as clamps and vacuum adsorption.

진공 흡착 방식의 블레이드는 기판 반송시 안정적일 수 있으나, 기판 후면에 접촉하기 때문에 1차적으로 기판 후면에 파티클을 유발시킬 수 있으며, 이 파티클로 인해 척 안착이나 파티클 전이 등의 2차 피해로 이어질 수 있다. Blades of vacuum adsorption method can be stable when transporting the substrate, but because they contact the back of the substrate, they can first cause particles on the back of the substrate, which can lead to secondary damage such as chuck seating or particle transition. have.

본 발명은 기판 반송에 있어 정확하고 안정적일 뿐만 아니라 접촉 면적을 감소시켜 기판 후면의 파티클 발생 가능성을 줄일 수 있는 반송 로봇 및 이를 가지는 기판 처리 장치를 제공하고자 한다.The present invention is to provide a transfer robot and a substrate processing apparatus having the same, which is not only accurate and stable in substrate transfer but also reduces the contact area to reduce the possibility of generating particles on the back side of the substrate.

본 발명이 해결하고자 하는 과제는 여기에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The problem to be solved by the present invention is not limited thereto, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명의 일 측면에 따르면, 기판이 안착되는 안착면을 가지는 엔드 이펙터; 상기 엔드 이펙터를 지지하는 적어도 하나의 아암; 및 상기 적어도 하나의 아암을 이동시키는 구동 부재를 포함하되, 상기 엔드 이펙터는, 기판의 후면을 지지하는 복수개의 접촉 패드를 갖는 기판 반송 장치가 제공될 수 있다. According to one aspect of the invention, the end effector having a seating surface on which the substrate is seated; At least one arm supporting the end effector; And a driving member for moving the at least one arm, wherein the end effector has a plurality of contact pads supporting a rear surface of the substrate.

또한, 상기 접촉 패드는 기판의 중심을 기준으로 방사상으로 배치될 수 있다.In addition, the contact pads may be disposed radially with respect to the center of the substrate.

또한, 상기 접촉 패드는 기판의 후면과 접촉하는 패드 몸체를 포함하되; 상기 패드 몸체는 기판의 중심을 향해 하향 경사지게 제공될 수 있다.In addition, the contact pad includes a pad body in contact with the rear surface of the substrate; The pad body may be provided to be inclined downward toward the center of the substrate.

또한, 상기 패드 몸체는 기판 후면과의 접촉 면적을 최소화하기 위해 곡면지게 형성된 상면을 가질 수 있다.In addition, the pad body may have a top surface that is curved to minimize the contact area with the back surface of the substrate.

또한, 상기 접촉 패드는 상기 패드 몸체의 바깥쪽에 형성되고, 기판의 슬라이딩으로 인한 이탈을 방지하는 방지턱을 더 포함할 수 있다.In addition, the contact pad may be formed on the outside of the pad body, and may further include a stopper for preventing separation due to sliding of the substrate.

또한, 상기 접촉 패드는 저면으로부터 돌출되어 형성되는 적어도 하나 이상의 결합부를 더 포함하고, 상기 엔드 이펙터는 상기 접촉 패드가 안착되는 안착홈; 및 상기 안착홈에 형성되고, 상기 결합부가 삽입되는 삽입구를 포함할 수 있다.In addition, the contact pad further comprises at least one coupling portion protruding from the bottom surface, the end effector comprises a seating groove in which the contact pad is seated; And an insertion hole formed in the seating groove and into which the coupling part is inserted.

본 발명의 다른 측면에 따르면, 내부에 기판이 수용 가능하는 수용 공간을 가지는 기판 수용 유닛과; 상기 수용 공간으로 기판을 반출입하는 반송 로봇을 포함하되, 상기 반송 로봇은, 기판의 후면을 지지하는 복수개의 접촉 패드를 갖는 엔드 이펙터를 포함하는 기판 처리 장치가 제공될 수 있다. According to another aspect of the invention, the substrate receiving unit having a receiving space that can accommodate the substrate therein; A substrate processing apparatus may include a transfer robot carrying the substrate into and out of the accommodation space, wherein the transfer robot includes an end effector having a plurality of contact pads supporting a rear surface of the substrate.

또한, 상기 접촉 패드는 기판의 후면과 접촉하는 패드 몸체; 및 상기 패드 몸체의 바깥쪽에 형성되고, 기판의 슬라이딩으로 인한 이탈을 방지하는 방지턱을 포함할 수 있다.The contact pad may further include a pad body in contact with a rear surface of the substrate; And it is formed on the outside of the pad body, it may include a bump to prevent the separation due to the sliding of the substrate.

또한, 상기 패드 몸체는 기판의 중심을 향해 하향 경사지게 제공될 수 있다.In addition, the pad body may be provided to be inclined downward toward the center of the substrate.

또한, 상기 패드 몸체는 기판 후면과의 접촉 면적을 최소화하기 위해 곡면지게 형성된 상면을 가질 수 있다.In addition, the pad body may have a top surface that is curved to minimize the contact area with the back surface of the substrate.

또한, 상기 접촉 패드는 저면으로부터 돌출되어 형성되는 적어도 하나 이상의 결합부를 더 포함하고, 상기 엔드 이펙터는 상기 접촉 패드가 안착되는 안착홈; 및 상기 안착홈에 형성되고, 상기 결합부가 삽입되는 삽입구를 포함할 수 있다.In addition, the contact pad further comprises at least one coupling portion protruding from the bottom surface, the end effector comprises a seating groove in which the contact pad is seated; And an insertion hole formed in the seating groove and into which the coupling part is inserted.

본 발명의 실시예에 의하면, 기판과의 접촉 면적을 줄이고 반송 측면에서도 안정적이기 때문에 기판 후면의 파티클 유발을 개선할 수 있는 각별한 효과를 갖는다. According to the embodiment of the present invention, since the contact area with the substrate is reduced and is also stable in terms of transport, it has a special effect of improving the particle generation on the rear surface of the substrate.

본 발명의 효과가 상술한 효과들로 제한되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-described effects, and effects that are not mentioned will be clearly understood by those skilled in the art from the present specification and the accompanying drawings.

도 1은 본 발명의 기판 처리 설비를 간략하게 나타내는 평면도이다.
도 2는 도 1에 도시된 반송 로봇의 사시도이다
도 3 및 도 4는 도 1에 도시된 반송 로봇이 평면도 및 측면도이다.
도 5는 엔드 이펙터의 평면도이다.
도 6은 도 5에 도시된 엔드 이펙터에 설치된 접촉 패드의 사시도이다.
도 7은 접촉 패드가 엔드 이펙터에 장착된 상태를 보여주는 단면도이다.
도 8은 엔드 이펙터에 기판이 놓여진 상태를 보여주는 도면이다.
도 9는 엔드 이펙터의 다른 변형예를 보여주는 도면이다.
1 is a plan view briefly showing the substrate processing equipment of the present invention.
FIG. 2 is a perspective view of the carrier robot shown in FIG. 1. FIG.
3 and 4 are a plan view and a side view of the carrier robot shown in FIG.
5 is a plan view of the end effector.
6 is a perspective view of a contact pad installed in the end effector shown in FIG. 5.
7 is a cross-sectional view showing a state in which a contact pad is mounted to an end effector.
8 is a diagram illustrating a state in which a substrate is placed on an end effector.
9 is a view showing another modified example of the end effector.

본 발명은 다양한 변환을 가할 수 있고 여러 가지 실시 예를 가질 수 있는 바, 특정 실시 예들을 도면에 예시하고 상세한 설명에서 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변환, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다. As the inventive concept allows for various changes and numerous embodiments, particular embodiments will be illustrated in the drawings and described in detail in the written description. However, this is not intended to limit the present invention to specific embodiments, it should be understood to include all transformations, equivalents, and substitutes included in the spirit and scope of the present invention. In the following description of the present invention, if it is determined that the detailed description of the related known technology may obscure the gist of the present invention, the detailed description thereof will be omitted.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprise" or "have" are intended to indicate that there is a feature, number, step, operation, component, part, or combination thereof described in the specification, and one or more other features. It is to be understood that the present invention does not exclude the possibility of the presence or the addition of numbers, steps, operations, components, components, or a combination thereof.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.Terms such as first and second may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another.

이하, 첨부한 도면들을 참조하여 본 발명에 따른 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings, and in describing the present invention with reference to the accompanying drawings, the same or corresponding elements are denoted by the same reference numerals regardless of the reference numerals, and duplicates thereof. The description will be omitted.

도 1은 본 발명의 기판 처리 설비(1)를 간략하게 나타내는 평면도이다.1 is a plan view briefly showing the substrate processing equipment 1 of the present invention.

도 1을 참조하면, 기판 처리 설비는 설비 전방 단부 모듈(equipment front end module, EFEM)(20) 및 공정 처리 모듈(30)을 가진다. 설비 전방 단부 모듈(20)과 공정 처리 모듈(30)은 일 방향으로 배치된다. 이하, 설비 전방 단부 모듈(20)과 공정 처리 모듈(30)가 배열된 방향을 제 1 방향(11)이라 정의하고, 상부에서 바라볼 때 제 1 방향(11)에 수직인 방향을 제 2 방향(12)이라 정의한다.Referring to FIG. 1, a substrate processing facility has an equipment front end module (EFEM) 20 and a process processing module 30. The facility front end module 20 and the process module 30 are arranged in one direction. Hereinafter, the direction in which the facility front end module 20 and the processing module 30 are arranged is defined as a first direction 11, and when viewed from the top, the direction perpendicular to the first direction 11 is referred to as the second direction. It is defined as (12).

설비 전방 단부 모듈(20)은 로드 포트(10) 및 반송 프레임(21)을 가진다. 로드 포트(10)는 제1방향(11)을 향하는 반송 프레임(21)의 전방에 배치된다. 로드 포트(10)는 복수 개의 지지부(6)를 가진다. 각각의 지지부(6)는 제 2 방향(12)으로 일렬로 배치되며, 기판 수용 유닛(4)이 안착된다. 기판 수용 유닛(4)에는 공정에 제공될 기판(W) 및 공정처리가 완료된 기판(W)이 수용 가능한 수용 공간(522)을 제공한다. 예컨대, 기판 수용 유닛(4)은 캐리어 또는 풉(FOUP)일 수 있다.The facility front end module 20 has a load port 10 and a conveying frame 21. The load port 10 is disposed in front of the conveying frame 21 facing the first direction 11. The load port 10 has a plurality of supports 6. Each support 6 is arranged in a row in the second direction 12 and the substrate receiving unit 4 is seated. The substrate accommodating unit 4 is provided with an accommodating space 522 in which the substrate W to be provided in the process and the substrate W on which the process is completed are accommodated. For example, the substrate receiving unit 4 may be a carrier or a FOUP.

다시 도 1을 참조하면, 반송 프레임(21)은 로드 포트(10)와 공정 처리 모듈(30) 사이에 배치된다. 반송 프레임(21)의 내부에는 기판(W)을 반송하는 제1반송 공간(23)이 제공된다. 제1반송 공간(23)에는 로드 포트(10)와 공정 처리 모듈(30) 간에 기판(W)을 반송하는 반송 로봇(100)을 포함한다. 반송 로봇(100)은 제2방향(12)으로 구비된 반송 레일(27)을 따라 이동하여 기판 수용 유닛(4)과 공정 처리 모듈(30) 간에 기판(W)을 반송한다.Referring back to FIG. 1, the conveying frame 21 is disposed between the load port 10 and the process module 30. Inside the conveyance frame 21, the 1st conveyance space 23 which conveys the board | substrate W is provided. The first transport space 23 includes a transport robot 100 for transporting the substrate W between the load port 10 and the process module 30. The transfer robot 100 moves along the transfer rail 27 provided in the second direction 12 to transfer the substrate W between the substrate accommodating unit 4 and the process module 30.

공정 처리 모듈(30)은 로드락 챔버(40), 트랜스퍼 챔버(50), 그리고 공정 처리 유닛(60)를 포함한다. The process processing module 30 includes a load lock chamber 40, a transfer chamber 50, and a process processing unit 60.

로드락 챔버(40)는 반송 프레임(21)에 인접하게 배치된다. 일 예로, 로드락 챔버(40)는 트랜스퍼 챔버(50)와 설비 전방 단부 모듈(20)사이에 배치될 수 있다. 로드락 챔버(40)는 공정에 제공될 기판(W)이 공정 처리 유닛(60)으로 반송되기 전, 또는 공정 처리가 완료된 기판(W)이 설비 전방 단부 모듈(20)로 반송되기 전 대기하는 공간을 제공한다. The load lock chamber 40 is disposed adjacent to the conveying frame 21. For example, the load lock chamber 40 may be disposed between the transfer chamber 50 and the facility front end module 20. The load lock chamber 40 waits before the substrate W to be provided to the process is returned to the process processing unit 60 or before the substrate W having been processed is returned to the facility front end module 20. Provide space.

트랜스퍼 챔버(50)는 로드락 챔버(40)에 인접하게 배치된다. 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 다각형의 몸체를 갖는다. 예컨대, 트랜스퍼 챔버(50)는 오각 또는 육각 형상으로 제공될 수 있다. 로드락 챔버(40)와 복수개의 공정 처리 유닛들(60)은 트랜스퍼 챔버(50)의 둘레를 감싸도록 배치된다. 트랜스퍼 챔버(50)와 공정 처리 유닛(60) 간, 그리고 트랜스퍼 챔버(50)와 로드락 챔버(40) 간에는 서로 간에 내부 분위기를 차단 가능한 게이트 밸브(55)가 제공된다. 공정 처리 유닛(60)은 게이트 밸브(55)에 의해 그 내부 공간이 밀폐될 수 있다. The transfer chamber 50 is disposed adjacent to the load lock chamber 40. The transfer chamber 50 has a polygonal body when viewed from the top. For example, the transfer chamber 50 may be provided in a pentagonal or hexagonal shape. The load lock chamber 40 and the plurality of process processing units 60 are arranged to surround the transfer chamber 50. A gate valve 55 is provided between the transfer chamber 50 and the process processing unit 60 and between the transfer chamber 50 and the load lock chamber 40 to block the internal atmosphere from each other. The internal processing space of the process processing unit 60 may be sealed by the gate valve 55.

트랜스퍼 챔버(50)의 내부에는 기판(W)이 반송되는 제2반송 공간(54)이 형성된다. 제2반송 공간(54)에는 기판(W)을 반송하는 제2반송 로봇(53)이 배치된다. 제2 반송 로봇(53)은 로드락 챔버(40)와 공정 처리 유닛(60) 간에, 또는 공정 처리 유닛들(60) 간에 기판(W)을 반송한다. 제2 반송 로봇(53)은 로드락 챔버(40)에서 대기하는 미처리된 기판(W)을 공정 처리 유닛(60)로 반송하거나, 공정 처리가 완료된 기판(W)을 로드락 챔버(40)로 반송한다. 또한, 복수개의 공정 처리 유닛(60)에 기판(W)을 순차적으로 제공하기 위하여 공정 처리 유닛(60)간에 기판(W)을 반송한다. In the transfer chamber 50, a second conveyance space 54 in which the substrate W is conveyed is formed. The second conveyance robot 53 which conveys the board | substrate W is arrange | positioned in the 2nd conveyance space 54. As shown in FIG. The second transfer robot 53 conveys the substrate W between the load lock chamber 40 and the process processing unit 60 or between the process processing units 60. The second transfer robot 53 transfers the unprocessed substrate W waiting in the load lock chamber 40 to the process processing unit 60 or transfers the substrate W on which the process is completed to the load lock chamber 40. Return. Moreover, in order to provide the board | substrate W to several process processing unit 60 sequentially, the board | substrate W is conveyed between the process processing units 60. FIG.

도 1과 같이, 트랜스퍼 챔버(50)가 오각형의 몸체를 가질 때, 설비 전방 단부 모듈(20)과 인접한 측벽에는 로드락 챔버(40)가 각각 배치되며, 나머지 측벽에는 공정 처리 유닛들(60)이 연속하여 배치된다. 트랜스퍼 챔버(50)는 상기 형상뿐만 아니라, 요구되는 공정 모듈에 따라 다양한 형태로 제공될 수 있다.As shown in FIG. 1, when the transfer chamber 50 has a pentagonal body, load lock chambers 40 are disposed on sidewalls adjacent to the facility front end module 20, and process processing units 60 are disposed on the remaining sidewalls. This is arranged continuously. The transfer chamber 50 may be provided in various forms depending on the shape of the process chamber and the required process module.

공정 처리 유닛(60)는 트랜스퍼 챔버(50)의 둘레를 따라 배치된다. 공정 처리 유닛(60)는 복수개 제공될 수 있다. 각각의 공정 처리 유닛(60) 내에서는 기판(W)에 대한 공정 처리가 진행된다. 일 예에 의하면, 공정 처리는 플라즈마 처리일 수 있다. 공정 처리 유닛(60)는 제2반송 로봇(53)으로부터 기판(W)을 반송받아 공정 처리를 하고, 공정 처리가 완료된 기판(W)을 제2반송 로봇(53)으로 제공한다. 각각의 공정 처리 유닛(60)에서 진행되는 공정 처리는 서로 상이할 수 있다. 일 예로 공정 처리 유닛은 플라즈마를 이용하여 기판(W) 상에 소정의 공정을 수행한다. 일 예로, 공정 처리 유닛은 기판(W) 상의 박막을 식각할 수 있다. 박막은 폴리 실리콘막, 실리콘 산화막, 그리고 실리콘 질화막 등 다양한 종류의 막일 수 있다. 또한, 박막은 자연 산화막이나 화학적으로 생성된 산화막일 수 있다. The process processing unit 60 is disposed along the circumference of the transfer chamber 50. Process processing unit 60 may be provided in plurality. In each process unit 60, a process process for the substrate W is performed. In one example, the process treatment may be a plasma treatment. The process processing unit 60 receives the substrate W from the second transport robot 53 to perform a process process, and provides the substrate W on which the process process is completed to the second transport robot 53. The process processing carried out in each process processing unit 60 may be different from each other. For example, the processing unit performs a predetermined process on the substrate W using plasma. For example, the processing unit may etch a thin film on the substrate W. The thin film may be various kinds of films, such as a polysilicon film, a silicon oxide film, and a silicon nitride film. Further, the thin film may be a natural oxide film or a chemically produced oxide film.

다음은 반송 프레임(21) 내에 위치되는 반송 로봇(100)에 대해 보다 상세히 설명한다. Next, the transfer robot 100 positioned in the transfer frame 21 will be described in more detail.

도 2는 도 1에 도시된 반송 로봇의 사시도이고, 도 3 및 도 4는 도 1에 도시된 반송 로봇이 평면도 및 측면도이다. 2 is a perspective view of the transfer robot shown in FIG. 1, and FIGS. 3 and 4 are a plan view and a side view of the transfer robot shown in FIG. 1.

반송 로봇(100)은 일반적인 스카라 아암(SCARA arm) 구조를 가질 수 있다. 여기에서 반송 로봇(100)은 반도체 제조 공정 중에 기판을 하나의 기판 지지 스테이션으로부터 다른 기판 지지 스테이션으로 이동시킨다. 반송 로봇(100)은 지지대의 위에 장착되거나 아암을 수직 방향으로 상승시키거나 하강시키는 승강 기구(미도시)와 아암(122)들 및 엔드 이펙터(130)의 연장 및 축소를 일으키는 회전 구동부 및 중앙 기둥과 같은 구동 부재(110)의 위에 장착되는 아암 부재(120)을 포함할 수 있다. 아암 부재(120)는 복수개의 아암(122)들을 포함할 수 있다. 아암(122)들은 회전 가능한 관절(124)들을 포함할 수 있다. 회전 가능한 관절(124)들을 중심으로 한 아암(122)들의 회전은 엔드 이펙터(130)가 수평한 평면 내의 모든 좌표 위치로 이동하는 것을 가능하게 한다.The transfer robot 100 may have a general SCARA arm structure. Here, the transfer robot 100 moves the substrate from one substrate support station to another during the semiconductor manufacturing process. The transfer robot 100 is mounted on a support or a lifting mechanism (not shown) that raises or lowers the arm in a vertical direction and a rotational drive and a central column causing extension and contraction of the arms 122 and the end effector 130. It may include an arm member 120 mounted on the drive member 110, such as. Arm member 120 may include a plurality of arms 122. Arms 122 may include rotatable joints 124. Rotation of the arms 122 about the rotatable joints 124 allows the end effector 130 to move to all coordinate positions in the horizontal plane.

구동 부재(110)는 아암 부재(120)를 다양한 방향으로 이동시킨다. 구동 부재(110)는 아암 부재(120)를 전진, 후진, 상하 이동, 그리고 회전시킨다. 여기서 전진 및 후진은 수평 방향을 향하는 직선 이동일 수 있다.The drive member 110 moves the arm member 120 in various directions. The drive member 110 advances, reverses, moves up and down, and rotates the arm member 120. Here, forward and backward may be linear movements in a horizontal direction.

본 발명의 반송 로봇(100)은 다른 로봇 아암 구조와도 함께 사용될 수 있는 것이 고려되어야 한다. 예를 들어, 다른 측면들로서 적절한 개수의 아암 링크들과, 엔드 이펙터가 구동 부재에 의해 적절한 방식으로 구동될 수 있다. 두 개의 아암 링크들을 갖는 하나의 아암과 하나의 엔드 이펙터가 구동 부재에 부착되는 것으로 도시되었지만, 다른 측면들로서 적절한 개수의 아암들의 각각이 적절한 개수의 엔드 이펙터들을 구비하여 구동 부재에 장착되어 구동 영역에 의해 구동될 수 있다는 것도 고려된다. 다른 측면들로서 반송 로봇은 개구리 다리 구조와, 좌우 대칭 구조와, 뛰어오르는 개구리 구조와, 직선 슬라이딩 구조 등의 적절한 아암 구조를 가질 수 있다.It should be contemplated that the transfer robot 100 of the present invention may be used with other robot arm structures. For example, as other aspects an appropriate number of arm links and end effector may be driven in a suitable manner by the drive member. Although one arm and two end effectors with two arm links are shown attached to the drive member, as other aspects each of the appropriate number of arms has an appropriate number of end effectors mounted to the drive member and mounted to the drive area. It is also contemplated that it may be driven by. In other aspects, the carrier robot may have a suitable arm structure, such as a frog leg structure, a symmetrical structure, a jumping frog structure, and a straight sliding structure.

도 5는 도 2에 도시된 엔드 이펙터의 평면도이고, 도 6은 도 5에 도시된 엔드 이펙터에 설치된 접촉 패드의 사시도, 도 7은 접촉 패드가 엔드 이펙터에 장착된 상태를 보여주는 단면도이다.5 is a plan view of the end effector shown in FIG. 2, FIG. 6 is a perspective view of a contact pad installed in the end effector shown in FIG. 5, and FIG.

도 5 내지 도 7을 참조하면, 개시된 실시예들의 일 측면에 따른 예시적인 엔드 이펙터(130)가 도시된다. 엔드 이펙터(130)는 베이스 플레이트(140)(이하 블레이드라고 함)와 접촉 패드(150)들을 포함할 수 있다.5-7, an exemplary end effector 130 is shown in accordance with one aspect of the disclosed embodiments. The end effector 130 may include a base plate 140 (hereinafter referred to as a blade) and contact pads 150.

블레이드(140)는 일반적으로 반도체 기판들, 평평한 패널들, 태양열 패널들, 발광 다이오드들, 유기 발광 다이오드들 등을 포함하지만 이들에 제한되지는 않는 적절한 기판(W)을 수동적으로 지지하도록 이루어진다. Blade 140 is generally configured to passively support a suitable substrate W, including but not limited to semiconductor substrates, flat panels, solar panels, light emitting diodes, organic light emitting diodes, and the like.

블레이드(140)는 도시된 것과 같이 실질적으로 평평하거나 다른 적절한 형상을 가질 수 있다. 블레이드(142)의 상부면에는 적적한 기판 지지 구조체인 접촉 패드(150)를 가질 수 있다. 일 측면으로서, 접촉 패드(150)는 기판(w)의 가장자리 후면을 지지할 수 있다. Blade 140 may be substantially flat or of another suitable shape as shown. The upper surface of the blade 142 may have a contact pad 150, which is a suitable substrate support structure. In one aspect, the contact pad 150 may support the edge backside of the substrate w.

접촉 패드(150)들은 기판의 중심을 향하도록 방사상으로 배치될 수 있다. 다시 말해, 접촉 패드(150)의 몸체 길이방향이 기판 중심을 향하도록 위치된다. The contact pads 150 may be disposed radially toward the center of the substrate. In other words, the body longitudinal direction of the contact pad 150 is located toward the substrate center.

접촉 패드(150)는 패드 몸체(152)와 방지턱(158) 그리고 결합부(159)를 포함할 수 있다. 패드 몸체(152)는 기판의 가장자리 후면과 접촉하는 부분으로, 패드 몸체(152)는 기판의 중심으로 향해 하향경사지게 제공된다. 즉, 패드 몸체(152)는 기판 중심으로 갈수록 높이가 낮아지는 경사진 상면(154)을 갖는다. 또한, 패드 몸체(152)의 상면(154)은 그 단면 형상이 기판 후면과의 접촉 면적을 최소화하기 위해 곡면지게 형성될 수 있다.The contact pad 150 may include a pad body 152, a bump 158, and a coupling part 159. The pad body 152 is in contact with the edge backside of the substrate, and the pad body 152 is provided to be inclined downward toward the center of the substrate. That is, the pad body 152 has an inclined top surface 154, the height of which decreases toward the center of the substrate. In addition, the top surface 154 of the pad body 152 may be formed to have a curved surface so that its cross-sectional shape minimizes the contact area with the back surface of the substrate.

블레이드(140)에는 접촉 패드(150)가 안착되는 안착홈(142) 및 안착홈(142)에 형성된 삽입구(144)를 갖는다. 결합부(159)는 패드 몸체(152)의 저면으로부터 돌출되어 삽입구(144)에 삽입될 수 있다.The blade 140 has a seating groove 142 on which the contact pad 150 is seated and an insertion hole 144 formed in the seating recess 142. The coupling part 159 may protrude from the bottom of the pad body 152 and be inserted into the insertion hole 144.

방지턱(158)은 패드 몸체(152)의 바깥쪽에 형성되고, 기판의 슬라이딩으로 인한 이탈을 방지하도록 패드 몸체(152)의 상면보다 돌출되게 형성된다. The prevention jaw 158 is formed outside the pad body 152 and protrudes from an upper surface of the pad body 152 so as to prevent separation due to sliding of the substrate.

또 다른 측면으로서 접촉 패드(150)들은 기계적인 체결부들이나 접합(bonding)과 같은 적절한 방식에 의해 개별적으로 블레이드(140)에 장착될 수 있다. 다른 측면들로서 접촉 패드(150)들은 일체형의 블레이드(240)에 결합됨으로써 블레이드의 일체형 구조체를 형성할 수 있다. As another aspect, the contact pads 150 may be individually mounted to the blades 140 by any suitable manner such as mechanical fastenings or bonding. As other aspects, the contact pads 150 may be coupled to the integral blade 240 to form an integral structure of the blade.

블레이드(140)의 구조나 형상은 오직 예시적인 것이며, 다른 측면들에서 블레이드는 다른 적절한 구조 및/또는 형상을 가질 수 있음이 고려되어야 한다. It is to be considered that the structure or shape of the blade 140 is exemplary only, and in other aspects the blade may have other suitable structure and / or shape.

또한, 엔드 이펙터(130)는 하나의 기판(w)을 지지하도록 이루어지는 것으로 도시되지만, 다른 측면들에서 엔드 이펙터(130)는 하나의 이상의 실질적으로 적층된 구조(예를 들어 실질적으로 수직한 기둥)와 실질적으로 나란히 있는 구조(예를 들어 실질적으로 수평한 행)의 적절한 개수의 기판들을 지지하거나 유지하도록 이루어질 수 있다.Also, while end effector 130 is shown to support one substrate w, in other aspects the end effector 130 has one or more substantially stacked structures (e.g., substantially vertical pillars). And to support or maintain an appropriate number of substrates in a structure (eg, substantially horizontal rows) that are substantially parallel with the.

도 8은 엔드 이펙터에 기판이 놓여진 상태를 보여주는 도면이다.8 is a diagram illustrating a state in which a substrate is placed on an end effector.

도 8에서와 같이, 접촉 패드(150)가 기판 중심 방향으로 높이가 낮아지는 경사진 상면(154)을 가짐으로써 기판이 엔드 이펙터(130)에 안정적으로 놓여지게 된다.As shown in FIG. 8, the contact pad 150 has an inclined top surface 154 whose height is lowered toward the center of the substrate, thereby stably placing the substrate on the end effector 130.

도 9는 엔드 이펙터의 다른 변형예를 보여주는 도면이다.9 is a view showing another modified example of the end effector.

도 9에서와 같이, 접촉 패드(150a)의 디자인에 따라 블레이드의 형상이 변경될 수 있다. As shown in FIG. 9, the shape of the blade may be changed according to the design of the contact pad 150a.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description illustrates the present invention. In addition, the above-mentioned contents show preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications may be made within the scope of the concept of the invention disclosed in the present specification, the scope equivalent to the disclosures described above, and / or the skill or knowledge in the art. The described embodiments illustrate the best state for implementing the technical idea of the present invention, and various modifications required in the specific application field and use of the present invention are possible. Thus, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. Also, the appended claims should be construed to include other embodiments.

100 : 반송 로봇 110 : 구동부재
120 : 아암부재 130 : 엔드 이펙터
140 : 블레이드 150 : 접촉 패드
100: transfer robot 110: drive member
120: arm member 130: end effector
140: blade 150: contact pad

Claims (11)

기판을 반송하는 로봇에 있어서,
기판이 안착되는 안착면을 가지는 엔드 이펙터;
상기 엔드 이펙터를 지지하는 적어도 하나의 아암; 및
상기 적어도 하나의 아암을 이동시키는 구동 부재를 포함하되,
상기 엔드 이펙터는,
기판의 후면을 지지하는 복수개의 접촉 패드를 갖는 기판 반송 장치.
In the robot which conveys a board | substrate,
An end effector having a seating surface on which the substrate is seated;
At least one arm supporting the end effector; And
A drive member for moving said at least one arm,
The end effector,
A substrate conveyance apparatus having a plurality of contact pads supporting a rear surface of a substrate.
제1항에 있어서,
상기 접촉 패드는
기판의 중심을 기준으로 방사상으로 배치되는 기판 반송 장치.
The method of claim 1,
The contact pad is
Substrate conveying apparatus disposed radially with respect to the center of the substrate.
제1항에 있어서,
상기 접촉 패드는
기판의 후면과 접촉하는 패드 몸체를 포함하되;
상기 패드 몸체는 기판의 중심을 향해 하향 경사지게 제공되는 기판 반송 장치.
The method of claim 1,
The contact pad is
A pad body in contact with the back side of the substrate;
And the pad body is provided to be inclined downward toward the center of the substrate.
제3항에 있어서,
상기 패드 몸체는
기판 후면과의 접촉 면적을 최소화하기 위해 곡면지게 형성된 상면을 갖는 기판 반송 장치.
The method of claim 3,
The pad body is
A substrate transport apparatus having a top surface curved to minimize contact area with the back surface of the substrate.
제3항에 있어서,
상기 접촉 패드는
상기 패드 몸체의 바깥쪽에 형성되고, 기판의 슬라이딩으로 인한 이탈을 방지하는 방지턱을 더 포함하는 기판 반송 장치.
The method of claim 3,
The contact pad is
It is formed on the outside of the pad body, the substrate conveying apparatus further comprises a bump preventing the separation due to the sliding of the substrate.
제3항에 있어서,
상기 접촉 패드는
저면으로부터 돌출되어 형성되는 적어도 하나 이상의 결합부를 더 포함하고,
상기 엔드 이펙터는
상기 접촉 패드가 안착되는 안착홈; 및
상기 안착홈에 형성되고, 상기 결합부가 삽입되는 삽입구를 포함하는 기판 반송 장치.
The method of claim 3,
The contact pad is
Further comprising at least one coupling portion protruding from the bottom surface,
The end effector
A seating groove in which the contact pad is seated; And
And a insertion hole formed in the seating groove and into which the coupling part is inserted.
내부에 기판이 수용 가능하는 수용 공간을 가지는 기판 수용 유닛과;
상기 수용 공간으로 기판을 반출입하는 반송 로봇을 포함하되,
상기 반송 로봇은,
기판의 후면을 지지하는 복수개의 접촉 패드를 갖는 엔드 이펙터를 포함하는 기판 처리 장치.
A substrate accommodating unit having an accommodating space therein for accommodating the substrate;
Including a transfer robot for carrying in and out of the substrate into the accommodation space,
The transfer robot,
A substrate processing apparatus comprising an end effector having a plurality of contact pads supporting a back side of a substrate.
제7항에 있어서,
상기 접촉 패드는
기판의 후면과 접촉하는 패드 몸체; 및
상기 패드 몸체의 바깥쪽에 형성되고, 기판의 슬라이딩으로 인한 이탈을 방지하는 방지턱을 포함하는 기판 처리 장치.
The method of claim 7, wherein
The contact pad is
A pad body in contact with the back side of the substrate; And
It is formed on the outside of the pad body, the substrate processing apparatus including a bump preventing the separation due to the sliding of the substrate.
제8항에 있어서,
상기 패드 몸체는
기판의 중심을 향해 하향 경사지게 제공되는 기판 처리 장치.
The method of claim 8,
The pad body is
Substrate processing apparatus provided inclined downward toward the center of the substrate.
제8항에 있어서,
상기 패드 몸체는
기판 후면과의 접촉 면적을 최소화하기 위해 곡면지게 형성된 상면을 갖는 기판 처리 장치.
The method of claim 8,
The pad body is
A substrate processing apparatus having a top surface curved to minimize contact area with the back surface of the substrate.
제8항에 있어서,
상기 접촉 패드는
저면으로부터 돌출되어 형성되는 적어도 하나 이상의 결합부를 더 포함하고,
상기 엔드 이펙터는
상기 접촉 패드가 안착되는 안착홈; 및
상기 안착홈에 형성되고, 상기 결합부가 삽입되는 삽입구를 포함하는 기판 처리 장치.
The method of claim 8,
The contact pad is
Further comprising at least one coupling portion protruding from the bottom surface,
The end effector
A seating groove in which the contact pad is seated; And
And an insertion hole formed in the seating groove and into which the coupling part is inserted.
KR1020180071195A 2018-06-21 2018-06-21 Transfer robot and Apparatus for treating substrate with the robot KR20190143590A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180071195A KR20190143590A (en) 2018-06-21 2018-06-21 Transfer robot and Apparatus for treating substrate with the robot

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180071195A KR20190143590A (en) 2018-06-21 2018-06-21 Transfer robot and Apparatus for treating substrate with the robot

Publications (1)

Publication Number Publication Date
KR20190143590A true KR20190143590A (en) 2019-12-31

Family

ID=69051807

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180071195A KR20190143590A (en) 2018-06-21 2018-06-21 Transfer robot and Apparatus for treating substrate with the robot

Country Status (1)

Country Link
KR (1) KR20190143590A (en)

Similar Documents

Publication Publication Date Title
KR101157673B1 (en) Methods and apparatus for repositioning support for a substrate carrier
US11087998B2 (en) Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9437469B2 (en) Inertial wafer centering end effector and transport apparatus
US20130108406A1 (en) High-throughput workpiece handling
KR20160137989A (en) System and method for bi-facial processing of substrates
CN105556652B (en) Processing apparatus for centering a substrate in transit
US20210146554A1 (en) Multi-finger robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
CN1795533A (en) Wafer treatment system having load lock and buffer
CN107887311B (en) Substrate conveying device and substrate conveying method
TWM588883U (en) Semiconductor process module mid ring
KR102416391B1 (en) Substrate processing apparatus
KR102058985B1 (en) Load station
TWI462212B (en) Processing system and processing methods
US11430679B2 (en) Semiconductor manufacturing apparatus
KR100921519B1 (en) Substrate transfering apparatus and facility for treating with the same, and method for trasfering substrate with the apparatus
JPH11288988A (en) Alignment high-speed processing mechanism
US10328580B2 (en) Reduced footprint substrate transport vacuum platform
KR101845797B1 (en) Substrate transfer robot and substrate processing equipment using the same
KR20190143590A (en) Transfer robot and Apparatus for treating substrate with the robot
KR102165815B1 (en) Transfer robot and Apparatus for treating substrate with the robot
KR100858890B1 (en) Transfer module and apparatus for treating substrate with the transfer module, and method for treating substrate
KR100916141B1 (en) Aligner chamber and substrate processing equipment of multi chamber type having the same
KR101383248B1 (en) High speed substrate processing system
US10916464B1 (en) Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US20230274963A1 (en) Semiconductor manufacturing device, wafer conveyance system, wafer conveyance method, and non-transitory computer readable medium for wafer conveyance sytem

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
E601 Decision to refuse application
E801 Decision on dismissal of amendment