KR20190143450A - Apparatus and Method for Examining Reticles - Google Patents

Apparatus and Method for Examining Reticles Download PDF

Info

Publication number
KR20190143450A
KR20190143450A KR1020197035610A KR20197035610A KR20190143450A KR 20190143450 A KR20190143450 A KR 20190143450A KR 1020197035610 A KR1020197035610 A KR 1020197035610A KR 20197035610 A KR20197035610 A KR 20197035610A KR 20190143450 A KR20190143450 A KR 20190143450A
Authority
KR
South Korea
Prior art keywords
reticle
wafer
different
images
test
Prior art date
Application number
KR1020197035610A
Other languages
Korean (ko)
Inventor
압둘라흐만 세즈기너
모하메드 다네쉬파냐
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/803,628 external-priority patent/US10395361B2/en
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Priority to KR1020237015374A priority Critical patent/KR20230070057A/en
Publication of KR20190143450A publication Critical patent/KR20190143450A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Signal Processing (AREA)
  • Library & Information Science (AREA)
  • Toxicology (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

포토리소그래픽 레티클을 자격화하기 위한 방법 및 장치가 개시된다. 레티클 검사 툴은 테스트 레티클의 복수의 패턴 영역 각각으로부터 상이한 이미징 구성에서 복수의 이미지를 획득하는데 사용된다. 레티클 근접장은 테스트 레티클의 각각의 패턴 영역으로부터 획득된 이미지에 기초하여 테스트 레티클의 각각의 패턴 영역에 대하여 복구된다. 그 후, 복구된 레티클 근접장은 테스트 레티클 또는 또 다른 레티클이 불안정한 웨이퍼 패턴 또는 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하는데 사용된다.A method and apparatus for qualifying photolithographic reticles is disclosed. The reticle inspection tool is used to obtain a plurality of images in different imaging configurations from each of the plurality of pattern regions of the test reticle. The reticle near field is recovered for each pattern region of the test reticle based on the image obtained from each pattern region of the test reticle. The recovered reticle near field is then used to determine whether the test reticle or another reticle is expected to result in an unstable wafer pattern or defective wafer.

Description

레티클들을 검사하기 위한 장치 및 방법Apparatus and Method for Examining Reticles

이 출원은 2017년 11월 3일 Rui-fang Shi 등에 의해 출원된 미국 특허 출원 번호 제15/803628호의 우선권의 이익을 주장한다. 이 출원은 또한 2017년 5월 18일 출원된 미국 가출원 번호 제62/508,369호의 우선권의 이익을 주장한다. 이들 출원 및 특허는 모든 목적을 위하여 그 전문이 여기에 참조로 포함된다.This application claims the benefit of priority of US Patent Application No. 15/803628, filed November 3, 2017 by Rui-fang Shi et al. This application also claims the benefit of priority of US Provisional Application No. 62 / 508,369, filed May 18, 2017. These applications and patents are incorporated by reference in their entirety for all purposes.

본 발명은 일반적으로 레티클 검사 분야에 관한 것이다. 보다 구체적으로 본 발명은 패턴 자격화(qualification)에 관한 것이다.The present invention relates generally to the field of reticle inspection. More specifically, the present invention relates to pattern qualification.

일반적으로, 반도체 제조 산업은 실리콘과 같은 기판 상에 적층되고 패터닝된 반도체 재료를 사용하여 집적 회로를 제조하기 위한 매우 복잡한 기술을 수반한다. 대규모 회로 통합 및 반도체 디바이스의 크기 감소로 인해, 제조된 디바이스는 점점 결함에 민감해지고 있다. 즉, 디바이스의 고장을 일으키는 결함이 점점 작아지고 있다. 최종 사용자 또는 고객에게 배송하기 전에 디바이스는 결함이 없다.In general, the semiconductor manufacturing industry involves very complex techniques for fabricating integrated circuits using semiconductor materials stacked and patterned on substrates such as silicon. Due to the large scale of circuit integration and the reduction in the size of semiconductor devices, manufactured devices are becoming increasingly sensitive to defects. In other words, defects causing device failures are becoming smaller. The device is free of defects before shipping to the end user or customer.

집적 회로는 전형적으로 복수의 레티클로부터 제조된다. 초기에 회로 설계자는 특정 집적 회로(IC) 설계를 설명하는 회로 패턴 데이터를 레티클 생산 시스템 또는 레티클 라이터(writer)에 제공한다. 회로 패턴 데이터는 일반적으로 제조된 IC 디바이스의 물리 층의 표현 레이아웃(representational layout)의 형태이다. 표현 레이아웃은 IC 디바이스의 각 물리 층(예를 들어, 게이트 산화물, 폴리실리콘, 금속 배선 등)에 대한 표현 층을 포함하며, 각 표현 층은 특정 IC 디바이스의 층의 패터닝을 정의하는 복수의 다각형으로 구성된다. 레티클 라이터는 회로 패턴 데이터를 사용하여, 나중에 특정 IC 설계를 제조하는 데 사용될 복수의 레티클을 기록한다(예를 들어, 전자 빔 라이터 또는 레이저 스캐너가 레티클 패턴을 노광시키는데 사용된다).Integrated circuits are typically manufactured from a plurality of reticles. Initially, circuit designers provide circuit pattern data to a reticle production system or reticle writer describing a particular integrated circuit (IC) design. The circuit pattern data is generally in the form of a representational layout of the physical layer of the manufactured IC device. The representation layout includes a representation layer for each physical layer (eg, gate oxide, polysilicon, metal wiring, etc.) of the IC device, each representation layer being a plurality of polygons defining the patterning of the layers of a particular IC device. It is composed. The reticle writer uses circuit pattern data to record a plurality of reticles that will later be used to fabricate a particular IC design (e.g., an electron beam writer or laser scanner is used to expose the reticle pattern).

일부 레티클 또는 포토마스크는 적어도 투명 및 불투명 영역, 반투명 및 위상 시프팅 영역, 또는 흡수(absorber) 및 반사 영역을 포함하는 광학 요소의 형태이며, 이는 함께 집적 회로와 같은 전자 디바이스에서 공면(coplanar) 피처의 패턴을 함께 정의한다. 레티클은 포토리소그래피 동안 에칭, 이온 주입 또는 다른 제조 공정을 위한 반도체 웨이퍼의 지정된 영역을 정의하기 위하여 사용된다.Some reticles or photomasks are in the form of optical elements, including at least transparent and opaque regions, translucent and phase shifting regions, or absorbers and reflecting regions, which together coplanar features in electronic devices such as integrated circuits. Define the pattern of. Reticles are used to define a designated area of a semiconductor wafer for etching, ion implantation or other fabrication processes during photolithography.

각각의 레티클 또는 레티클 그룹을 제조한 후, 각각의 새로운 레티클은 전형적으로 웨이퍼 제조에 사용될 자격을 갖춘다. 예를 들어, 레티클 패턴에는 인쇄 가능한 결함이 없을 필요가 있다. 추가적으로, 레티클로 제조된 웨이퍼는 결함이 없을 필요가 있다. 따라서, 개선된 레티클 및 웨이퍼 검사 및 자격화(qualification) 기술에 대한 요구가 계속되고 있다.After making each reticle or group of reticles, each new reticle is typically qualified for use in wafer fabrication. For example, the reticle pattern needs to be free of printable defects. In addition, wafers made from reticles need to be free of defects. Thus, there is a continuing need for improved reticle and wafer inspection and qualification techniques.

다음은 본 발명의 특정 실시 예에 대한 기본적인 이해를 제공하기 위하여 본 개시의 간략화된 요약을 제공한다. 이 요약은 본 개시의 포괄적인 개요가 아니며 본 발명의 핵심/중요한 요소를 식별하거나 본 발명의 범위를 기술하지 않는다. 그것의 유일한 목적은 본 명세서에 개시된 일부 개념을 이후에 제시되는 보다 상세한 설명에 대한 서두로서 간략화된 형태로 제시하는 것이다.The following provides a simplified summary of the disclosure in order to provide a basic understanding of certain embodiments of the present invention. This summary is not an extensive overview of the disclosure and does not identify key / critical elements of the invention or describe the scope of the invention. Its sole purpose is to present some concepts disclosed herein in a simplified form as a prelude to the more detailed description that is presented later.

일 실시 예에서, 포토리소그래픽 레티클을 자격화하는 방법이 개시된다. 테스트 레티클의 복수의 패턴 영역 각각으로부터 상이한 조명 구성 및/또는 상이한 이미징 구성에서 복수의 이미지를 획득하기 위하여 이미징 툴이 사용된다. 레티클 근접장(near field)은 테스트 레티클의 각각의 패턴 영역으로부터 획득된 이미지에 기초하여 테스트 레티클의 각각의 패턴 영역에 대하여 복구(recover)된다. 그 후, 복구된 레티클 근접장은 테스트 레티클 또는 또 다른 레티클이 불안정한 웨이퍼 패턴 또는 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하는데 사용된다.In one embodiment, a method of qualifying a photolithographic reticle is disclosed. Imaging tools are used to obtain a plurality of images in different illumination configurations and / or different imaging configurations from each of the plurality of pattern regions of the test reticle. The reticle near field is recovered for each pattern region of the test reticle based on an image obtained from each pattern region of the test reticle. The recovered reticle near field is then used to determine whether the test reticle or another reticle is expected to result in an unstable wafer pattern or defective wafer.

일 구현에서, 테스트 레티클 또는 또 다른 레티클이 불안정한 웨이퍼 패턴 또는 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 레티클 근접장이 직접 분석된다. 다른 양태에서, 복구된 레티클 근접장은 테스트 레티클에서, 또는 복구된 레티클 근접장으로부터 시뮬레이션된 시뮬레이션 웨이퍼 이미지에서 결함을 검출하는데 사용되며, 결함 검출은 상이한 시간에서 동일한 다이에 대하여, 인접한 다이들에 대하여, 다이 및 그에 대응하는 골든 다이에 대하여, 또는 다이 및 테스트 레티클과 동일한 설계를 갖는 레티클 사본으로부터의 대응 다이에 대하여 세기 및/또는 위상을 비교하는 것을 포함한다. In one implementation, the reticle near field is analyzed directly to determine whether a test reticle or another reticle is expected to result in an unstable wafer pattern or defective wafer. In another aspect, the recovered reticle near field is used to detect defects in a test reticle or in a simulated wafer image simulated from the recovered reticle near field, where defect detection is performed on adjacent dies for the same die at different times. And comparing the intensity and / or phase for the corresponding golden die or for a corresponding die from a reticle copy having the same design as the die and test reticle.

일 양태에서, 이미지는 필드 평면 또는 동공 평면에서 획득된다. 특정 실시 예에서, 레티클 근접장은 레티클을 제조하는데 사용된 설계 데이터베이스를 사용하지 않고 복구된다. 다른 양태에서, 획득된 이미지는 동일한 레티클 근접장을 초래하도록 선택된 상이한 이미징 조건에서 획득되는 적어도 3 개의 반사/투과 이미지를 포함한다. 이 양태에서, 상이한 이미징 조건은 상이한 포커스 설정 및 상이한 동공 형상을 포함하고, 상이한 조명 조건은 상이한 소스 세기 분포 및/또는 편광 설정을 포함한다.In one aspect, the image is obtained in the field plane or pupil plane. In certain embodiments, the reticle near field is recovered without using the design database used to manufacture the reticle. In another aspect, the acquired image comprises at least three reflected / transmissive images obtained at different imaging conditions selected to result in the same reticle near field. In this aspect, different imaging conditions include different focus settings and different pupil shapes, and different illumination conditions include different source intensity distributions and / or polarization settings.

대안적인 구현에서, 방법은 (i) 복수의 테스트 웨이퍼 이미지를 시뮬레이션하기 위하여 테스트 레티클에 대한 레티클 근접장에 리소그래피 모델을 적용하는 단계 및 (ii) 테스트 레티클이 불안정한 또는 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 시뮬레이션된 테스트 웨이퍼 이미지를 분석하는 단계를 포함한다. 이 양상에서, 리소그래피 모델은 포토리소그래피 공정을 시뮬레이션하도록 구성된다. 다른 양태에서, 리소그래피 모델은 테스트 레티클 또는 또 다른 레티클 또는 웨이퍼의 이미지를 획득하기 위하여 검사 툴의 조명 형태와 상이한 형상을 갖는 조명원을 시뮬레이션한다. 다른 양태에서, 리소그래피 모델은 캘리브레이션 레티클을 위한 설계 데이터베이스로부터 렌더링된 이미지로 캘리브레이션된다. 다른 예에서, 리소그래피 모델은 캘리브레이션 레티클로부터 획득된 이미지로 캘리브레이션된다. 또 다른 양태에서, 리소그래피 모델은 복수의 상이한 리소그래피 공정 조건 하에서, 테스트 레티클에 대하여 복구된 레티클 근접장에 적용되고, 시뮬레이션된 테스트 웨이퍼 이미지를 분석하는 것은 상이한 공정 조건 및 동일한 레티클 영역과 관련된 시뮬레이션된 테스트 이미지의 부분들을 비교함으로써 테스트 레티클이 상이한 리소그래피 공정 조건 하에서 불안정한 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하는 것을 포함한다. In an alternative implementation, the method may comprise (i) applying a lithographic model to the reticle near field for the test reticle to simulate a plurality of test wafer images and (ii) whether the test reticle is expected to result in an unstable or defective wafer. Analyzing the simulated test wafer image to determine. In this aspect, the lithography model is configured to simulate the photolithography process. In another aspect, the lithographic model simulates an illumination source having a shape different from the illumination form of the inspection tool to obtain an image of a test reticle or another reticle or wafer. In another aspect, the lithographic model is calibrated with an image rendered from a design database for a calibration reticle. In another example, the lithographic model is calibrated with an image obtained from a calibration reticle. In another aspect, a lithographic model is applied to a reticle near field recovered for a test reticle under a plurality of different lithography process conditions, and analyzing the simulated test wafer image is a simulated test image associated with different process conditions and the same reticle area. Comparing the parts of the method includes determining whether the test reticle is expected to result in an unstable wafer under different lithographic process conditions.

대안적인 실시 예에서, 본 발명은 포토리소그래픽 레티클을 자격화하기 위한 검사 시스템에 관한 것이다. 시스템은 입사 빔을 생성하기 위한 광원 및 입사 빔을 레티클로 지향시키기 위한 조명 광학 기기(illumination optics) 모듈을 포함한다. 시스템은 또한 레티클의 각각의 패턴 영역으로부터의 출력 빔을 지향시키기 위한 수집 광학 기기(collection optics) 모듈, 및 출력 빔을 검출하고 출력 빔에 기초하여 이미지 또는 신호를 생성하기 위한 적어도 하나의 센서를 포함한다. 시스템은 전술한 방법 동작들 중 하나 이상과 유사한 동작을 수행하도록 구성된 제어기를 더 포함한다.In an alternative embodiment, the present invention relates to an inspection system for qualifying photolithographic reticles. The system includes a light source for generating an incident beam and an illumination optics module for directing the incident beam to a reticle. The system also includes a collection optics module for directing the output beam from each pattern region of the reticle, and at least one sensor for detecting the output beam and generating an image or signal based on the output beam. do. The system further includes a controller configured to perform an operation similar to one or more of the foregoing method operations.

본 발명의 이들 및 다른 측면은 도면을 참조하여 아래에 더 설명된다.These and other aspects of the invention are further described below with reference to the drawings.

도 1은 본 발명의 일 실시 예에 따른 마스크 근접장 복구 절차를 도시한 흐름도이다.
도 2는 본 발명의 특정 구현에 따른 모델 캘리브레이션 공정을 도시한 흐름도이다.
도 3은 본 발명의 일 실시 예에 따른 레티클 자격화 공정을 나타내는 흐름도를 도시한다.
도 4a는 본 발명의 예시적인 응용에 따라 레티클 패턴 안정성을 결정하기 위한 공정을 나타내는 흐름도이다.
도 4b는 본 발명의 다른 실시 예에 따른 결함 검사 절차를 도시한 흐름도이다.
도 5는 본 발명의 대안적인 실시 예에 따른 복구된 마스크 근접장 이미지 또는 결과에 적용되는 레티클 자격화 공정을 도시한 흐름도이다.
도 6은 본 발명의 기술이 구현될 수 있는 예시적인 검사 시스템의 개략도이다.
도 7a는 특정 실시 예에 따라 포토마스크로부터 웨이퍼로 마스크 패턴을 전사하기 위한 리소그래피 시스템의 간략화된 개략도이다.
도 7b는 특정 실시 예에 따른 포토마스크 검사 장치의 개략도를 제공한다.
1 is a flowchart illustrating a mask near field recovery procedure according to an embodiment of the present invention.
2 is a flowchart illustrating a model calibration process according to a particular implementation of the invention.
3 is a flowchart illustrating a reticle qualification process according to an embodiment of the present invention.
4A is a flow diagram illustrating a process for determining reticle pattern stability in accordance with an exemplary application of the present invention.
4B is a flowchart illustrating a defect inspection procedure according to another embodiment of the present invention.
5 is a flowchart illustrating a reticle qualification process applied to a repaired mask near field image or result in accordance with an alternative embodiment of the present invention.
6 is a schematic diagram of an exemplary inspection system in which the techniques of the present invention may be implemented.
7A is a simplified schematic diagram of a lithographic system for transferring a mask pattern from a photomask to a wafer in accordance with certain embodiments.
7B provides a schematic diagram of a photomask inspection apparatus, in accordance with certain embodiments.

다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위하여 다수의 특정 세부 사항이 설명된다. 본 발명은 이들 특정 세부 사항의 일부 또는 전부 없이 실시될 수 있다. 다른 경우에, 공지된 공정 동작 또는 장치 컴포넌트는 본 발명을 불필요하게 모호하게 하지 않기 위하여 상세히 설명되지 않았다. 본 발명은 특정 실시 예와 관련하여 설명될 것이지만, 본 발명을 실시 예로 제한하려는 것이 아님을 이해할 것이다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The invention may be practiced without some or all of these specific details. In other instances, well known process operations or apparatus components have not been described in detail in order not to unnecessarily obscure the present invention. While the invention will be described in connection with specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.

마스크를 제조 시설로 운송하기 전에, 제조를 위하여 그러한 마스크를 사용하여 웨이퍼를 제조하기 전에, 및/또는 그러한 마스크가 제조 공정에서 특정 기간 동안 사용된 후 그러한 마스크의 주기적 재자격화(requalification)를 위하여, 각각의 마스크의 결함을 검출하고 마스크의 다양한 양태(예를 들어, 패턴 안정성, CD, CD 균일성)를 특징분석하는 것이 유리할 것이다. Prior to shipping the mask to a manufacturing facility, prior to manufacturing the wafer using such a mask for manufacturing, and / or for periodic requalification of such mask after such mask has been used for a certain period of time in the manufacturing process, It would be advantageous to detect defects in each mask and to characterize various aspects of the mask (eg, pattern stability, CD, CD uniformity).

본 발명의 일 실시 예는 복수의 상이한 이미징 파라미터 하에서 검사 툴로부터 획득된 레티클 이미지에 기초하여 레티클의 근접장 이미지를 복구하는 기술을 포함한다. 그 후 이 레티클 근접장 이미지는 다수의 레티클 자격화 애플리케이션에서 사용될 수 있다. 일 예에서, 레티클 근접장 이미지는 리소그래피 모델에 입력되어, 웨이퍼 이미지 또는 결과 패턴이 웨이퍼 상에 어떻게 인쇄될 것인지에 관한 다양한 웨이퍼 패턴 특성을 예측할 수 있다. 예측된 웨이퍼 이미지 및/또는 다양한 웨이퍼 특성은 그 후 결함 검출, 레티클 자격화 또는 재자격화, 및/또는 임의의 다른 적절한 계측 또는 검사 애플리케이션을 위하여 분석될 수 있다. 레티클 근접장 이미지 자체는 본 명세서에서 더 설명되는 바와 같이 다양한 목적을 위하여 분석될 수도 있다.One embodiment of the present invention includes a technique for recovering a near field image of a reticle based on a reticle image obtained from an inspection tool under a plurality of different imaging parameters. This reticle near field image can then be used in multiple reticle qualification applications. In one example, the reticle near field image can be input to a lithography model to predict various wafer pattern characteristics regarding how the wafer image or resulting pattern will be printed on the wafer. The predicted wafer image and / or various wafer characteristics can then be analyzed for defect detection, reticle qualification or requalification, and / or any other suitable metrology or inspection application. The reticle near field image itself may be analyzed for various purposes as further described herein.

용어 "레티클", "마스크" 및 "포토마스크"는 여기서 상호 교환적으로 사용되며, 일반적으로 각각은 투명 기판, 예를 들어 유리, 붕규산 유리, 석영, 또는 불투명 물질 층이 그 위에 형성된 용융 실리카를 포함할 수 있다. 불투명한(또는 실질적으로 불투명한) 재료는 포토리소그래피 광(예를 들어, 심자외선 또는 극자외선)을 완전히 또는 부분적으로 차단하는 임의의 적합한 재료를 포함할 수 있다. 예시적인 재료는 크롬, 몰리브덴 실리사이드(MoSi), 탄탈륨 실리사이드, 텅스텐 실리사이드, OMOG(opaque MoSi on glass) 등을 포함한다. 폴리실리콘 막은 또한 불투명 층과 투명 기판 사이에 추가되어 접착력을 향상시킬 수 있다. 몰리브덴 산화물(MoO2), 텅스텐 산화물(WO2), 티타늄 산화물(TiO2), 또는 크롬 산화물(CrO2)과 같은 저 반사 막이 불투명 물질 위에 형성될 수 있다. 구체적인 예에서, EUV 레티클은 (몰리브덴(Mo) 및 실리콘(Si)과 같은) 낮은 흡수 특성을 갖는 상이한 굴절률의 교번 층 및 흡수 재료(예를 들어, 얇은 반사 방지 산화물로 덮인 탄탈 붕소 질화막)를 갖는 다중 층을 포함할 수 있다.The terms “reticle”, “mask” and “photomask” are used interchangeably herein, and each generally refers to a fused silica formed thereon with a transparent substrate, for example a layer of glass, borosilicate glass, quartz, or an opaque material. It may include. The opaque (or substantially opaque) material may include any suitable material that completely or partially blocks photolithographic light (eg, deep ultraviolet or extreme ultraviolet). Exemplary materials include chromium, molybdenum silicide (MoSi), tantalum silicide, tungsten silicide, opaque MoSi on glass (OMGG), and the like. Polysilicon films may also be added between the opaque layer and the transparent substrate to improve adhesion. A low reflective film such as molybdenum oxide (MoO 2 ), tungsten oxide (WO 2 ), titanium oxide (TiO 2 ), or chromium oxide (CrO 2 ) may be formed over the opaque material. In a specific example, an EUV reticle has alternating layers of different refractive indices with low absorption properties (such as molybdenum (Mo) and silicon (Si)) and absorbing materials (e.g. tantalum boron nitride film covered with a thin antireflective oxide) It may include multiple layers.

레티클이라는 용어는 명시야(clear-field) 레티클, 암시야(dark-field) 레티클, 이진 레티클, 위상 시프트 마스크(phase-shift mask, PSM), 교번 PSM, 감쇠 또는 하프 톤 PSM, 3원 감쇠 PSM, 크롬 없는 위상 리소그래피 PSM 및 크롬 없는 위상 리소그래피(chromeless phase lithography, CPL)를 포함하지만 이에 제한되지 않는 상이한 유형의 레티클을 지칭한다. 명시야 레티클에는 투명한 필드 또는 배경 영역이 있고, 암시야 레티클에는 불투명한 필드 또는 배경 영역이 있다. 이진 레티클은 투명하거나 불투명한 패터닝된 영역을 갖는 레티클이다. 예를 들어, 크롬 금속 흡착 막에 의해 정의된 패턴을 갖는 투명한 용융 실리카 블랭크로 제조된 포토마스크가 사용될 수 있다. 이진 레티클은 위상 변이 마스크(PSM)와는 상이한데, 그 중 하나의 유형은 광을 부분적으로만 투과시키는 막을 포함할 수 있으며, 이러한 레티클은 일반적으로 ArF 및 KrF 마스크와 같은 하프 톤 또는 EPSM(embedded phase-shift mask)로 지칭될 수 있다. 위상 변이 물질이 레티클의 교번 클리어 공간에 배치되면, 레티클을 교번 PSM, ALT PSM 또는 레벤슨(Levenson) PSM이라고 한다. 무작위 레이아웃 패턴에 적용되는 한 가지 유형의 위상-시프팅 재료는 감쇠 또는 하프 톤 PSM으로 지칭되며, 이는 불투명한 재료를 부분적으로 투과성 또는 "하프 톤" 막으로 대체함으로써 제조될 수 있다. 3원 감쇠 PSM은 또한 완전히 불투명한 피처를 포함하는 감쇠 PSM이다.The term reticle refers to clear-field reticles, dark-field reticles, binary reticles, phase-shift masks (PSMs), alternating PSMs, attenuated or halftone PSMs, and ternary attenuated PSMs. Refers to different types of reticles including, but not limited to, chromium-free phase lithography PSM and chromeless phase lithography (CPL). Brightfield reticles have transparent field or background areas, while darkfield reticles have opaque field or background areas. Binary reticles are reticles that have transparent or opaque patterned areas. For example, a photomask made of a transparent fused silica blank having a pattern defined by a chromium metal adsorption film can be used. Binary reticles are different from phase shift masks (PSMs), one type of which may include a membrane that only partially transmits light, such reticles typically being halftones or embedded phases such as ArF and KrF masks. -shift mask). When the phase shift material is placed in the alternating clear space of the reticle, the reticle is referred to as alternating PSM, ALT PSM or Levenson PSM. One type of phase-shifting material applied to a random layout pattern is referred to as an attenuating or halftone PSM, which can be made by partially replacing the opaque material with a transmissive or "halftone" film. The ternary attenuation PSM is also an attenuation PSM that includes fully opaque features.

차세대 리소그래피는 유리뿐만 아니라 일반 대기에서 흡수되는 자외선 방사(EUV, 파장 13.5nm)의 사용을 도입되게 하였다. 이러한 이유로, 리소그래피 EUV 공정은 진공 하에서 일어나고, 광학 반사 렌즈/미러는 반투명 및 불투명 패턴 대신 반사 및 흡수 패턴을 가지게 될 EUV 포토마스크에 포커스를 맞추기 위하여 사용된다.Next-generation lithography has introduced the use of ultraviolet radiation (EUV, wavelength 13.5 nm), which is absorbed not only in glass but also in the general atmosphere. For this reason, lithographic EUV processes occur under vacuum, and optical reflecting lenses / mirrors are used to focus EUV photomasks that will have reflection and absorption patterns instead of translucent and opaque patterns.

도 1은 본 발명의 일 실시 예에 따른 마스크 근접장 복구 절차(100)를 도시한 흐름도이다. 다음 마스크 복구 공정(100)은 복구된 마스크 근접장에 대한 다양한 사용 사례에서 아래에 더 설명되는 바와 같이 레티클의 수명 주기에서 임의의 적절한 시간에 특정 레티클 또는 레티클 세트에 대하여 수행될 수 있다. 예로서, 마스크 근접장은 그러한 레티클(들)을 갖는 임의의 웨이퍼를 제조하기 전에, 대량 웨이퍼 제조를 시작하기 전에, 또는 이러한 레티클(들)을 재자격화하는 동안 복구될 수 있다.1 is a flowchart illustrating a mask near-field recovery procedure 100 according to an embodiment of the present invention. The next mask recovery process 100 may be performed for a particular reticle or set of reticles at any suitable time in the life cycle of the reticle as described further below in various use cases for the recovered mask near field. By way of example, the mask near-field may be recovered prior to manufacturing any wafer having such reticle (s), before commencing bulk wafer fabrication, or during requalification of such reticle (s).

초기에, 마스크의 적어도 3 개의 이미지는 동작(102)에서 마스크 검사 툴을 사용하여 상이한 이미징 구성에서 획득된다. 대안적으로, 2 개의 이미지가 사용될 수 있지만, 3 개의 이미지를 사용하는 것이 잘 작동하는 것으로 밝혀졌다. 상이한 이미징 구성을 통한 획득은 동시적(simultaneous) 또는 순차적(sequential)일 수 있다. 획득된 이미지는 필드 평면에 있을 필요는 없다. 예로서, 회절 세기가 직접 액세스될 수 있는 동공 평면에서 2 개 이상의 이미지가 획득될 수 있다.Initially, at least three images of the mask are obtained in different imaging configurations using a mask inspection tool in operation 102. Alternatively, two images can be used, but it has been found that using three images works well. Acquisition through different imaging configurations can be simultaneous or sequential. The acquired image does not need to be in the field plane. By way of example, two or more images can be obtained in the pupil plane in which diffraction intensities can be directly accessed.

2 개 이상의 이미지를 획득하기 위하여 조명 및/또는 수집 구성의 다양한 적절한 조합이 이용될 수 있다. 상이한 이미징 구성은 일반적으로 마스크 근접장이 계산될 수 있는 이미지를 제공하도록 선택된다. 마스크 근접장이 상이한 동작 조건 하에서 동일하게 유지되도록 임의의 적절한 이미징 또는 광학 구성이 선택될 수 있다. 예는 상이한 포커스 설정, 상이한 조명 형상(예를 들어, 상이한 방향 또는 패턴), 전체 조명 동공 또는 조명 동공의 상이한 부분에 대한 상이한 편광, 수집 빔의 상이한 부분을 모호하게 하는 상이한 아포디제이션(apodization) 설정 등을 포함한다. 일 실시 예에서, 포커스 및 디포커스(0 포커스, ±800 또는 ±1600 디포커스 등)를 통한 상이한 포커스 설정을 사용하여 상이한 이미지를 얻을 수 있다. 다른 예에서, 조명 동공의 상이한 사분면은 상이한 편광 설정을 가질 수 있다. 다른 예에서, 이미징 구성은 상이한 동공 형상 및/또는 상이한 포커스 조건을 갖는 투과된 이미지(예를 들어, ArF 마스크의 경우)와 같은 고해상도 이미지를 포함할 수 있다. 다른 실시 예에서, 상이한 동공 형상 및/또는 상이한 포커스 조건을 갖는 3 개 이상의 반사된 이미지가 획득될 수 있다(예를 들어, EUV 마스크의 경우).Various suitable combinations of lighting and / or collection configurations may be used to obtain two or more images. Different imaging configurations are generally chosen to provide an image from which the mask near field can be calculated. Any suitable imaging or optical configuration can be selected so that the mask near field remains the same under different operating conditions. Examples include different focus settings, different illumination shapes (eg, different orientations or patterns), different polarizations for the entire illumination pupil or different portions of the illumination pupil, different apodizations that obscure different portions of the collection beam. Settings and the like. In one embodiment, different images can be obtained using different focus settings through focus and defocus (such as zero focus, ± 800 or ± 1600 defocus, etc.). In another example, different quadrants of the illumination pupil can have different polarization settings. In another example, the imaging configuration may include a high resolution image, such as a transmitted image (eg, for an ArF mask) having different pupil shapes and / or different focus conditions. In other embodiments, three or more reflected images having different pupil shapes and / or different focus conditions can be obtained (eg, for EUV masks).

레티클은 비교적 낮은 NA(예를 들어, 0.5 미만)를 사용하여 "실질적으로 낮은 해상도"로 이미징될 수 있다. 대조적으로, "실질적으로 고해상도 이미지"는 일반적으로 레티클 상에 인쇄된 피처가 (이미지를 생성하는데 사용되는 레티클 검사 시스템의 광학적 한계 내에서) 레티클 상에 형성될 때, 실질적으로 나타나는 레티클의 이미지를 지칭한다. 레티클의 "실질적으로 고해상도 이미지"는 실질적으로 고해상도 레티클 검사 시스템(예를 들어, 0.8보다 NA)으로 레티클 평면에서 물리적 레티클을 이미징함으로써 생성되는 이미지이다. 레티클 이미지를 생성하기 위하여 사용된 "실질적으로 낮은 NA"는 레티클의 이미지를 웨이퍼 상에 투영하기 위하여 노광/리소그래피 시스템에 의해 사용되는 레티클 측의 NA와 실질적으로 동일할 수 있어서, 레티클의 피처를 웨이퍼 상으로 전사할 수 있다. 실질적으로 낮은 NA 이미지(또는 LNI)에서, 레티클 피처는 실제 레티클 피처와 실질적으로 상이한 외관을 가질 수 있다. 예를 들어, 레티클 피처는 레티클 상에 형성된 실제 피처보다 피처의 LNI에서 모서리가 더 둥근 것처럼 보일 수 있다.The reticle can be imaged at "substantially low resolution" using a relatively low NA (eg, less than 0.5). In contrast, “substantially high resolution image” generally refers to an image of the reticle that appears substantially when features printed on the reticle are formed on the reticle (within the optical limits of the reticle inspection system used to generate the image). do. A “substantially high resolution image” of a reticle is an image generated by imaging a physical reticle in the reticle plane with a substantially high resolution reticle inspection system (eg, NA greater than 0.8). The "substantially low NA" used to generate the reticle image may be substantially the same as the NA on the reticle side used by the exposure / lithography system to project the image of the reticle onto the wafer, thereby bringing the features of the reticle to the wafer. Can be transferred to a statue. In a substantially low NA image (or LNI), the reticle feature may have a substantially different appearance than the actual reticle feature. For example, the reticle feature may appear to have rounded corners in the LNI of the feature than the actual feature formed on the reticle.

일반적으로, 마스크 근접장 복구 공정을 위하여 임의의 적절한 이미징 툴이 사용될 수 있다. 본 명세서에 기재된 특정 실시 예에서, 초기 복구 공정의 결과는 특정 검사 툴로부터의 추가적인 레티클 이미지에 기초하여 동일한 레티클 또는 다른 레티클에 관한 패턴 안정성 또는 결함 검출 평가를 위하여 나중에 사용될 수 있다. 이러한 사용 사례에서의 일관성을 위하여, 마스크 근접장 복구를 위한 레티클의 이미지는 동일한 또는 다른 레티클의 후속 검사에 사용될 레티클 검사 시스템의 검출기로 획득될 수 있거나, 유사하게 구성된 레티클 검사 시스템(예를 들어, 검사에 사용될 레티클 검사 시스템과 동일한 제조사 및 모델의 상이한 레티클 검사 시스템)의 유사하게 구성된 검출기로 획득될 수 있다. 다시 말해서, 마스크 복구에 사용될 수 있는 이미지는 후속 마스크 검사 또는 자격화 공정 동안 사용될 것과 동일한 광학 조건 하에서 획득될 수 있다. 이러한 방식으로, 레티클과 검사 시스템의 조명 전자기파의 상호 작용은 가능한 한 직접 측정될 수 있다.In general, any suitable imaging tool may be used for the mask near field recovery process. In certain embodiments described herein, the results of the initial repair process can be used later for evaluating pattern stability or defect detection for the same or other reticles based on additional reticle images from a particular inspection tool. For consistency in this use case, an image of the reticle for mask near-field recovery can be obtained with a detector of a reticle inspection system to be used for subsequent inspection of the same or another reticle, or a similarly configured reticle inspection system (eg, inspection Can be obtained with a similarly configured detector of different reticle inspection systems of the same make and model as the reticle inspection system to be used for. In other words, an image that can be used for mask recovery can be obtained under the same optical conditions as will be used during subsequent mask inspection or qualification processes. In this way, the interaction of the reticle with the illumination electromagnetic waves of the inspection system can be measured as directly as possible.

대안적인 실시 예에서, 마스크 근접장 복구에 사용되는 툴은 레티클 검사 시스템과 상이할 수 있다. 예를 들어, 이미징 툴은 레티클이 웨이퍼 제조에 사용될 리소그래피 시스템과 동일한 파장(예를 들어, 파장(DUV의 경우 193.3nm 또는 EUV의 경우 13.5nm))을 이용할 수 있다. 실제로, 임의의 적당한 전자기 파장이 마스크 근접장 복구에 사용될 수 있다.In alternative embodiments, the tool used for mask near field recovery may be different from the reticle inspection system. For example, the imaging tool may use the same wavelength as the lithography system in which the reticle will be used for wafer fabrication (eg, wavelength (193.3 nm for DUV or 13.5 nm for EUV)). In practice, any suitable electromagnetic wavelength can be used for mask near field recovery.

예시된 예를 다시 참조하면, 3 개 이상의 이미지가 그 후 서로에 대하여 정렬될 수 있거나, 동작(104)에서 각각의 이미지가 사후-OPC 데이터베이스에 정렬될 수 있다. 예를 들어, 획득된 이미지는 공간-도메인 또는 주파수-도메인 방법을 통해 정렬될 수 있다. 정렬 조정은 사용 중인 이미징 시스템의 특정 지오메트리에 따라 달라질 수 있다. 상이한 수집 경로를 사용하여 상이한 이미지가 얻어진다면, 광학 경로의 차이를 보상하기 위하여 이미지를 약간 조정할 수 있다.Referring back to the illustrated example, three or more images may then be aligned with respect to each other, or each image in operation 104 may be aligned in a post-OPC database. For example, the acquired images can be aligned via a spatial-domain or frequency-domain method. Alignment adjustments may vary depending on the particular geometry of the imaging system in use. If different images are obtained using different collection paths, the image can be slightly adjusted to compensate for differences in the optical paths.

이미징 툴에서, 다양한 패턴을 갖는 레티클은 많은 방향으로부터 입사하는 전자기(EM) 파에 의해 조명된다. 이 입사광은 서로 상이하게 간섭하는 상이한 전자기장 위상에서 마스크 패턴의 상이한 지점들로부터 회절된다. 레티클의 근접장은 레티클로부터 몇 파장의 근접 거리에 있는 전자기장이다.In imaging tools, reticles with various patterns are illuminated by electromagnetic (EM) waves incident from many directions. This incident light is diffracted from different points of the mask pattern at different electromagnetic field phases which interfere with each other differently. The near field of a reticle is an electromagnetic field at a few wavelengths from the reticle.

수집 광학 기기는 일반적으로 이미지의 형성을 위하여 레티클로부터의 광의 회절 제한 부분을 검출기(또는 웨이퍼) 쪽으로 향하게 한다. 검출기는 마스크 근접장으로 인한 간섭의 결과인 세기를 검출하지만 위상을 검출하지는 않는다.Acquisition optics generally direct the diffraction limiting portion of the light from the reticle towards the detector (or wafer) to form an image. The detector detects the intensity, which is the result of the interference due to the mask near field, but does not detect the phase.

검출된 신호에서 원거리장(far-field) 세기가 얻어지지만, 진폭 및 위상을 포함하는 마스크 근접장을 복구하는 것이 바람직하다. 도시된 실시 예에서, 마스크 근접장은 동작(106)에 도시된 바와 같이 이러한 획득된 마스크 이미지에 기초하여 복구 및 저장된다. 위상 및 진폭 성분을 포함하는 마스크 근접장을 복구하기 위하여 다수의 이미지(또는 신호)가 일반적으로 사용된다. 근접장 데이터는 레티클로부터 획득된 이미지에 기초하여 회귀(regression) 기술에 의해 결정될 수 있다. 예를 들어, 레티클의 선택된 부분의 근접장은 준-뉴턴(quasi-Newton) 또는 켤레 구배(conjugate gradient) 기법을 사용하여, 획득된 광학 이미지 또는 검출기 평면에 기록된 이미지의 세기로부터 회복(회귀)될 수 있다. 또한, 하나 이상의 실제 이미지로부터 근접장 데이터를 결정하기 위하여 임의의 다른 적절한 회귀 방법 및/또는 알고리즘이 사용될 수 있다.While far-field intensities are obtained in the detected signal, it is desirable to recover the mask near-field, including amplitude and phase. In the illustrated embodiment, the mask near field is recovered and stored based on this acquired mask image as shown in operation 106. Multiple images (or signals) are commonly used to recover a mask near field including phase and amplitude components. The near field data may be determined by a regression technique based on the image obtained from the reticle. For example, the near field of a selected portion of the reticle can be recovered (regressed) from the intensity of the image obtained on the acquired optical image or detector plane using quasi-Newton or conjugate gradient techniques. Can be. In addition, any other suitable regression method and / or algorithm may be used to determine the near field data from one or more real images.

마스크 근접장 복구는 일반적으로 관찰된 세기 이미지와 가정된 마스크 광학 필드의 결과 이미지 사이의 차이를 최소화하려는 최적화 문제를 해결함으로써 달성될 수 있다. 특히, 세기 이미지로부터 레티클의 근접장을 복구하는 것은 역 문제 또는 회귀 문제이다. 근접장은 비용 함수(예를 들어, 에너지 또는 페널티 함수)를 최소화함으로써 반복적으로 복구할 수 있다. 최소화된 양은 마스크 근접장으로부터 계산된 검출기에서의 획득된 이미지와 세기 이미지 사이의 제곱 차의 합일 수 있다. 다시 말해서, 다양한 광학 시스템 특성 세트에 대하여 최종 마스크 근접장으로부터 세기 이미지가 계산될 수 있으며, 이러한 계산된 이미지는 마스크 근접장이 발견될 때 획득된 이미지와 가장 근접하게 일치할 것이다. 다양한 마스크 근접장 복구 방법론 및 시스템 실시 예는 2016년 10월 25일에 발행된 Abdurrahman Sezginer 등의 미국 특허 제9,478,019호에 추가로 기술되어 있으며, 이 특허는 모든 목적을 위하여 그 전문이 본 명세서에 참조로 포함된다.Mask near-field recovery can generally be achieved by solving an optimization problem that attempts to minimize the difference between the observed intensity image and the resulting image of the assumed mask optical field. In particular, recovering the near field of the reticle from the intensity image is an inverse problem or a regression problem. The near field can be recovered repeatedly by minimizing the cost function (eg, energy or penalty function). The minimized amount may be the sum of the squared difference between the acquired image and the intensity image at the detector calculated from the mask near field. In other words, an intensity image can be computed from the final mask near field for various sets of optical system properties, which will most closely match the image obtained when the mask near field is found. Various mask near-field recovery methodologies and system embodiments are further described in US Pat. No. 9,478,019 to Abdurrahman Sezginer et al., Issued October 25, 2016, which is hereby incorporated by reference in its entirety for all purposes. Included.

다양한 광학 조건 하에서 다수의 이미지가 획득되는 경우, 위상 및 진폭 정보를 전달하는 복구된 근접장 마스크(m)는 다음 식에 의해 결정될 수 있다:When multiple images are obtained under various optical conditions, the recovered near field mask m conveying phase and amplitude information can be determined by the following equation:

Figure pct00001
Figure pct00001

상기 수학식 1에서, Iα는 이미징 조건 α에 대한 측정된 이미지이고,

Figure pct00002
는 검사 이미징 시스템을 기술하는 고유벡터(eigenvector)의 세트이고,
Figure pct00003
는 이미징 시스템에 대한 대응 고유값(eigenvalue)의 세트이며, cα는 0과 1 사이의 음이 아닌 가중 팩터이다. 상기 수학식은 예를 들어, 준-뉴턴 또는 켤레 구배와 같은 방법을 통해 반복적으로 풀 수 있다.In Equation 1, I α is a measured image for the imaging condition α,
Figure pct00002
Is a set of eigenvectors describing the inspection imaging system,
Figure pct00003
Is the set of corresponding eigenvalues for the imaging system, and c α is a nonnegative weighting factor between 0 and 1. The equation can be solved iteratively by a method such as quasi-Newton or conjugate gradient, for example.

다른 예는 필드 평면 이미지와 동공 평면 회절 차수의 조합이 객체의 진폭 및 위상을 해결하기 위하여 이용될 수 있는 Gerchberg-Saxton 알고리즘이다.Another example is the Gerchberg-Saxton algorithm, where a combination of field plane images and pupil plane diffraction orders can be used to solve the amplitude and phase of an object.

일 실시 예에서, 마스크 근접장은 홉킨스 근사를 통해 획득된 이미지에 기초하여 결정될 수 있다. 다른 실시 예에서, 회귀는 얇은-마스크(thin-mask) 근사치를 포함하지 않는다. 예를 들어, 레티클의 근접장은 레티클이 수직으로 입사하는 평면파에 의해 조명될 때 레티클의 표면 근처에 존재하도록 계산된 전자기장이다. 리소그래피 및 검사에서, 레티클은 많은 방향으로부터 입사하는 평면파에 의해 조명된다. 홉킨스 근사에 따르면, 입사 방향이 변할 때, 회절 차수의 방향은 변하지만 그 진폭 및 위상은 거의 변하지 않고 유지된다. 본 명세서에 기술된 실시 예는 홉킨스의 위상 근사를 사용할 수 있지만, 소위 얇은-마스크 또는 키르히호프 근사를 만들지는 않는다.In one embodiment, the mask near field may be determined based on an image obtained through Hopkins approximation. In other embodiments, the regression does not include a thin-mask approximation. For example, the near field of a reticle is an electromagnetic field calculated to exist near the surface of the reticle when the reticle is illuminated by a plane wave incident vertically. In lithography and inspection, the reticle is illuminated by plane waves incident from many directions. According to the Hopkins approximation, when the direction of incidence changes, the direction of the diffraction orders changes but its amplitude and phase remain almost unchanged. The embodiments described herein may use Hopkins' phase approximation, but do not make so-called thin-mask or Kirchhoff approximations.

또한, 복구 공식(formulation)은 상이한 놈(norm) 또는 정규화(regularization) 항 R의 추가에 의해 변할 수 있으며, 이는 다음과 같이 근접장에서 진동을 불리하게 한다(penalize):In addition, the recovery formula can be changed by the addition of different norms or regularization terms R, which penalizes vibration in the near field as follows:

Figure pct00004
Figure pct00004

여기서 정규화 항 R은 마스크 기판/재료의 물리적 이해에 기초하여 근접장 또는 기대치에 관한 사전(prior) 정보를 포함할 수 있다. 또한 이미지 차이에 사용되는 놈은 1-놈일 수 있으며 최적화 함수의 특정 요구에 따라 조정될 수 있다.Wherein the normalization term R may comprise prior information about the near field or expected value based on the physical understanding of the mask substrate / material. Also, the norm used for the image difference can be 1-norm and can be adjusted according to the specific needs of the optimization function.

흥미로운 점으로, 더 높은 NA의 결과로서 마스크 전자기장 벡터의 간섭은 더 높은 NA에 대한 더 넓은 범위의 광의 입사각 및 관련된 간섭 전기장 성분으로 인해 (더 낮은 NA 검사 시스템보다) 더 클 것이다. Interestingly, the interference of the mask electromagnetic field vector as a result of the higher NA will be greater (than the lower NA inspection system) due to the angle of incidence of the wider range of light and the associated interference electric field component for the higher NA.

실제 마스크는 마스크 기록 공정로 인해 의도된 설계 패턴과 다를 수 있다. 마스크의 이미지로부터 근접장 마스크를 얻는 것은 그러한 근접장 마스크가 설계 데이터베이스가 아닌 실제 물리 마스크로부터 얻어진다는 것을 의미한다. 즉, 설계 데이터베이스를 사용하지 않고 마스크 근접장을 복구할 수 있다.The actual mask may differ from the intended design pattern due to the mask writing process. Obtaining a near field mask from an image of the mask means that such a near field mask is obtained from an actual physical mask, not from a design database. That is, the mask near field can be recovered without using the design database.

그 후 마스크 근접장 결과는 다양한 응용에 사용될 수 있다. 일 실시 예에서, 마스크 근접장 결과는 하나 이상의 모델을 사용하여 웨이퍼 패턴을 예측하는데 사용될 수 있다. 즉, 복구된 마스크 근접장은 리소그래피 이미지를 시뮬레이션하는데 사용될 수 있다. 마스크 근접장 이미지에 기초하여 리소그래피 이미지를 시뮬레이션하기 위하여 임의의 적절한 기술이 이용될 수 있다. 일 실시 예는 부분 일관성 모델(Partial Coherence Model)을 통한 리소그래피 이미지의 계산을 포함한다:The mask near field results can then be used for various applications. In one embodiment, the mask near field results may be used to predict the wafer pattern using one or more models. That is, the recovered mask near field can be used to simulate the lithographic image. Any suitable technique may be used to simulate the lithographic image based on the mask near field image. One embodiment includes the calculation of a lithographic image using a Partial Coherence Model:

Figure pct00005
Figure pct00005

여기서 λi는 리소그래피 TCC(transfer cross coefficient)의 고유값을 나타내고;

Figure pct00006
는 TCC의 고유벡터(커널)를 나타내고; s는 막 굴절률을 포함하는 웨이퍼 스택이고; f는 포커스이고; z는 레지스트 재료에서 리소그래피 평면의 수직 위치이다. 수학식 2의 TCC는 웨이퍼 상의 막 스택을 포함하는 리소그래피 프로젝터를 통한 필드의 벡터 전파를 포함할 수 있다.Where λ i represents the eigenvalue of the lithographic transfer cross coefficient (TCC);
Figure pct00006
Represents the eigenvector (kernel) of the TCC; s is a wafer stack comprising a film refractive index; f is focus; z is the vertical position of the lithographic plane in the resist material. The TCC of Equation 2 may include vector propagation of a field through a lithographic projector that includes a film stack on a wafer.

웨이퍼 결과를 예측하기 위한 모델을 사용하기 전에, 모델은 가능한 정확한 결과를 생성하도록 캘리브레이션될 수 있다. 모델은 임의의 적절한 기술을 사용하여 캘리브레이션될 수 있다. 본 발명의 특정 실시 예는 캘리브레이션 마스크로부터 복구된 마스크 근접장 결과에 기초하여 리소그래피 모델을 캘리브레이션하는 기술을 제공한다. 대안적인 실시 예에서, 설계 데이터베이스는 모델을 캘리브레이션하는데 사용된다. 예를 들어, 캘리브레이션 레티클 이미지는 설계 데이터베이스로부터 렌더링될 수 있다.Before using the model to predict wafer results, the model can be calibrated to produce the most accurate results possible. The model can be calibrated using any suitable technique. Certain embodiments of the present invention provide a technique for calibrating a lithographic model based on mask near-field results recovered from a calibration mask. In alternative embodiments, the design database is used to calibrate the model. For example, a calibration reticle image can be rendered from a design database.

캘리브레이션 레티클은 전형적으로 결함 검출을 위하여 검사되거나 계측 목적으로 측정될 레티클과 실질적으로 유사한 특성(들)을 갖도록 설계될 것이다. 예를 들어, 캘리브레이션 레티클 및 테스트 레티클은 바람직하게는 실질적으로 동일한 두께 및 조성을 갖는 실질적으로 동일한 재료로 형성된다. 또한, 두 레티클은 동일한 공정을 사용하여 형성되었을 수 있다. 레티클 상의 패턴이 실질적으로 동일한 세그먼트(예를 들어, 유사한 폭을 갖는 선 등)로 분할(broken up)될 수 있는 한, 두 레티클은 반드시 동일한 패턴이 인쇄되어 있지 않아도 된다. 또한, 검사될 레티클 및 이미지를 획득하는데 사용되는 레티클은 하나의 동일한 레티클일 수 있다.Calibration reticles will typically be designed to have substantially similar characteristic (s) as the reticle to be inspected for defect detection or to be measured for metrology purposes. For example, the calibration reticle and test reticle are preferably formed of substantially the same material having substantially the same thickness and composition. In addition, both reticles may have been formed using the same process. The two reticles do not necessarily have the same pattern printed as long as the pattern on the reticle can be broken up into substantially the same segments (eg, lines with similar width, etc.). In addition, the reticle to be inspected and the reticle used to obtain the image can be one and the same reticle.

도 2는 본 발명의 특정 구현에 따른 모델 캘리브레이션 공정(200)을 도시한 흐름도이다. 도시된 바와 같이, 포토리소그래피 공정 및 포토레지스트는 동작(208)에서 초기 모델 파라미터 세트를 사용하여 캘리브레이션 레티클로부터 복구된 마스크 근접장 이미지(201)에 적용되는 것으로 모델링될 수 있다. 대안적으로, 캘리브레이션 공정(200)은 설계 데이터베이스로부터 시뮬레이션되는 시뮬레이션 캘리브레이션 레티클 이미지(202)를 사용할 수 있다. 레티클 이미지는 설계 데이터베이스에서 레티클 제작 및 이미징 공정을 시뮬레이션함으로써 데이터베이스로부터 렌더링될 수 있다. 설계 데이터베이스의 피처에 대한 광학 이미지를 생성하기 위하여 임의의 적합한 모델이 사용될 수 있다. 예로서, 그러한 시뮬레이션은 여기에 설명된 SOCS(Sum Of Coherent System) 또는 아베(Abbe) 방법을 사용하는 것을 포함할 수 있다. 알려진 설계 데이터베이스로부터 광학 시스템의 세기 이미지를 시뮬레이션할 수 있는 여러 소프트웨어 패키지가 존재한다. 한 가지 예가 독일 에를랑겐의 프라운호퍼(Fraunhofer) IISB에서 개발된 Dr.LiTHO이다. 설계 데이터베이스(202)로부터 이미지를 시뮬레이션하는 경우, 근접장은 먼저 시뮬레이션될 수 있으며, 이는 위에서 언급한 소프트웨어 패키지뿐만 아니라 특히 ProLA by KLA-Tencor, HyperLith by Panoramic Technologies를 포함하는 여러 다른 패키지에 의해 행해질 수 있다.2 is a flowchart illustrating a model calibration process 200 according to a particular implementation of the invention. As shown, the photolithography process and photoresist may be modeled as being applied to the mask near field image 201 recovered from the calibration reticle using the initial model parameter set in operation 208. Alternatively, calibration process 200 may use a simulation calibration reticle image 202 that is simulated from a design database. Reticle images can be rendered from the database by simulating the reticle fabrication and imaging process in the design database. Any suitable model can be used to generate optical images for features in the design database. By way of example, such a simulation can include using the Sum of Coherent System (SOCS) or Abbe method described herein. There are several software packages that can simulate intensity images of optical systems from known design databases. One example is Dr.LiTHO, developed at Fraunhofer IISB in Erlangen, Germany. When simulating an image from the design database 202, the near field can be simulated first, which can be done not only by the software package mentioned above, but also by several other packages including ProLA by KLA-Tencor, HyperLith by Panoramic Technologies. .

레티클 근접장 이미지에 기초하여 웨이퍼 이미지를 생성하기 위한 모델은 포토리소그래피 스캐너의 효과만을 포함할 수 있고, 또한 레지스트, 에칭, CMP 또는 임의의 다른 웨이퍼 공정의 효과를 포함할 수 있다. 하나의 예시적인 공정 시뮬레이션 모델 툴은 캘리포니아 밀피타스의 KLA-Tencor Corp.로부터 입수 가능한 Prolith이다. 레지스트 및 에칭 공정은 엄격하게 또는 대략적으로 모델링될 수 있다. 특정 실시 예에서, 모델은 특정 레지스트 재료 및 구성 내에 3D 산(acid) 확산을 포함하고 경계 조건이 부과되고, 잠상(latent image)을 형성하기 위하여 단일 임계치가 적용되는 콤팩트 레지스트 모델의 형태일 수 있다. The model for generating the wafer image based on the reticle near field image may include only the effects of a photolithography scanner and may also include the effects of resist, etching, CMP or any other wafer process. One exemplary process simulation model tool is Prolith available from KLA-Tencor Corp. of Milpitas, California. The resist and etch processes can be modeled strictly or roughly. In certain embodiments, the model may be in the form of a compact resist model that includes 3D acid diffusion within a particular resist material and composition, imposes boundary conditions, and applies a single threshold to form a latent image. .

모델링된 리소그래피 툴은 레티클의 실제 이미지를 획득하기 위한 레티클 검사 툴과 상이한 조명 형상 또는 소스를 가질 수 있음에 유의한다. 특정 실시 예에서, 모델링된 리소그래피 툴은 레티클 검사기(inspector) 툴과 동일하거나 유사한 소스를 가질 수 있다.Note that the modeled lithography tool may have a different illumination shape or source than the reticle inspection tool for obtaining the actual image of the reticle. In certain embodiments, the modeled lithography tool may have the same or similar source as the reticle inspector tool.

SOCS 또는 아베와 같은 다른 시뮬레이션 접근법이 사용될 수 있다. 일반적으로 SOCS(Sum Of Coherent Systems)라고 알려진 알고리즘은 이미징 시스템을 출력이 제곱, 스케일 및 합산되는 선형 시스템 뱅크로 변환하려고 시도한다. SOCS 방법은 Nicolas Cobb의 박사 논문, "Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing(집적 회로 제조를 위한 빠른 광학 및 공정 근접 보정 알고리즘)”(1998년 봄, UC 버클리)을 포함하는 다른 어디에 기재되었다. 아베 알고리즘은 각 포인트 소스에 대한 객체의 이미지를 한 번에 하나씩 계산하는 것, 그 후에 세기 이미지를 함께 합산하고 각 소스 포인트의 상대 세기를 고려하는 것을 포함한다. Other simulation approaches such as SOCS or Ave can be used. Algorithms, commonly known as Sum of Coherent Systems (SOCS), attempt to convert an imaging system into a linear system bank whose outputs are squared, scaled, and summed. The SOCS method is described elsewhere, including a doctoral dissertation by Nicolas Cobb, "Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing" (UC Berkeley, Spring 1998). The ABE algorithm involves calculating an image of the object for each point source one at a time, then summing the intensity images together and taking into account the relative intensity of each source point.

모델에 대한 입력 및 그 모델링 파라미터는 복구된 근접장 마스크에 적용되는 공정 조건 세트를 포함한다. 즉, 모델은 재구성된 근접장 마스크(또는 시뮬레이션된 마스크 이미지)에서 상이한 공정 조건 세트를 시뮬레이션하도록 구성된다. 각각의 공정 조건 세트는 일반적으로 마스크로부터 웨이퍼 패턴을 형성하기 위한 웨이퍼 공정을 특징분석하거나 부분적으로 특징분석하는 웨이퍼 제조 공정 파라미터 세트에 대응한다. 예를 들어, 포커스 및 노광의 특정 설정을 모델에 입력할 수 있다. 다른 조정 가능한 모델 파라미터는 또한 투사 렌즈 파면 파라미터, 아포다이제이션 파라미터, 색수차 포커스 오차 파라미터, 진동 파라미터, 레지스트 프로파일 지수, 레지스트 스컴 메트릭, 상부 손실(top loss) 메트릭, 등의 파라미터 중 하나 이상을 포함할 수 있다. 상이한 공정 조건 세트를 갖는 이러한 모델의 사용은 상이한 처리 조건 하에서 재구성된 근접장 마스크에 의해 형성된 시뮬레이션된 웨이퍼 또는 레지스트 패턴 이미지의 세트를 초래할 수 있고, 이러한 시뮬레이션된 웨이퍼 이미지는 본 명세서에서 추가로 설명되는 패턴 안정성 및 결함 검출 평가에 사용될 수 있다. The input to the model and its modeling parameters include a set of process conditions applied to the recovered near field mask. That is, the model is configured to simulate different sets of process conditions in the reconstructed near field mask (or simulated mask image). Each set of process conditions generally corresponds to a set of wafer fabrication process parameters that characterize or partially characterize a wafer process for forming a wafer pattern from a mask. For example, specific settings of focus and exposure can be entered into the model. Other adjustable model parameters may also include one or more of parameters such as projection lens wavefront parameters, apodization parameters, chromatic aberration focus error parameters, vibration parameters, resist profile indexes, resist scum metrics, top loss metrics, and the like. Can be. The use of such models with different sets of process conditions can result in a set of simulated wafer or resist pattern images formed by reconstructed near field masks under different processing conditions, which simulated wafer images are patterns described further herein. It can be used for evaluation of stability and defect detection.

동작(216)에서 실제 이미지가 획득되는 캘리브레이션 웨이퍼를 제조하기 위하여 캘리브레이션 레티클이 사용될 수도 있다. 일 예에서, 실제 이미지는 임계 치수(CD) 주사 전자 현미경(scanning electron microscope, SEM)을 사용하여 획득된다. 다른 이미징 툴이 이용될 수 있지만, 고해상도 툴이 선호된다.In operation 216 a calibration reticle may be used to fabricate a calibration wafer from which the actual image is obtained. In one example, the actual image is obtained using a critical dimension (CD) scanning electron microscope (SEM). Other imaging tools may be used, but high resolution tools are preferred.

일반적으로, 캘리브레이션 웨이퍼는 광범위하게 변할 수 있는 임의의 수의 공지된 구조를 포함할 것이다. 구조는 전형적으로 주기적인 격자(grating) 형태일 수 있다. 각각의 격자는 예를 들어 라인 공간 격자와 같은 한 방향(X 또는 Y)으로 주기적일 수 있거나, 예를 들어 그리드 공간 격자와 같이 두 방향(X 및 Y)으로 주기적일 수 있다. 그리드 공간 격자의 예는 Y 방향의 라인 어레이를 포함할 수 있고, 각 라인은 X 방향으로 세그먼트화된다. 다른 그리드 공간 예는 도트 구조의 어레이이다. 즉, 각각의 구조는 라인 공간 격자, 그리드 공간 격자, 바둑판 패턴 구조 등의 형태를 취할 수 있다. 구조 설계 특성은 각각 라인 폭(특정 높이에서의 폭), 라인 공간 폭, 라인 길이, 형상, 측벽 각도, 높이, 피치, 격자 배향, 상부 프로파일(상단 라운딩 또는 T 토핑의 정도), 하부 프로파일(풋팅) 등을 포함할 수 있다. 캘리브레이션 웨이퍼에는 이러한 피처 특성의 상이한 조합을 가진 구조를 포함할 수 있다. 이해되어야하는 바와 같이, 상이한 구조 특성(예컨대, 상이한 폭, 간격, 형상, 피치 등)은 포커스에 대한 상이한 반응을 나타내므로, 따라서 캘리브레이션 마스크는 바람직하게는 상이한 특성을 갖는 상이한 구조를 포함한다.In general, a calibration wafer will include any number of known structures that can vary widely. The structure may typically be in the form of a periodic grating. Each grating may be periodic in one direction (X or Y), for example a line space grating, or may be periodic in two directions (X and Y), for example a grid space grating. An example of a grid spatial grid may include an array of lines in the Y direction, with each line segmented in the X direction. Another example of grid space is an array of dot structures. That is, each structure may take the form of a line space grid, a grid space grid, a checkerboard pattern structure, or the like. Structural design characteristics are line width (width at specific height), line space width, line length, shape, sidewall angle, height, pitch, grid orientation, top profile (top rounding or T topping), and bottom profile (putting) ) May be included. Calibration wafers may include structures with different combinations of these feature characteristics. As should be appreciated, since different structural properties (eg, different widths, spacings, shapes, pitches, etc.) exhibit different responses to focus, the calibration mask therefore preferably includes different structures with different properties.

특정 실시 예에서, 캘리브레이션 웨이퍼는 상이한 처리 조건을 겪은 상이한 측정 사이트를 갖는 "DOE(Design of Experiment)" 웨이퍼의 형태를 취할 수 있다. 보다 일반적인 실시 예에서, 공정 파라미터 변화는 반도체 웨이퍼(DOE 웨이퍼로 지칭됨)의 표면 상의 패턴으로 조직화된다. 이러한 방식으로, 측정 사이트는 상이한 관련 공정 파라미터 값을 갖는 웨이퍼 표면 상의 상이한 위치에 대응한다. 일례에서, DOE 패턴은 FEM(Focus/Exposure Matrix) 패턴이다. 일반적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 사이트의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들어, x 방향)에서, 노광량(exposure dosage)은 변하는 반면 포커스 심도는 일정하게 유지된다. 직교 그리드 방향(예를 들어, y 방향)에서, 노광량은 일정하게 유지되는 반면 포커스 심도는 변한다. 이러한 방식으로, FEM 웨이퍼로부터 수집된 측정 데이터는 포커스 및 용량(dosage) 공정 파라미터의 알려진 변화와 관련된 데이터를 포함한다.In certain embodiments, the calibration wafer may take the form of a “design of experiment” wafer with different measurement sites that have undergone different processing conditions. In a more general embodiment, process parameter changes are organized in a pattern on the surface of a semiconductor wafer (referred to as a DOE wafer). In this way, the measurement sites correspond to different positions on the wafer surface with different relevant process parameter values. In one example, the DOE pattern is a Focus / Exposure Matrix (FEM) pattern. In general, DOE wafers that exhibit FEM patterns include grid patterns of measurement sites. In one grid direction (eg x direction), the exposure dosage varies while the depth of focus remains constant. In the orthogonal grid direction (e.g., y direction), the exposure amount remains constant while the depth of focus changes. In this manner, measurement data collected from the FEM wafer includes data related to known changes in focus and dosage process parameters.

FEM 측정 사이트는 일반적으로 포커스 노광 매트릭스 웨이퍼에 걸쳐 위치된다. 실제로, 일반적으로 필드 당 하나 이상의 측정 사이트가 있을 수 있다. 각 필드는 포커스 및 노광의 상이한 조합을 사용하여 형성될 수 있다(또는 포커스 또는 노광만일 수 있다). 예를 들어, 제1 필드는 제1 조합을 사용하여 생성될 수 있고, 제2 필드는 제1 조합과는 상이한 제2 조합을 사용하여 생성될 수 있다. 변화하는 포커스 및 변화하는 노광, 변화하는 포커스 - 일정한 노광, 일정한 포커스 - 변화하는 노광 등을 사용하여 다중 조합을 생성할 수 있다.FEM measurement sites are generally located across the focus exposure matrix wafer. In practice, there may generally be more than one measurement site per field. Each field may be formed using a different combination of focus and exposure (or may be only focus or exposure). For example, the first field may be generated using the first combination, and the second field may be generated using a second combination that is different from the first combination. Multiple combinations can be created using varying focus and varying exposure, varying focus-constant exposure, constant focus-varying exposure, and the like.

측정 사이트의 수도 또한 상이할 수 있다. 생산 웨이퍼의 면적이 매우 중요하기 때문에 필드 당 사이트 수는 일반적으로 생산 웨이퍼에서 더 적다. 또한, 생산의 시간 제약으로 인해 포커스 노광 매트릭스 웨이퍼보다 제품 웨이퍼에서 더 적은 측정이 이루어진다. 일 실시 예에서, 단일 사이트는 필드 당 측정된다. 다른 실시 예에서, 필드 당 다수의 사이트가 측정된다.The number of measurement sites may also be different. Since the area of the production wafer is very important, the number of sites per field is generally smaller in the production wafer. In addition, due to production time constraints, fewer measurements are made on the product wafer than on the focus exposure matrix wafer. In one embodiment, a single site is measured per field. In another embodiment, multiple sites per field are measured.

대부분의 FEM 경우에서, 측정 사이트 구조는 상이한 처리 파라미터를 사용하여 동일하게 설계된 패턴으로 형성된다. 그러나, 상이한 포커스 노광 매트릭스는 상이한 구조를 가질 수 있음에 유의해야 한다. 예를 들어, 제1 매트릭스는 제1 격자 유형을 사용하여 수행될 수 있고, 제2 매트릭스는 제1 격자 유형과 상이한 제2 격자 유형을 사용하여 수행될 수 있다.In most FEM cases, the measurement site structure is formed in the same designed pattern using different processing parameters. However, it should be noted that different focus exposure matrices can have different structures. For example, the first matrix may be performed using a first grating type, and the second matrix may be performed using a second grating type different from the first grating type.

대안적인 실시 예에서, 캘리브레이션 레티클을 위하여 설계 데이터베이스로부터 렌더링된 시뮬레이션 캘리브레이션 이미지(202)가 모델에 대한 입력으로서 사용될 수 있다. 즉, 물리적 캘리브레이션 레티클로부터 근접장을 복구하지 않고도 모델을 캘리브레이션될 수 있다. 대신, 리소그래피 이미지는 설계 데이터베이스로부터 근접장을 시뮬레이션(복구하지 않음)하고 리소그래피 이미징 모델을 시뮬레이션된 근접장에 적용함으로써 시뮬레이션되어, 웨이퍼로부터의 실제 결과와 비교되는 리소그래피 결과에 도달한다(216). In alternative embodiments, a simulation calibration image 202 rendered from a design database for a calibration reticle may be used as input to the model. That is, the model can be calibrated without recovering the near field from the physical calibration reticle. Instead, the lithographic image is simulated by simulating (not recovering) the near field from the design database and applying the lithographic imaging model to the simulated near field to arrive at the lithographic result that is compared with the actual result from the wafer (216).

일반적으로, 임의의 세트의 공정 파라미터, 구조적 파라미터 또는 둘 다에서의 공지된 변형과 관련된 광학 신호 데이터가 고려된다. 형태에 관계 없이, 캘리브레이션 웨이퍼 구조는 다양한 상이한 웨이퍼 층으로 인쇄될 수 있다. 특히, 인쇄된 구조는 일반적으로 표준 리소그래피 공정을 사용하여 포토레지스트 층에 인쇄된다(예를 들어, 레티클을 통해 회로 이미지를 투영하고 포토레지스트로 코팅된 실리콘 웨이퍼 상에). 웨이퍼는 테스트 공정에서 그 단계에서 제품 웨이퍼 상에 전형적으로 존재하는 재료에 대응하는 재료의 층을 갖는 캘리브레이션 웨이퍼일 수 있다. 인쇄된 구조는 하부 층의 다른 구조 위에 인쇄될 수 있다. 캘리브레이션 웨이퍼는 작업 디바이스를 생성할 가능성이 있는 제품 웨이퍼일 수 있다. 캘리브레이션 웨이퍼는 모델을 캘리브레이션하는 데만 사용되는 간단한 웨이퍼일 수 있다. 캘리브레이션 웨이퍼는 OPC 설계 모델을 캘리브레이션하는데 사용되는 동일한 웨이퍼일 수 있다. 리소그래피 모델을 캘리브레이션하기 위하여 하나가 넘는 캘리브레이션 웨이퍼가 사용될 수 있다. 다수의 캘리브레이션 웨이퍼를 사용하는 경우, 동일하거나 상이한 캘리브레이션 레티클이 사용될 수 있다. 상이한 캘리브레이션 레티클은 더 넓은 범위의 이미지 데이터를 생성하기 위하여 상이한 치수를 갖는 패턴을 가질 수 있다.In general, optical signal data relating to known variations in any set of process parameters, structural parameters, or both, are contemplated. Regardless of the form, the calibration wafer structure can be printed on a variety of different wafer layers. In particular, the printed structure is generally printed on the photoresist layer using standard lithography processes (eg, on a silicon wafer coated with photoresist and projecting a circuit image through the reticle). The wafer may be a calibration wafer having a layer of material that corresponds to the material typically present on the product wafer at that stage in the test process. The printed structure can be printed over another structure of the underlying layer. The calibration wafer may be a product wafer that is likely to produce a working device. The calibration wafer can be a simple wafer used only to calibrate the model. The calibration wafer can be the same wafer used to calibrate the OPC design model. More than one calibration wafer can be used to calibrate the lithographic model. When using multiple calibration wafers, the same or different calibration reticles can be used. Different calibration reticles can have patterns with different dimensions to produce a wider range of image data.

캘리브레이션 구조를 형성하는데 사용되는 공정 파라미터는 일반적으로 패턴의 특성을 원하는 사양 내로 유지하도록 구성된다. 예를 들어, 캘리브레이션 구조는 캘리브레이션 절차의 일부로서 캘리브레이션 웨이퍼 상에 인쇄될 수 있거나 생산 중에 생산 웨이퍼 상에 인쇄될 수 있다. 생산에서, 캘리브레이션 구조는 전형적으로 생산 웨이퍼 상에 배치된 디바이스 영역(예를 들어, IC를 정의하는 다이) 사이의 스크라이브 라인에 인쇄된다. 측정 사이트는 디바이스 구조 주위에 배치된 전용 캘리브레이션 구조일 수 있거나, 디바이스 구조의 일부(예를 들어, 주기적 부분)일 수 있다. 이해되는 바와 같이, 디바이스 구조의 일부를 사용하는 것이 더 어려울 수 있지만, 디바이스 구조의 일부이기 때문에 더 정확한 경향이 있다. 다른 실시 예에서, 캘리브레이션 구조는 전체 캘리브레이션 웨이퍼에 걸쳐 인쇄될 수 있다.The process parameters used to form the calibration structure are generally configured to maintain the properties of the pattern within the desired specifications. For example, the calibration structure may be printed on the calibration wafer as part of the calibration procedure or printed on the production wafer during production. In production, a calibration structure is typically printed on a scribe line between device regions (eg, die defining an IC) disposed on a production wafer. The measurement site may be a dedicated calibration structure disposed around the device structure or may be part of the device structure (eg, a periodic part). As will be appreciated, using part of the device structure may be more difficult, but tends to be more accurate since it is part of the device structure. In other embodiments, the calibration structure may be printed over the entire calibration wafer.

도 2를 다시 참조하면, 대응하는 모델링 및 캘리브레이션 결과(예를 들어, 이미지)가 동작(210)에서 비교될 수 있다. 동작(212)에서 모델 파라미터가 조정되어야 하는지 여부가 결정될 수 있다. 모델 파라미터가 조정되어야 한다면, 이들은 동작(214)에서 조정되고 절차(200)는 조정된 파라미터를 사용하여 리소그래피 공정(및 레지스트)을 모델링하기 위한 동작(208)을 반복한다. 모델과 캘리브레이션 이미지 사이의 차이의 정량화가 사전 정의된 임계치보다 낮은 최소값에 도달할 때까지 모델 파라미터를 조정할 수 있다. 최소화된 양은 획득된 캘리브레이션 이미지와 시뮬레이션된 이미지 사이의 제곱 차의 합일 수 있다. 이 공정(200)의 출력은 리소그래피/레지스트 모델 및 그의 최종 모델 파라미터이다. 마스크 근접장을 사용하는 본질 상 이러한 모델 파라미터 세트는 마스크 공정 모델링 및 마스크 3D 회절 계산과 관련된 기술적 장애물을 극복한다.Referring back to FIG. 2, the corresponding modeling and calibration results (eg, images) can be compared at operation 210. In operation 212 it may be determined whether the model parameters should be adjusted. If the model parameters need to be adjusted, they are adjusted in operation 214 and the procedure 200 repeats operation 208 to model the lithography process (and resist) using the adjusted parameters. Model parameters may be adjusted until the quantification of the difference between the model and the calibration image reaches a minimum value below a predefined threshold. The minimized amount may be the sum of the squared differences between the acquired calibration image and the simulated image. The output of this process 200 is the lithography / resist model and its final model parameters. In essence of using a mask near field, this set of model parameters overcomes technical hurdles associated with mask process modeling and mask 3D diffraction calculations.

복구된 마스크 근접장 결과에 기초하여 시뮬레이션된 웨이퍼 패턴은 다수의 마스크 검사, 계측 및/또는 자격화 목적을 위하여 사용될 수 있다. 일 실시 예에서, 레티클 자격화는 복구된 마스크 근접장이 시뮬레이션된 웨이퍼 제조 조건의 범위 하에서 웨이퍼 패턴 결함을 야기할 가능성이 있는지 여부를 평가함으로써 수행된다. 결함 검출을 위하여, 웨이퍼 상의 레티클 결함의 인쇄 가능성이 중요하고, 레티클 결함의 인쇄 가능성은 레티클 근접장 및 리소그래피 시스템에 직접적으로 의존한다.Based on the recovered mask near-field results, the simulated wafer pattern can be used for a number of mask inspection, metrology, and / or qualification purposes. In one embodiment, reticle qualification is performed by evaluating whether the recovered mask near field is likely to cause wafer pattern defects under the range of simulated wafer fabrication conditions. For defect detection, the printability of the reticle defects on the wafer is important, and the printability of the reticle defects depends directly on the reticle near field and lithography system.

특정 공정에 대한 최종 캘리브레이션된 리소그래피/레지스트/에칭 모델이 얻어진 방법에 관계 없이 획득된 후에, 그러한 모델은 마스크로부터 그러한 마스크로 웨이퍼를 제조하기 전 또는 그러한 마스크의 재자격화를 위하여, (예를 들어, 현상 후 또는 에칭 후) 정확한 웨이퍼 평면 레지스트 이미지를 생성하는데 사용될 수 있다. 이러한 레지스트 이미지는 고 충실도(high fidelity) 및 상이한 포커스 및 노광 설정 또는 다른 리소그래피 파라미터를 통해 임의의 검사 패턴에 대한 웨이퍼 이미지를 평가할 수 있게 할 것이다. 이러한 평가 공정은 웨이퍼 제조 전에 발생할 수 있기 때문에, 자격화 및 결함 검출 싸이클이 상당히 단축될 수 있다. 시뮬레이션된 웨이퍼 이미지는 또한 리소그래피 후, 레지스트 모델 적용 후, 및 에칭 후 시뮬레이션된 웨이퍼 이미지를 비교함으로써 상이한 패터닝 문제 근본 원인의 분리를 가능하게 할 수 있다.Regardless of how the final calibrated lithography / resist / etching model for a particular process was obtained, such a model may be obtained from a mask prior to manufacturing the wafer from such a mask or for requalification of such a mask (eg, Post development or after etching) can be used to generate an accurate wafer planar resist image. Such a resist image will enable to evaluate the wafer image for any inspection pattern through high fidelity and different focus and exposure settings or other lithographic parameters. Since this evaluation process can occur prior to wafer fabrication, qualification and defect detection cycles can be significantly shortened. The simulated wafer image may also enable separation of different patterning problem root causes by comparing the simulated wafer image after lithography, after resist model application, and after etching.

도 3은 본 발명의 일 실시 예에 따른 레티클 자격화 공정(300)을 나타내는 흐름도를 도시한다. 동작(302)에서, 마스크 근접장 이미지는 예를 들어, 그러한 특정 레티클로부터 획득된 이미지에 기초하여 특정 레티클에 대하여 복구된다. 이 동작은 도 1의 마스크 근접장 복구 동작을 포함할 수 있다. 마스크 근접장이 획득된 후, 리소그래피 공정(및 레지스트)은 또한 동작(303)에서 복구된 근접장 마스크 에 관한 최종 모델 파라미터를 사용하여 모델링될 수 있다. 예를 들어, 최종 모델은 마스크 근접장 이미지를 사용하여 웨이퍼 이미지를 시뮬레이션하는 데 사용된다.3 shows a flow diagram illustrating a reticle qualification process 300 according to one embodiment of the invention. In operation 302, the mask near field image is recovered for a particular reticle, for example based on an image obtained from that particular reticle. This operation may include the mask near field recovery operation of FIG. 1. After the mask near field is obtained, the lithography process (and resist) may also be modeled using the final model parameters for the near field mask recovered in operation 303. For example, the final model is used to simulate a wafer image using a mask near field image.

이어서, 시뮬레이션된 웨이퍼 패턴은 동작(322)에서 패턴 안정성을 결정하고 및/또는 결함의 위치를 찾기 위하여 평가될 수 있다. 일반적으로 대응하는 레티클이 불안정한 또는 결함이 있는 웨이퍼 패턴을 초래할 것으로 예상되는지의 여부가 결정될 수 있다. 일 실시 예에서, 모델은 변화하는 공정 조건 하에서 레티클 설계 안정성을 평가하기 위하여 포커스 및 용량과 같은 복수의 상이한 공정 조건을 사용하여 마스크 근접장 이미지 또는 결과에 적용된다.The simulated wafer pattern may then be evaluated to determine pattern stability and / or locate defects in operation 322. In general, it can be determined whether a corresponding reticle is expected to result in an unstable or defective wafer pattern. In one embodiment, the model is applied to a mask near field image or result using a plurality of different process conditions, such as focus and dose, to evaluate the reticle design stability under changing process conditions.

도 4a는 본 발명의 예시적인 응용에 따라 웨이퍼 패턴 안정성을 결정하기 위한 공정(400)을 도시한 흐름도이다. 초기에, 각각의 테스트 이미지는 대응하는 참조 이미지와 정렬될 수 있으며, 이 이미지는 또한 동작(402)에서 상이한 공정 조건 세트 하에서 모델에 의해 생성되었다. 상이한 테스트 및 참조 이미지는 상이한 처리 조건/파라미터 하에서 모델에 의해 계산된다.4A is a flow diagram illustrating a process 400 for determining wafer pattern stability in accordance with an exemplary application of the present invention. Initially, each test image can be aligned with a corresponding reference image, which image was also generated by the model under a different set of process conditions in operation 402. Different test and reference images are calculated by the model under different processing conditions / parameters.

정렬된 이미지들의 각각의 쌍은 동작(404)에서 하나 이상의 웨이퍼 패턴 차이를 얻기 위하여 서로 비교될 수 있다. 그 후, 임계치는 동작(406)에서 각각의 웨이퍼 패턴 차이와 관련될 수 있다. 웨이퍼 패턴 차이 및 그와 관련된 임계치는 패턴 안정성을 특징분석하기 위하여 함께 사용될 수 있다. 즉, 상이한 시뮬레이션된 공정 조건 하에서 특정 패턴의 편차(deviation)(패턴 차이) 량 및 그러한 편차가 관련 임계치를 통과하는지 여부가 함께 패턴 안정성을 특징분석한다. 제조 공정의 공정 윈도우는 패턴이 안정적으로 유지되거나 편차의 특정 지정 공차(tolerance)(예를 들어, 임계치) 내에 있도록 보장하기 위하여, 결과 패턴이 평가되는 예상되거나 정의된 공정 편차의 양을 지정한다.Each pair of aligned images may be compared to each other to obtain one or more wafer pattern differences in operation 404. The threshold may then be associated with each wafer pattern difference at operation 406. Wafer pattern differences and their associated thresholds can be used together to characterize pattern stability. That is, the pattern stability is characterized together with the amount of deviation (pattern difference) of a particular pattern under different simulated process conditions and whether such deviation passes the associated threshold. The process window of the manufacturing process specifies the amount of expected or defined process variation for which the resulting pattern is evaluated to ensure that the pattern remains stable or within certain specified tolerances (eg, thresholds) of the deviation.

패턴 안정성을 평가하기 위한 상이한 임계치가 레티클의 상이한 영역 및 이에 대응하는 웨이퍼 패턴에 지정(assign)될 수 있다. 임계치는 패턴 설계 컨텍스트, 패턴 MEEF(또는 후술하는 Mask Error Enhancement Factor) 레벨 또는 웨이퍼 패턴 변화에 대한 디바이스 성능의 감도 등과 같은 다양한 요인에 기초하여 모두 동일하거나 상이할 수 있다. 예를 들어 레티클의 반-밀도 영역과 비교하여, 밀도 영역에서의 패턴들에 대하여 더 엄격한 임계치를 선택할 수 있다.Different thresholds for evaluating pattern stability can be assigned to different regions of the reticle and corresponding wafer patterns. The thresholds may all be the same or different based on various factors, such as pattern design context, pattern MEEF (or Mask Error Enhancement Factor) level described below, or sensitivity of device performance to wafer pattern changes. For example, compared to the half-density region of the reticle, a stricter threshold can be selected for patterns in the density region.

참조 및 테스트 마스크 패턴 둘 다에서 핫 스팟의 초기 세트 또는 패턴 약점의 영역이 선택적으로 식별될 수 있다. 예를 들어, 설계자는 디바이스 기능에 중요한 설계 핫 스팟 좌표 목록을 제공할 수 있다. 예를 들어, 핫 스팟으로 정의된 영역에는 하나의 검출 임계치에 지정될 수 있는 반면, 비-핫 스팟 영역에는 (결함 감지 용) 더 높은 임계치가 지정될 수 있다. 이 차별화는 검사 자원을 최적화하는 데 사용될 수 있다.An initial set of hot spots or areas of pattern weakness can optionally be identified in both the reference and test mask patterns. For example, designers can provide a list of design hot spot coordinates that are important to device functionality. For example, an area defined as a hot spot may be assigned to one detection threshold while a non-hot spot area may be assigned a higher threshold (for defect detection). This differentiation can be used to optimize inspection resources.

이 패턴 안정성 평가는 레티클 자격화를 용이하게 함으로써 이 분야에서 많은 도전을 극복하기 위하여 사용될 수 있다. 집적 회로(IC)의 밀도 및 복잡성이 계속 증가함에 따라, 포토리소그래피 마스크 패턴을 검사하는 것이 계속해서 더 어려워지고 있다. 모든 차세대 IC는 현재 리소그래픽 시스템의 광학적 한계에 도달하고 이를 넘어서는 더 조밀하고 더 복잡한 패턴을 가진다. 이러한 광학적 한계를 극복하기 위하여 OPC(Optical Proximity Correction)와 같은 다양한 RET(Resolution Enhancement Techniques)가 도입되었다. 예를 들어, OPC는 결과적인 인쇄 패턴이 원래의 원하는 패턴에 대응하도록 포토마스크 패턴을 수정함으로써 일부 회절 한계를 극복하는데 도움이 된다. 그러한 수정은 주요 IC 피처, 즉 인쇄 가능한 피처의 크기 및 에지에 대한 섭동(perturbation)을 포함할 수 있다. 다른 수정은 패턴 코너에 셰리프(serif)의 추가 및/또는 근처의 SRAF(sub-resolution assist feature)를 제공하는 것을 포함하는데, 이는 인쇄된 피처를 초래할 것으로 예상되지 않으므로 인쇄 불가능한 피처로서 지칭된다. 인쇄할 수 없는 이러한 피처는 인쇄 공정 중에 발생했을 수 있는 패턴 섭동을 소거할 것으로 예상된다. 그러나 OPC는 마스크 패턴을 훨씬 더 복잡하게 만들며 일반적으로 결과 웨이퍼 이미지와 매우 유사하지 않다. 또한 OPC 결함은 종종 인쇄 가능한 결함으로 변환(traslate)되지 않는다. 포토마스크 패턴의 복잡성이 증가하고 모든 패턴 요소가 인쇄된 패턴에 직접 영향을 줄 것으로 예상되는 것은 아니라는 것은 의미 있는 패턴 결함에 대하여 포토마스크를 검사하는 작업을 훨씬 더 어렵게 만든다. 반도체 산업이 훨씬 더 작은 피처로 이동함에 따라, 최첨단 제조업체는 ILT(inverse lithography technology)와 같은 더욱 이국적인 OPC를 사용하기 시작하여, 마스크 상의 매우 복잡한 패턴을 초래하고, 따라서, 물리적으로 웨이퍼를 제조하기 전에 마스크 기록 충실도 및 그 웨이퍼 인쇄 품질을 아는 것이 매우 바람직하다.This pattern stability assessment can be used to overcome many challenges in this field by facilitating reticle qualification. As the density and complexity of integrated circuits (ICs) continues to increase, inspecting photolithographic mask patterns continues to become more difficult. All next-generation ICs have denser and more complex patterns that reach and exceed the optical limits of current lithographic systems. To overcome these optical limitations, various resolution enhancement techniques (RETs), such as OPC (Optical Proximity Correction), have been introduced. For example, OPC helps to overcome some diffraction limits by modifying the photomask pattern so that the resulting printed pattern corresponds to the original desired pattern. Such modifications may include perturbation of the main IC features, ie the size and edge of the printable features. Other modifications include the addition of serifs to the pattern corners and / or providing near sub-resolution assist features (SRAFs), which are referred to as nonprintable features because they are not expected to result in printed features. These nonprintable features are expected to eliminate pattern perturbations that may have occurred during the printing process. However, OPC makes the mask pattern much more complicated and is generally not very similar to the resulting wafer image. Also, OPC defects are often not translated into printable defects. Increasing the complexity of the photomask pattern and not expecting all the pattern elements to directly affect the printed pattern makes the task of inspecting the photomask for significant pattern defects much more difficult. As the semiconductor industry moves to even smaller features, cutting-edge manufacturers begin to use more exotic OPCs, such as inverse lithography technology (ILT), resulting in very complex patterns on masks, and therefore before physically fabricating wafers. It is highly desirable to know the mask recording fidelity and its wafer print quality.

결함의 중요성에 대한 하나의 척도는 MEEF 즉 마스크 오차 개선 요소(Mask Error Enhancement Factor)이다. 이 요소는 마스크 평면의 결함 크기를 인쇄된 이미지에 미칠 영향의 크기와 관련시킨다. 높은 MEEF 결함은 인쇄 패턴에 큰 영향을 미친다; 낮은 MEEF 결함은 인쇄 패턴에 거의 또는 전혀 영향을 미치지 않는다. 패턴의 조밀한 미세 라인 부분에서 소형화된 주요 패턴 피처는 작은 마스크 평면 사이징 에러가 인쇄된 패턴의 완전한 붕괴를 야기할 수 있는 높은 MEEF를 가진 결함의 예이다. 고립된 작은 핀홀은 결함 자체가 인쇄하기에 너무 작고 가장 가까운 주 패턴 에지로부터 충분히 떨어져서 해당 에지의 인쇄 방법에 영향을 미치지 않는 낮은 MEEF를 가진 결함의 예이다. 이러한 예에서 보여지는 바와 같이, 결함의 MEEF는 결함 유형 및 결함이 위치된 패턴 컨텍스트의 다소 복잡한 기능이다.One measure of the importance of defects is MEEF, or Mask Error Enhancement Factor. This factor relates the size of the defects in the mask plane to the size of the effect on the printed image. High MEEF defects have a big impact on print patterns; Low MEEF defects have little or no effect on the printed pattern. The main pattern feature that is miniaturized in the dense fine line portion of the pattern is an example of a defect with a high MEEF where a small mask plane sizing error can cause complete collapse of the printed pattern. An isolated small pinhole is an example of a defect with a low MEEF that the defect itself is too small to print and is far enough from the nearest main pattern edge and does not affect how the edge is printed. As shown in this example, the MEEF of a defect is a rather complex function of the defect type and the pattern context in which the defect is located.

보다 심각한 웨이퍼 결함을 야기하는 더 높은 MEEF 마스크 결함에 더하여, 특정 설계 패턴 및 대응하는 마스크 패턴은 변화를 처리하기 위하여 다른 설계 및 마스크 패턴보다 더 견고(robust)할 수 있다. 제조 공정이 최적의 공정 조건으로부터 드리프트되기 시작하면, 특정 마스크 패턴은 보다 중요한 웨이퍼 패턴 섭동 및 결함을 초래할 수 있다.In addition to higher MEEF mask defects resulting in more serious wafer defects, certain design patterns and corresponding mask patterns may be more robust than other design and mask patterns to handle changes. As the fabrication process begins to drift from optimal process conditions, certain mask patterns can result in more important wafer pattern perturbations and defects.

도 4b는 본 발명의 또 다른 실시 예에 따른 결함 검사 절차(450)를 도시한 흐름도이다. 동작(452)에서, 각각의 모델링된 테스트 웨이퍼 이미지는 대응하는 참조 이미지와 정렬될 수 있다. 일 실시 예에서, 다이-대-다이(die-to-die) 또는 셀-대-셀(cell-to-cell) 정렬이 달성될 수 있다. 다른 실시 예에서, 모델링된 테스트 웨이퍼 이미지는 대응하는 사후-OPC 설계로부터 렌더링된 참조 이미지와 정렬된다. 예를 들어, 사후-OPC 설계는 그러한 설계에 대한 레티클 제조 공정을 시뮬레이션하도록 처리된다. 예를 들어 모서리가 둥글다. 일반적으로, 참조 이미지는 초기(earlier time)의 테스트 이미지와 동일한 다이로부터, 인접한 동일한 다이로부터 오거나 설계 데이터베이스로부터 렌더링될 수 있다. 특정 예에서, 참조 이미지는 (예를 들어, 레티클이 제조되고 자격화된 직후) 결함이 없는 것으로 입증된 "골든(golden)" 다이로부터 얻어진다. 결함이 없는 것으로 알려졌을 때 레티클로부터 획득된 골든 레티클 이미지는 저장되어 나중에 필요할 때 요구에 따라 골든 레티클 근접장 이미지 및 웨이퍼 이미지를 계산하는데 사용될 수 있다. 대안적으로, 골든 레티클 근접장 이미지는 향후 검사에서 근접장을 재계산할 필요 없이 바로 액세스할 수 있도록 저장될 수 있다.4B is a flowchart illustrating a defect inspection procedure 450 according to another embodiment of the present invention. In operation 452, each modeled test wafer image may be aligned with a corresponding reference image. In one embodiment, die-to-die or cell-to-cell alignment may be achieved. In another embodiment, the modeled test wafer image is aligned with a reference image rendered from the corresponding post-OPC design. For example, post-OPC designs are processed to simulate the reticle manufacturing process for such designs. For example, the corners are rounded. In general, the reference image may be rendered from the same die as the early test image, from the same die adjacent, or from the design database. In a particular example, the reference image is obtained from a "golden" die proven to be free of defects (eg, immediately after the reticle is manufactured and qualified). Golden reticle images obtained from the reticle when known to be free of defects can be stored and later used to calculate the golden reticle near field image and wafer image as needed. Alternatively, the golden reticle near field image can be stored for immediate access without the need to recalculate the near field in future inspections.

정렬된 테스트 및 참조 이미지의 각각의 쌍은 동작(454)에서 레티클 결함의 위치를 찾기 위하여 연관된 임계 값에 기초하여 비교된다. 임의의 적절한 메커니즘이 상술된 바와 같이 특정 레티클 영역에 임계치를 연관시키기 위하여 사용될 수 있다. 테스트 및 참조 이미지의 임의의 적절한 메트릭이 비교될 수 있다. 예를 들어, 테스트 및 참조 웨이퍼 이미지의 윤곽이 에지 배치 오류(edge placement error, EPE)에 대한 메트릭으로서 비교될 수 있다.Each pair of aligned test and reference images is compared based on an associated threshold to locate the reticle defect in operation 454. Any suitable mechanism can be used to associate the threshold to a particular reticle region as described above. Any suitable metric of the test and reference images can be compared. For example, the contours of the test and reference wafer images can be compared as a metric for edge placement error (EPE).

각각의 레티클 결함에 대하여, 대응하는 시뮬레이션된 웨이퍼 결함 영역은 동작(456)에서 대응하는 참조 사전-OPC 영역과 비교될 수 있다. 즉, 시뮬레이션된 웨이퍼 패턴이 평가되어 레티클 결함이 의도된 설계와 달라지는 웨이퍼 결함을 초래하는지 여부를 결정한다. For each reticle defect, the corresponding simulated wafer defect region may be compared with the corresponding reference pre-OPC region in operation 456. That is, the simulated wafer pattern is evaluated to determine whether the reticle defect results in a wafer defect that differs from the intended design.

도 3을 다시 참조하면, 동작(324)에서 시뮬레이션된 레티클 이미지에 기초하여 설계가 결함인지 여부가 결정될 수 있다. 일 실시 예에서, 설계 패턴이 특정 범위의 공정 조건(또는 공정 윈도우)에서 수용 불가능한 웨이퍼 패턴 변동을 초래하는지 여부가 결정된다. 공정 변동성(variability)으로 인해 상당한 차이가 있는지 여부가 결정된다. 상이하게 처리된 웨이퍼 패턴들 사이의 차이가 대응하는 임계치보다 높으면, 그러한 웨이퍼 패턴은 결함이 있는 것으로 간주될 수 있다. 이러한 체계적인 결함을 핫 스팟(hot spot)이라고 한다. 레티클로부터의 시뮬레이션된 웨이퍼 패턴과 대응하는 사전 OPC 패턴 사이의 임의의 차이가 미리 정의된 임계치를 초과하는지 여부가 또한 결정될 수 있다. 설계에 결함이 있는 것으로 결정되면, 동작(332)에서 설계가 수정될 수 있다.Referring back to FIG. 3, it may be determined whether the design is a defect based on the reticle image simulated in operation 324. In one embodiment, it is determined whether the design pattern results in unacceptable wafer pattern variations in a particular range of process conditions (or process windows). Process variability determines whether there is a significant difference. If the difference between differently processed wafer patterns is higher than the corresponding threshold, such wafer pattern may be considered defective. This systematic flaw is called a hot spot. It can also be determined whether any difference between the simulated wafer pattern from the reticle and the corresponding pre OPC pattern exceeds a predefined threshold. If it is determined that the design is defective, the design may be modified at operation 332.

레티클의 설계가 인증되었다면, 레티클은 여전히 모니터링되어야 하는 핫 스팟을 포함할 가능성이 크다. 다음의 동작들은 적어도 일부 식별된 핫 스팟이 있는 마스크 상에서 구현되는 것으로 설명된다. 물론, 마스크가 임의의 식별된 핫 스팟을 포함하지 않으면, 도 3의 다음 동작을 생략하고 마스크는 제조 및 검사 중에 핫 스팟 모니터링의 수행 없이 사용될 수 있다.If the design of the reticle is certified, the reticle is likely to contain hot spots that still need to be monitored. The following operations are described as being implemented on a mask with at least some identified hot spots. Of course, if the mask does not contain any identified hot spots, the following operation of FIG. 3 is omitted and the mask can be used without performing hot spot monitoring during manufacturing and inspection.

도시된 예에서, 설계가 결함이 있는 것으로 간주되지 않으면, 동작(326)에서 임의의 핫 스팟이 모니터링될 수 있는지 여부가 결정될 수 있다. 핫 스팟이 모니터링될 수 있다고 결정되면, 그 후 핫 스팟은 동작(334)에서 웨이퍼 공정 동안 모니터링될 수 있다. 예를 들어, 핫 스팟 패턴은 공정이 사양을 벗어났는지 여부 및 대응하는 웨이퍼 패턴이 허용할 수 없는 값으로 변경되는 임계(critical) 파라미터를 갖도록 했는지 여부를 결정하기 위하여 웨이퍼 제조 동안 모니터링될 수 있다. 일 구현은 대응하는 핫 스팟의 레티클 및/또는 웨이퍼 패턴의 검사를 위하여 비교적 높은 MEEF 레벨을 설정하는 것을 포함할 수 있다. 조건이 공칭 공정 조건에서 멀어질수록 CD 또는 EPE가 커져서, 웨이퍼 제조 공정의 무결성을 위협할 수 있다.In the example shown, if the design is not considered defective, it may be determined whether any hot spots may be monitored at operation 326. If it is determined that the hot spot can be monitored, the hot spot can then be monitored during wafer processing in operation 334. For example, hot spot patterns can be monitored during wafer fabrication to determine whether the process is out of specification and whether the corresponding wafer pattern has critical parameters that change to unacceptable values. One implementation may include setting a relatively high MEEF level for inspection of the reticle and / or wafer pattern of the corresponding hot spot. The further away from the nominal process conditions, the larger the CD or EPE may threaten the integrity of the wafer fabrication process.

핫 스팟 패턴은 테스트 마스크 패턴이 미리 정의된 양만큼 변화할 때만, 그러한 변화가 어떻게 원래의 의도된 설계(예를 들어, 사전-OPC 데이터)와 비교되는지에 관계 없이, 식별될 수 있다. 다시 말해서, 상이한 공정 조건 하에서 물리 마스크 패턴의 현저한 변화는 의도된 설계 패턴에 문제가 있음을 나타낼 수 있다. 대응하는 모델링된 이미지 부분 사이의 차이는 설계된 패턴 및 제조된 마스크에 대한 공정 조건의 효과의 차이를 나타낸다. 특정 설계 패턴과 관련된 차이점은 일반적으로 "설계 핫 스팟" 또는 단지 "핫 스팟"이라고 하며, 아마도 제조된 마스크에 관하여 검사된 특정 공정 조건과 관련하여 설계의 약점을 나타낸다. 상이한 공정 조건에 대하여 모델링된 이미지 간에 발견될 수 있는 차이점의 종류의 예는 CD(임계 치수) 또는 EPE(에지 배치 오류)이다.The hot spot pattern can only be identified when the test mask pattern changes by a predefined amount, regardless of how such a change is compared with the original intended design (eg, pre-OPC data). In other words, significant changes in the physical mask pattern under different process conditions may indicate a problem with the intended design pattern. The difference between the corresponding modeled image parts represents the difference in the effect of the process conditions on the designed pattern and the manufactured mask. Differences associated with specific design patterns are generally referred to as "design hot spots" or just "hot spots," and perhaps indicate weaknesses in the design with respect to the specific process conditions inspected for the manufactured masks. Examples of the kind of differences that can be found between images modeled for different process conditions are CD (critical dimensions) or EPE (edge placement error).

다른 실시 예에서, 모델이 사후-OPC 설계 데이터베이스에 적용되면, 결과적인 웨이퍼 패턴은 설계자에 의해 웨이퍼 상에 인쇄되도록 의도된 패턴에 대응할 수 있다. 선택적으로, 모델을 사후-OPC 데이터베이스에 적용한 결과를 모델링된 이미지와 함께 사용하여 핫 스팟 검출을 개선할 수 있다. 예를 들어, 사후-OPC 데이터베이스의 모델은 설계 효과만 고려하므로, 웨이퍼 공정이 설계에 미치는 영향과 웨이퍼 공정이 제조된 마스크에 미치는 영향을 분리하는 데 사용될 수 있다. 마스크 근접장으로부터의 모델링된 패턴은 대응하는 사후-OPC 패턴으로부터의 모델링된 웨이퍼 이미지와 비교될 수 있다. 예를 들어, 상이한 공정 변화에 대한 모델링된 웨이퍼 패턴의 세트가 동일한 공정 변경에 대한 대응하는 모델링된 사후-OPC 웨이퍼 패턴과 일치할 때, 공정 변화로 인한 웨이퍼 패턴(또는 레지스트 패턴)의 변화는 마스크 패턴의 결함이 아니라 설계 패턴으로부터 유래된 것으로 결정될 수 있고, 이는 재설계 또는 모니터링될 수 있다. 그러나, 사후-OPC 데이터베이스로부터의 공정 변동으로 인한 웨이퍼의 변화가 복구된 마스크(또는 마스크 근접장)와 동일한 공정 변동으로 인해 웨이퍼에서의 변화와 상이하다면, 이러한 핫 스팟은 실제 마스크로부터의 핫 스팟에서 시작된 것으로 간주되고, 이는 수선(repair) 또는 모니터링될 수 있다. In another embodiment, if the model is applied to a post-OPC design database, the resulting wafer pattern may correspond to the pattern intended to be printed on the wafer by the designer. Optionally, the results of applying the model to a post-OPC database can be used with the modeled image to improve hot spot detection. For example, since the model of the post-OPC database only considers the design effects, it can be used to separate the effects of the wafer process on the design and the effect of the wafer process on the manufactured mask. The modeled pattern from the mask near field may be compared with the modeled wafer image from the corresponding post-OPC pattern. For example, when a set of modeled wafer patterns for different process variations match a corresponding modeled post-OPC wafer pattern for the same process change, the change in the wafer pattern (or resist pattern) due to the process change is masked. It may be determined that the pattern is derived from a design pattern rather than a defect, which may be redesigned or monitored. However, if the change in the wafer due to process variation from the post-OPC database is different from the change in the wafer due to the same process variation as the recovered mask (or mask near-field), then this hot spot starts at the hot spot from the actual mask. It is considered to be repair and it can be repaired or monitored.

시뮬레이션된 웨이퍼 이미지 차이는 또한 제조 공정에서 노광 동안 레티클 변화가 발생할 때 다이에 걸쳐 또는 시간에 따라 웨이퍼 CDU(CD uniformity) 메트릭을 결정하기 위하여 분석될 수 있다. 예를 들어, 해상도가 충분히 높은 경우 타겟 에지 사이의 거리를 분석하고 측정함으로써, 각 이미지의 각 타겟에 대하여 CD가 측정될 수 있다. 대안적으로, 참조 이미지와 테스트 이미지 사이의 세기 차이는 Carl E. Hess 등에 의해 2015년 3월 20일자로 출원된 미국 특허 출원 번호 제14/664,565호 및 Rui-fang Shi 등에 의해 2014년 10월 6일자로 출원된 미국 특허 출원 번호 제14/390,834호에 추가로 설명된 바와 같이 캘리브레이션되고 CD 변동으로 변환될 수 있으며, 이들 출원은 모든 목적을 위하여 그 전문이 본 명세서에 참조로 포함된다.Simulated wafer image differences can also be analyzed to determine wafer CD uniformity (CDU) metrics over die or over time when reticle changes occur during exposure in a manufacturing process. For example, by analyzing and measuring the distance between target edges when the resolution is high enough, CD can be measured for each target of each image. Alternatively, the intensity difference between the reference image and the test image can be found in US patent application Ser. No. 14 / 664,565 filed March 20, 2015 by Carl E. Hess et al. And October 2014, by Rui-fang Shi et al. It may be calibrated and converted to CD variations as described further in US Patent Application No. 14 / 390,834, filed on a date, which application is incorporated herein by reference in its entirety for all purposes.

레티클이 동작(328)에서 수선될 것인지의 여부가 또한 결정될 수 있다. 예상되는 웨이퍼 패턴 변동은 리소그래피 공정 동안 사용될 것으로 예상되는 공정 윈도우에 대하여 사양을 벗어난 것으로 결정될 수 있다. 특정 경우에, 레티클은 동작(336)에서 수선된 결함을 포함할 수 있다. 레티클은 이어서 재자격화될 수 있다. 그렇지 않으면, 동작(330)에서 레티클이 수선 가능하지 않으면, 레티클은 폐기될 수 있다. 그 후 새로운 레티클이 제조되고 재자격화될 수 있다.It may also be determined whether the reticle is to be repaired at operation 328. The expected wafer pattern variation can be determined to be out of specification for the process window expected to be used during the lithography process. In certain cases, the reticle may include a repaired defect at operation 336. The reticle may then be requalified. Otherwise, if the reticle is not repairable at operation 330, the reticle may be discarded. The new reticle can then be manufactured and requalified.

자격화 공정에서 웨이퍼 이미지를 시뮬레이션하기 위하여 복구된 마스크 근접장 이미지를 사용하는 것에 추가하여 또는 대안적으로, 마스크 근접장 이미지 또는 결과가 레티클 자격화 공정에서 직접 평가될 수도 있다. 도 5는 본 발명의 대안적인 실시 예에 따라 복구된 마스크 근접장 이미지 또는 결과에 적용되는 레티클 자격화 공정(500)를 도시한 흐름도이다. 초기에, 마스크 근접장 결과는 동작(502)에서 레티클로부터 복구된다. 이 마스크 근접장 이미지는 그러한 특정 레티클로부터 획득된 이미지에 기초하여 특정 레티클에 대하여 복구될 수 있다. 이 동작은 도 1의 마스크 근접장 복구 동작과 유사하게 실시될 수 있다. 또한, 도 5의 몇몇 동작은 도 3의 동작과 유사한 방식으로, 그러나 그러한 이미지의 세기 및/또는 위상 성분을 포함하는 복구된 레티클 근접장 이미지를 포함하여 구현될 수 있다. In addition or alternatively to using the repaired mask near field image to simulate the wafer image in the qualification process, the mask near field image or results may be evaluated directly in the reticle qualification process. 5 is a flowchart illustrating a reticle qualification process 500 applied to a repaired mask near field image or result in accordance with an alternative embodiment of the present invention. Initially, the mask near field result is recovered from the reticle in operation 502. This mask near field image may be recovered for a particular reticle based on an image obtained from that particular reticle. This operation may be performed similar to the mask near field recovery operation of FIG. 1. In addition, some of the operations of FIG. 5 may be implemented in a manner similar to the operations of FIG. 3, but including a recovered reticle near field image that includes the intensity and / or phase components of such an image.

도시된 바와 같이, 마스크 근접장 결과는 동작(522)에서 결함을 특징분석하고/특징분석하거나 결함의 위치를 찾기 위하여 평가될 수 있다. 일반적으로 대응하는 레티클에 결함이 있는지 또는 모니터링이 필요한 핫 스팟이 있는지 여부가 결정될 수 있다. 보다 구체적으로, 시뮬레이션된 웨이퍼 이미지를 평가하기 위하여 본 명세서에 설명된 기술 중 일부는 마스크 근접장 이미지 상에 구현될 수 있다. 결함 검출 공정에서, 테스트 및 참조 마스크 근접장 이미지의 임의의 적합한 메트릭이 비교될 수 있다. 예를 들어, 세기 및/또는 위상이 비교될 수 있다. 상이한 결함 유형은 세기 및/또는 위상 값에 상이한 영향을 미칠 것이다. 이러한 차이는 결함 있는 웨이퍼를 초래할 것으로 예상되거나, 수선 가능하거나 모니터링 가능한 핫 스팟 패턴 또는 영역을 식별할 (영향을 미치지 않는 뉴슨스 결함과 반대되는) 실제 결함인 것으로 결정될 수 있다.As shown, the mask near field results may be evaluated to characterize the defect and / or locate the defect in operation 522. In general, it may be determined whether the corresponding reticle is defective or if there are hot spots that need to be monitored. More specifically, some of the techniques described herein to evaluate a simulated wafer image can be implemented on a mask near field image. In a defect detection process, any suitable metric of the test and reference mask near field images can be compared. For example, intensity and / or phase can be compared. Different defect types will have different effects on intensity and / or phase values. This difference may be expected to result in a defective wafer or may be determined to be a real defect (as opposed to non-affecting Newsons defects) that will identify a repairable or monitorable hot spot pattern or area.

예를 들어, 그 후 동작(524)에서 설계가 결함이 있는지 여부가 결정될 수 있다. 설계가 결함이 있는 것으로 판단되면, 설계는 동작(532)에서 수정될 수 있다. 예를 들어, 레티클 근접장 이미지 및 해당 사후-OPC 기반 근접장 사이의 차이가 결함을 검출하기 위한 미리 정의된 임계치를 초과하는지 여부가 결정될 수 있다. 절차(500)는 상술한 바와 같이 웨이퍼 핫 스팟을 모니터링할 것인지, 레티클을 수선할 것인지, 또는 레티클을 재설계할 것인지 여부를 결정하기 위하여 계속될 수 있다. 설계가 결함이 있는 것으로 간주되지 않으면, 동작(526)에서 임의의 핫 스팟이 모니터링될 수 있는지 여부가 결정될 수 있다. 예를 들어, 테스트 및 참조 레티클 근접장 이미지 사이의 임의의 세기 및/또는 위상 차가 관련 임계치에 근접한 것으로 결정될 수 있다. For example, then at operation 524 it can be determined whether the design is defective. If the design is determined to be defective, the design can be modified at operation 532. For example, it may be determined whether the difference between the reticle near field image and the corresponding post-OPC based near field exceeds a predefined threshold for detecting defects. Procedure 500 may continue to determine whether to monitor wafer hot spots, repair the reticle, or redesign the reticle as described above. If the design is not considered defective, it may be determined whether any hot spots may be monitored at operation 526. For example, any intensity and / or phase difference between the test and reference reticle near field images may be determined to be close to a relevant threshold.

핫 스팟이 모니터링될 수 있다고 결정되면, 핫 스팟은 그 후 예를 들어 동작(534)에서 웨이퍼 공정 동안 모니터링될 수 있다. 예를 들어, 핫 스팟 패턴이 웨이퍼 제조 동안 모니터링되어, 공정이 사양을 벗어났는지 및 대응 웨이퍼 패턴이 허용할 수 없는 값으로 변경되는 임계 파라미터를 갖도록 했는지 여부를 결정할 수 있다. 일 구현은 대응 핫 스팟의 레티클 및/또는 웨이퍼 패턴의 검사를 위하여 비교적 높은 감도 레벨을 설정하는 것을 포함할 수 있다. 조건이 공칭 공정 조건에서 멀어질수록 CD 오류 또는 EPE가 커져 웨이퍼 제조 공정의 무결성을 위협할 수 있다.If it is determined that the hot spot can be monitored, the hot spot can then be monitored during wafer processing, for example in operation 534. For example, hot spot patterns can be monitored during wafer fabrication to determine whether the process is out of specification and has a threshold parameter that changes the corresponding wafer pattern to an unacceptable value. One implementation may include setting a relatively high sensitivity level for inspection of the reticle and / or wafer pattern of the corresponding hot spot. The further away from the nominal process conditions, the greater the CD error or EPE can threaten the integrity of the wafer fabrication process.

레티클이 동작(528)에서 수선되어야 할지 여부가 또한 결정될 수 있다. 특정 경우에, 레티클은 동작(536)에서 수선된 결함을 포함할 수 있다. 레티클은 그 후 재자격화될 수 있다. 그렇지 않고, 동작(530)에서 수선할 수 없으면 레티클은 폐기될 수 있다. 새로운 레티클이 제조되고 재자격화될 수 있다.It may also be determined whether the reticle should be repaired in operation 528. In certain cases, the reticle may include a repaired defect at operation 536. The reticle can then be requalified. Otherwise, the reticle can be discarded if it cannot be repaired in operation 530. New reticles can be made and requalified.

본 발명의 특정 기술은 웨이퍼 제조를 시작하기 전에 마스크 패턴 자격화 및 물리 마스크 상의 약한 패턴 또는 핫 스팟의 조기 검출을 제공한다. 레티클 이미지에 기초한 레티클 근접장의 복구를 제공하는 것 외에도, (포커스 및 노광의 많은 설정 및 웨이퍼 레지스트, 에칭, CMP 및 기타 웨이퍼 공정의 효과를 포함하는) 웨이퍼 공정 효과의 전체 범위가 그들이 웨이퍼 패턴에 어떻게 영향을 미치는지를 위하여 고려될 수 있다. 레티클 설계 데이터를 사용하지 않고 레티클 이미지만 사용하여 마스크 근접장이 복구되므로 마스크에 대한 사전 지식이 필요하지 않다. 마스크 패턴은 일반적으로 웨이퍼 패턴보다 4배 더 크기 때문에, 설계 데이터베이스에 대한 보다 정확한 패턴의 위치가 결정될 수 있다. 상기 기술은 또한 EUV 마스크의 패턴 자격화과 같은 임의의 적합한 유형의 마스크로 확장될 수 있다.Certain techniques of the present invention provide for mask pattern qualification and early detection of weak patterns or hot spots on physical masks prior to beginning wafer fabrication. In addition to providing recovery of the reticle near field based on the reticle image, the full range of wafer processing effects (including the many settings of focus and exposure and the effects of wafer resist, etching, CMP and other wafer processes) is how they work on the wafer pattern. It may be considered for influence. The mask near field is recovered using only the reticle image, not the reticle design data, so no prior knowledge of the mask is required. Since the mask pattern is generally four times larger than the wafer pattern, a more accurate position of the pattern relative to the design database can be determined. The technique can also be extended to any suitable type of mask, such as pattern qualification of an EUV mask.

본 발명의 기술은 하드웨어 및/또는 소프트웨어의 임의의 적절한 조합으로 구현될 수 있다. 도 6은 본 발명의 기술이 구현될 수 있는 예시적인 검사 시스템(600)의 개략도이다. 검사 시스템(600)은 스캐너(도시되지 않음)를 모방하는 높은 NA 검사 툴 또는 낮은 NA 검사기로부터 입력(602)을 수신할 수 있다. 검사 시스템은 또한 수신된 입력(602)을 분배하기 위한 데이터 분배 시스템(예를 들어, 604a 및 604b), 마스크 근접장 및 웨이퍼 복구, 공정 모델링 등을 위한 세기 신호(또는 패치) 처리 시스템(예를 들어, 패치 프로세서 및 레티클 자격화 시스템(예를 들어, 612)), 검사 시스템 컴포넌트 사이의 통신을 허용하는 네트워크(예를 들어, 교환(switched) 네트워크(608)), 선택적인 대용량 저장 디바이스(616), 및 마스크 근접장 세기 및 위상(값, 이미지 또는 차이), 레티클/웨이퍼 이미지, 식별된 핫 스팟, CD, CDU 맵, 공정 파라미터 등을 검토하기 위한 하나 이상의 검사 제어 및/또는 검토 스테이션(예를 들어, 610)을 포함할 수 있다. 검사 시스템(600)의 각각의 프로세서는 전형적으로 하나 이상의 마이크로 프로세서 집적 회로를 포함할 수 있고, 또한 인터페이스 및/또는 메모리 집적 회로들을 포함할 수 있고, 하나 이상의 공유 및/또는 글로벌 메모리 디바이스에 추가로 연결될 수 있다.The techniques of the present invention may be implemented in any suitable combination of hardware and / or software. 6 is a schematic diagram of an exemplary inspection system 600 in which the techniques of the present invention may be implemented. Inspection system 600 may receive input 602 from a high NA inspection tool or a low NA inspector that mimics a scanner (not shown). The inspection system may also be a data distribution system (e.g., 604a and 604b) for distributing the received input 602, an intensity signal (or patch) processing system (e.g., for mask near field and wafer recovery, process modeling, etc.) Patch processor and reticle qualification system (e.g., 612), a network that allows communication between inspection system components (e.g., switched network 608), optional mass storage device 616 And one or more inspection control and / or review stations (e.g., to review mask near field intensity and phase (values, images or differences), reticle / wafer images, identified hot spots, CDs, CDU maps, process parameters, etc.) , 610). Each processor of inspection system 600 typically may include one or more microprocessor integrated circuits, and may also include interfaces and / or memory integrated circuits, and in addition to one or more shared and / or global memory devices. Can be connected.

입력 데이터(602)를 생성하기 위한 검사기 또는 데이터 획득 시스템(도시되지 않음)은 레티클의 세기 신호 또는 이미지를 획득하기 위한 (예를 들어, 여기에 더 설명된 바와 같은) 임의의 적절한 계기(instrument)의 형태를 취할 수 있다. 예를 들어, 낮은 NA 검사기는 하나 이상의 광 센서로 반사, 투과 또는 이와 달리 지향되는 검출 광의 일부에 기초하여 광학 이미지를 구성하거나 레티클의 일부의 세기 값을 생성할 수 있다. 그 후, 낮은 NA 검사기는 세기 값 또는 이미지를 출력할 수 있다.A checker or data acquisition system (not shown) for generating input data 602 may be any suitable instrument (eg, as described further herein) for obtaining an intensity signal or image of the reticle. Can take the form of: For example, a low NA inspector may construct an optical image or generate an intensity value of a portion of a reticle based on a portion of the detection light that is reflected, transmitted, or otherwise directed to one or more optical sensors. The low NA checker can then output an intensity value or an image.

낮은 NA 검사 툴은 입사 광학 빔이 레티클의 각각의 패치를 가로 질러 스캔함에 따라 반사 및/또는 투과된 광을 검출 및 수집하도록 동작 가능할 수 있다. 위에서 언급한 바와 같이, 입사 광학 빔은 각각 복수의 패치를 포함하는 레티클 스와스를 가로 질러 스캔할 수 있다. 이 입사 빔에 응답하여 각 패치의 복수의 지점 또는 하위 영역으로부터 광이 수집된다. The low NA inspection tool may be operable to detect and collect reflected and / or transmitted light as the incident optical beam scans across each patch of the reticle. As mentioned above, the incident optical beam can scan across a reticle swath, each containing a plurality of patches. Light is collected from a plurality of points or subregions of each patch in response to this incident beam.

낮은 NA 검사 툴은 일반적으로 그러한 검출된 광을 세기 값에 대응하는 검출된 신호로 변환하도록 동작 가능할 수 있다. 검출된 신호는 레티클의 상이한 위치에서 상이한 세기 값에 대응하는 진폭 값을 갖는 전자기 파형의 형태를 취할 수 있다. 검출된 신호는 또한 간단한 세기 값 리스트 및 관련된 레티클 포인트 좌표의 형태를 취할 수 있다. 검출된 신호는 또한 레티클 상의 상이한 위치 또는 스캔 포인트에 대응하는 상이한 세기 값을 갖는 이미지의 형태를 취할 수 있다. 레티클의 모든 위치가 스캔되고 검출된 신호로 변환된 후에 레티클의 2 개 이상의 이미지가 생성될 수 있거나, 레티클 전체가 스캔된 후 레티클이 완료되도록, 각각의 레티클 부분이 최종 2 개 이상의 이미지로 스캔될 때 2 개 이상의 이미지의 일부가 생성될 수 있다. The low NA inspection tool may generally be operable to convert such detected light into a detected signal corresponding to the intensity value. The detected signal may take the form of an electromagnetic waveform with amplitude values corresponding to different intensity values at different locations of the reticle. The detected signal may also take the form of a simple list of intensity values and associated reticle point coordinates. The detected signal may also take the form of an image with different intensity values corresponding to different locations or scan points on the reticle. Two or more images of the reticle may be generated after all positions of the reticle have been scanned and converted into detected signals, or each reticle portion may be scanned into the last two or more images so that the reticle is complete after the entire reticle has been scanned. When part of two or more images can be generated.

검출된 신호는 또한 에어리얼(aerial) 이미지의 형태를 취할 수 있다. 즉, 웨이퍼 상에 노광된 포토레지스트 패턴의 에어리얼 이미지를 생성하기 위하여 포토리소그래피 시스템의 광학 효과를 시뮬레이션하기 위하여 에어리얼 이미징 기술이 사용될 수 있다. 일반적으로, 포토리소그래피 툴의 광학 기기는 레티클로부터 검출된 신호에 기초하여 에어리얼 이미지를 생성하도록 에뮬레이션된다. 에어리얼 이미지는 웨이퍼의 포토레지스트 층 상으로 포토리소그래피 광학 기기 및 레티클을 통과한 광으로부터 생성된 패턴에 대응한다. 또한, 특정 유형의 포토레지스트 재료에 대한 포토레지스트 노광 공정이 에뮬레이션될 수도 있다.The detected signal may also take the form of an aerial image. That is, aerial imaging techniques can be used to simulate the optical effects of the photolithography system to produce an aerial image of the photoresist pattern exposed on the wafer. Generally, the optics of the photolithography tool are emulated to produce an aerial image based on the signal detected from the reticle. The aerial image corresponds to a pattern generated from light passing through the photolithography optics and the reticle onto the photoresist layer of the wafer. In addition, a photoresist exposure process for certain types of photoresist materials may be emulated.

입사광 또는 검출된 광은 임의의 적절한 입사각에서 임의의 입사 또는 검출된 광 프로파일을 생성하기 위하여 임의의 적절한 공간 개구를 통과할 수 있다. 예로서, 프로그램 가능한 조명 또는 검출 개구는 쌍극자, 사중 극자, 퀘이사, 고리 등과 같은 특정 빔 프로파일을 생성하는데 이용될 수 있다. 특정 예에서, 소스 마스크 최적화(Source Mask Optimization, SMO) 또는 임의의 픽셀화된 조명 기술이 구현될 수 있다. 입사광은 또한 하나 이상의 편광에서 조명 동공의 전부 또는 일부를 선형 편광시키기 위하여 선형 편광기를 통과할 수 있다. 검출된 광은 수집 빔의 특정 영역을 차단하기 위한 아포다이제이션 컴포넌트를 통과할 수 있다.Incident light or detected light may pass through any suitable spatial aperture to produce any incident or detected light profile at any suitable angle of incidence. By way of example, programmable illumination or detection apertures can be used to create specific beam profiles such as dipoles, quadrupoles, quasars, rings, and the like. In certain examples, Source Mask Optimization (SMO) or any pixelated illumination technique may be implemented. Incident light can also pass through a linear polarizer to linearly polarize all or a portion of the illumination pupil in one or more polarizations. The detected light can pass through an apodization component to block a particular area of the collection beam.

세기 또는 이미지 데이터(602)는 네트워크(608)를 통해 데이터 분배 시스템에 의해 수신될 수 있다. 데이터 분배 시스템은 수신된 데이터(602)의 적어도 일부를 유지하기 위하여 RAM 버퍼와 같은 하나 이상의 메모리 디바이스와 관련될 수 있다. 바람직하게는, 총 메모리는 데이터의 전체 견본(swatch)을 보유할 만큼 충분히 크다. 예를 들어, 1 기가 바이트의 메모리는 1 백만 × 1000 픽셀 또는 포인트의 견본에 대하여 잘 작동한다.Intensity or image data 602 may be received by a data distribution system via network 608. The data distribution system may be associated with one or more memory devices, such as a RAM buffer, to maintain at least a portion of the received data 602. Preferably, the total memory is large enough to hold a full swatch of data. For example, a gigabyte of memory works well for a sample of 1 million x 1000 pixels or points.

데이터 분배 시스템(예를 들어, 604a 및 604b)은 또한 수신된 입력 데이터(602)의 일부를 프로세서(예를 들어, 606a 및 606b)로 분배하는 것을 제어할 수 있다. 예를 들어, 데이터 분배 시스템은 제1 패치에 대한 데이터를 제1 패치 프로세서(606a)에 라우팅하고, 제2 패치에 대한 데이터를 패치 프로세서(606b)에 라우팅할 수 있다. 여러 패치에 대한 여러 데이터 세트가 각 패치 프로세서로 라우팅될 수도 있다.The data distribution system (eg, 604a and 604b) may also control distributing a portion of the received input data 602 to the processor (eg, 606a and 606b). For example, the data distribution system may route data for the first patch to the first patch processor 606a and route data for the second patch to the patch processor 606b. Multiple data sets for different patches may be routed to each patch processor.

패치 프로세서는 레티클의 적어도 일부 또는 패치에 대응하는 세기 값 또는 이미지를 수신할 수 있다. 패치 프로세서들은 또한 수신된 데이터 부분을 유지하는 것과 같은 로컬 메모리 기능들을 제공하는 DRAM 디바이스들과 같은 하나 이상의 메모리 디바이스(도시되지 않음)에 결합되거나 통합될 수 있다. 바람직하게는, 메모리는 레티클의 패치에 대응하는 데이터를 보유할 만큼 충분히 크다. 예를 들어, 8MB 메모리는 512 × 1024 픽셀 패치에 해당하는 세기 값 또는 이미지에 대하여 잘 작동한다. 대안적으로, 패치 프로세서는 메모리를 공유할 수 있다.The patch processor may receive an intensity value or image corresponding to at least a portion or patch of the reticle. Patch processors may also be coupled or integrated into one or more memory devices (not shown), such as DRAM devices that provide local memory functions such as maintaining a portion of the data received. Preferably, the memory is large enough to hold data corresponding to a patch of the reticle. For example, an 8MB memory works well for an intensity value or image that corresponds to a 512 x 1024 pixel patch. Alternatively, the patch processor may share memory.

각각의 입력 데이터 세트(602)는 레티클의 스와스에 대응할 수 있다. 하나 이상의 데이터 세트가 데이터 분배 시스템의 메모리에 저장될 수 있다. 이 메모리는 데이터 분배 시스템 내의 하나 이상의 프로세서에 의해 제어될 수 있고, 메모리는 복수의 파티션으로 분할될 수 있다. 예를 들어, 데이터 분배 시스템은 스와스의 일부에 대응하는 데이터를 제1 메모리 파티션(미도시)으로 수신할 수 있고, 데이터 분배 시스템은 다른 스와스에 대응하는 다른 데이터를 제2 메모리 파티션(미도시)으로 수신할 수 있다. 바람직하게는, 데이터 분배 시스템의 각각의 메모리 파티션은 그러한 메모리 파티션과 연관된 프로세서로 라우팅될 데이터의 부분만을 보유한다. 예를 들어, 데이터 분배 시스템의 제1 메모리 파티션은 제1 데이터를 유지하고 패치 프로세서(606a)에 라우팅할 수 있고, 제2 메모리 파티션은 제2 데이터를 유지하고 패치 프로세서(606b)에 라우팅할 수 있다.Each input data set 602 may correspond to a swath of the reticle. One or more data sets may be stored in the memory of the data distribution system. This memory may be controlled by one or more processors in the data distribution system, and the memory may be divided into a plurality of partitions. For example, the data distribution system may receive data corresponding to a portion of a swath into a first memory partition (not shown), and the data distribution system may receive other data corresponding to another swath in a second memory partition (not shown). Can be received). Preferably, each memory partition of the data distribution system retains only a portion of the data to be routed to the processor associated with that memory partition. For example, a first memory partition of a data distribution system may retain and route first data to patch processor 606a, and a second memory partition may retain second data and route to patch processor 606b. have.

데이터 분배 시스템은 데이터의 임의의 적절한 파라미터에 기초하여 데이터의 각 데이터 세트를 정의 및 분배할 수 있다. 예를 들어, 데이터는 레티클 상의 패치의 대응하는 위치에 기초하여 정의되고 분배될 수 있다. 일 실시 예에서, 각각의 스와스는 스와스 내의 픽셀의 수평 위치에 대응하는 열 위치의 범위와 관련된다. 예를 들어, 스와스의 0 내지 256 열은 제1 패치에 대응할 수 있고, 이 열 내의 픽셀은 제1 이미지 또는 세기 값 세트를 포함할 것이며, 이는 하나 이상의 패치 프로세서로 라우팅된다. 마찬가지로, 스와스의 열 257 내지 512는 제2 패치에 대응할 수 있고, 이 열의 픽셀은 제2 이미지 또는 세기 값의 세트를 포함할 것이고, 이는 상이한 패치 프로세서(들)로 라우팅된다.The data distribution system may define and distribute each data set of data based on any suitable parameter of the data. For example, data may be defined and distributed based on the corresponding location of the patch on the reticle. In one embodiment, each swath is associated with a range of column positions corresponding to the horizontal position of the pixels within the swath. For example, columns 0-256 of a swath may correspond to a first patch, and pixels within this column will contain a first image or set of intensity values, which are routed to one or more patch processors. Similarly, columns 257-512 of swath may correspond to the second patch, and the pixels in this column will contain a second image or set of intensity values, which are routed to different patch processor (s).

검사 장치는 EUV 레티클 또는 마스크뿐만 아니라 반도체 디바이스 또는 웨이퍼 및 광학 레티클을 검사하는데 적합할 수 있다. 적합한 검사 툴의 예는 193nm에서 작동하는 Teron™ 또는 캘리포니아주 밀피타스(Milpitas)의 KLA-Tencor에서 제공하는 TeraScan™ DUV 레티클 검사 툴이다. 본 발명의 검사 장치를 사용하여 검사 또는 이미지화될 수 있는 다른 유형의 샘플은 평판 디스플레이와 같은 임의의 표면을 포함한다.The inspection apparatus may be suitable for inspecting semiconductor devices or wafers and optical reticles as well as EUV reticles or masks. An example of a suitable inspection tool is the TeraScan ™ DUV reticle inspection tool from Teron ™ or KLA-Tencor, Milpitas, Calif., Operating at 193 nm. Other types of samples that can be inspected or imaged using the inspection apparatus of the present invention include any surface, such as a flat panel display.

검사 툴은 입사 광빔을 생성하기 위한 적어도 하나의 광원, 입사 빔을 샘플로 향하게 하는 조명 광학 기기, 입사 빔에 응답하여 샘플로부터 방출되는 출력 빔을 지향시키는 수집 광학 기기, 출력 빔을 검출하고 출력 빔에 대한 이미지 또는 신호를 생성하기 위한 센서, 및 검사 툴의 컴포넌트를 제어하고 본 명세서에서 추가로 설명되는 바와 같이 마스크 근접장 생성 및 분석 기술을 용이하게 하기 위한 제어기/프로세서를 포함할 수 있다.The inspection tool includes at least one light source for generating an incident light beam, illumination optics that direct the incident beam to the sample, acquisition optics that direct the output beam emitted from the sample in response to the incident beam, and detects the output beam And a controller / processor to control the components of the inspection tool and to facilitate the mask near field generation and analysis technique as further described herein.

다음의 예시적인 검사 시스템에서, 입사 빔은 임의의 적합한 형태의 코히어 런트 광일 수 있다. 부가적으로, 임의의 적합한 렌즈 배열이 입사 빔을 샘플을 향하게 하고 샘플로부터 나오는 출력 빔을 검출기를 향하게 하는데 사용될 수 있다. 출력 빔은 샘플로부터 반사되거나 산란되거나 샘플을 통과하여 투과될 수 있다. EUV 레티클 검사의 경우, 출력 빔은 일반적으로 샘플에서 반사된다. 마찬가지로, 임의의 적합한 검출기 유형 또는 다수의 검출 요소가 출력 빔을 수신하고 수신된 출력 빔의 특성(예를 들어, 세기)에 기초하여 이미지 또는 신호를 제공하기 위하여 사용될 수 있다.In the following example inspection system, the incident beam can be any suitable form of coherent light. In addition, any suitable lens arrangement may be used to direct the incident beam towards the sample and the output beam coming from the sample towards the detector. The output beam can be reflected or scattered from the sample or transmitted through the sample. For EUV reticle inspection, the output beam is generally reflected at the sample. Likewise, any suitable detector type or multiple detection elements may be used to receive the output beam and provide an image or signal based on the characteristics (eg, intensity) of the received output beam.

EUV 포토리소그래피 툴은 전형적으로 반사형 광학 기기만을 가질 것이지만, 일반화된 포토리소그래피 툴이 먼저 설명될 것이다. 도 7a는 특정 실시 예에 따라 포토마스크(M)로부터 웨이퍼(W)로 마스크 패턴을 전사하는데 사용될 수 있는 전형적인 리소그래픽 시스템(700)의 단순화된 개략도이다. 이러한 시스템의 예는 스캐너 및 스테퍼, 보다 구체적으로는 네덜란드 벨트호벤(Veldhoven)의 ASML에서 제공하는 TWINSCAN NXT:1970Ci Step-and-Scan 시스템을 포함한다. 일반적으로, 조명원(703)은 조명 광학 기기(707)(예를 들어, 렌즈(705))를 통해 마스크 평면(702)에 위치한 포토마스크(M) 상으로 광빔을 지향시킨다. 조명 렌즈(705)는 그 평면(702)에 개구 수(701)를 갖는다. 개구 수(701)의 값은 포토마스크 상의 어느 결함이 리소그래피 중대 결함이고 어느 결함이 아닌지에 영향을 준다. 포토마스크(M)를 통과하는 빔의 일부는 패턴 전사를 개시하기 위하여 이미징 광학 기기(713)를 통해 웨이퍼(W) 상으로 향하는 패터닝된 광학 신호를 형성한다. 반사 시스템(미도시)에서, 조명 빔은 마스크(M)의 특정 부분으로부터 반사되고(그리고 그러한 마스크(M)의 다른 부분에 의해 흡수됨) 웨이퍼(W) 상의 반사 이미징 광학 기기를 통해 지향되는 패터닝된 신호를 형성한다.EUV photolithography tools will typically only have reflective optics, but a generalized photolithography tool will be described first. FIG. 7A is a simplified schematic diagram of a typical lithographic system 700 that can be used to transfer a mask pattern from photomask M to wafer W in accordance with certain embodiments. Examples of such systems include scanners and steppers, and more specifically the TWINSCAN NXT: 1970Ci Step-and-Scan system provided by ASML of Veldhoven, The Netherlands. In general, illumination source 703 directs the light beam through illumination optics 707 (eg, lens 705) onto photomask M located in mask plane 702. The illumination lens 705 has a numerical aperture 701 in its plane 702. The value of the numerical aperture 701 affects which defects on the photomask are lithographic major defects and which are not. A portion of the beam passing through the photomask M forms a patterned optical signal onto the wafer W through the imaging optics 713 to initiate pattern transfer. In a reflective system (not shown), the illumination beam is reflected from a particular portion of mask M (and absorbed by other portions of such mask M) and patterned directed through reflective imaging optics on wafer W. Form a signal.

검사 툴은 유사한 컴포넌트를 이용하거나 전술한 포토리소그래피 툴, 예를 들어 LNI 능력과 유사하게 구성될 수 있다. 그러나, 검사 툴은 대안적으로 또는 추가로 고해상도 이미지를 생성하도록 구성될 수 있다. 도 7b는 특정 실시 예에 따라 레티클 평면(752)에서 비교적 큰 개구 수(751b)를 갖는 이미징 렌즈를 포함하는 조명 광학 기기(751a)를 갖는 예시적인 검사 시스템(750)의 개략도를 제공한다. 예를 들어, 검사 시스템의 레티클 평면(752)에서의 개구 수(751b)는 리소그래피 시스템(700)의 레티클 평면(702)에서의 개구 수(701)보다 상당히 클 수 있으며, 이는 테스트 검사 이미지와 실제 인쇄된 이미지 사이의 차이를 초래할 수 있다.The inspection tool may use similar components or be configured similarly to the photolithography tool, for example LNI capabilities described above. However, the inspection tool may alternatively or additionally be configured to produce high resolution images. 7B provides a schematic diagram of an exemplary inspection system 750 with illumination optics 751a that includes an imaging lens having a relatively large numerical aperture 751b in the reticle plane 752 in accordance with certain embodiments. For example, the numerical aperture 751b in the reticle plane 752 of the inspection system may be significantly larger than the numerical aperture 701 in the reticle plane 702 of the lithography system 700, which is a test inspection image and the actual number. This can lead to differences between printed images.

본 명세서에 설명된 검사 기술은 도 7b에 개략적으로 도시된 것과 같은 특별히 구성된 다양한 검사 시스템에서 구현될 수 있다. 도시된 시스템(750)은 조명 광학 기기(751a)를 통해 레티클 평면(752)의 포토마스크(M) 상으로 지향되는 광빔을 생성하는 조명원(760)을 포함한다. 광원의 예는 코히어런트 레이저 광원(예를 들어, 딥 UV 또는 가스 레이저 발생기), 필터링된 램프, LED 광원 등을 포함한다. 특정 실시 예에서, 광원은 일반적으로 높은 펄스 반복률, 저잡음, 고출력, 안정성, 신뢰성 및 확장성을 제공할 수 있다. EUV 스캐너는 13.5nm 파장에서 작동하지만 EUV 레티클에 대한 검사 툴은 가능하더라도 동일한 파장에서 작동할 필요는 없다는 것에 유의해야 한다. 일례에서, 소스는 193 nm 레이저이다.The inspection techniques described herein may be implemented in a variety of specially configured inspection systems, such as schematically illustrated in FIG. 7B. The illustrated system 750 includes an illumination source 760 that generates a light beam directed through the illumination optics 751a onto the photomask M of the reticle plane 752. Examples of light sources include coherent laser light sources (eg, deep UV or gas laser generators), filtered lamps, LED light sources, and the like. In certain embodiments, the light source may generally provide high pulse repetition rate, low noise, high power, stability, reliability, and expandability. It should be noted that while EUV scanners operate at 13.5nm wavelength, inspection tools for EUV reticles do not need to operate at the same wavelength, if possible. In one example, the source is a 193 nm laser.

조명 광학 기기(751a)는 정밀한 빔 포지셔닝을 위한 빔 스티어링(beam steering) 디바이스 및 광 레벨 제어, 스펙클 노이즈 감소 및 높은 빔 균일성을 제공하는데 사용될 수 있는 빔 컨디셔닝 디바이스를 포함할 수 있다. 빔 스티어링 및/또는 빔 컨디셔닝 디바이스는 예를 들어 레이저와 별도의 물리적 디바이스일 수 있다. 조명 광학 기기(751a)는 또한 편광, 포커스, 확대, 조명 세기 분포 등을 제어하기 위한 광학 기기를 포함할 수 있다.The illumination optics 751a may include a beam steering device for precise beam positioning and a beam conditioning device that may be used to provide light level control, speckle noise reduction, and high beam uniformity. The beam steering and / or beam conditioning device may for example be a physical device separate from the laser. The illumination optics 751a may also include optics for controlling polarization, focus, magnification, illumination intensity distribution, and the like.

전술한 바와 같이, 검사 시스템(750)은 레티클 평면(752)에서 대응 리소그래피 시스템의 레티클 평면 개구 수(예를 들어, 도 7a의 요소(701)) 이상일 수 있는 개구 수(751b)를 가질 수 있다. 검사될 포토마스크(M)는 레티클 평면(752)에서 마스크 스테이지 상에 배치되고 소스에 노광된다.As noted above, the inspection system 750 may have a numerical aperture 751b in the reticle plane 752 that may be equal to or greater than the reticle plane aperture number (eg, element 701 in FIG. 7A) of the corresponding lithography system. . The photomask M to be inspected is disposed on the mask stage in the reticle plane 752 and exposed to the source.

도시된 검사 시스템(750)은 검출 광학 기기(753a 및 753b)를 포함할 수 있으며, 이는 예를 들어 강화된 검사를 위하여 60-200X 이상의 확대를 제공하도록 설계된 미세한 확대(microscopic magnification) 광학 기기를 포함할 수 있다. 수집 광학 기기(753a 및 753b)는 출력 광/빔을 컨디셔닝하기 위한 임의의 적합한 광학 기기를 포함할 수 있다. 예를 들어, 수집 광학 기기(753a 및 753b)는 포커스, 동공 형상, 편광 분석기 설정 등을 제어하기 위한 광학 기기를 포함할 수 있다.The illustrated inspection system 750 can include detection optics 753a and 753b, which include, for example, microscopic magnification optics designed to provide magnifications of 60-200X or greater for enhanced inspection. can do. Acquisition optics 753a and 753b may include any suitable optics for conditioning output light / beams. For example, acquisition optics 753a and 753b may include optics for controlling focus, pupil shape, polarization analyzer settings, and the like.

투과 모드에서, 마스크(M)로부터의 패터닝된 이미지는 패터닝된 이미지를 센서(754a) 상으로 투영하는 광학 요소들의 집합(753a)을 통해 지향될 수 있다. 반사 모드에서, 수집 요소들(예를 들어, 빔 스플리터(776) 및 검출 렌즈(778))은 마스크(M)로부터 반사된 광을 센서(754b)로 지향시키고 캡처한다. 2 개의 센서가 도시되어 있지만, 동일한 레티클 영역의 상이한 스캔 동안 반사 및 투과된 광을 검출하기 위하여 단일 센서가 사용될 수 있다. 적합한 센서로는 CCD(charged coupled device), CCD 어레이, TDI(time delay integration) 센서, TDI 센서 어레이, PMT(photomultiplier tube) 및 기타 센서가 있다.In the transmission mode, the patterned image from the mask M may be directed through a set of optical elements 753a that project the patterned image onto the sensor 754a. In the reflective mode, collection elements (eg, beam splitter 776 and detection lens 778) direct and capture the light reflected from mask M to sensor 754b. Although two sensors are shown, a single sensor can be used to detect reflected and transmitted light during different scans of the same reticle area. Suitable sensors include charged coupled devices (CCDs), CCD arrays, time delay integration (TDI) sensors, TDI sensor arrays, photomultiplier tubes (PMT), and other sensors.

조명 광학 기기 열(column)은 레티클의 패치를 스캔하기 위하여 임의의 적절한 메커니즘에 의해 마스크 스테이지에 대하여 이동될 수 있고/있거나 스테이지가 검출기 또는 카메라에 대하여 이동될 수 있다. 예를 들어, 스테이지를 이동시키기 위하여 모터 메커니즘이 이용될 수 있다. 모터 메커니즘은 예로서 스크류 드라이브 및 스테퍼 모터, 피드백 위치를 갖는 선형 드라이브, 또는 밴드 액츄에이터 및 스테퍼 모터로 형성될 수 있다. 시스템(700)은 조명 또는 수집 광학 경로에 대하여 임의의 시스템 컴포넌트를 이동시키기 위하여 하나 이상의 모터 메커니즘을 이용할 수 있다.The illumination optics column may be moved relative to the mask stage by any suitable mechanism to scan the patch of the reticle and / or the stage may be moved relative to the detector or camera. For example, a motor mechanism can be used to move the stage. The motor mechanism may be formed, for example, by a screw drive and stepper motor, a linear drive with a feedback position, or a band actuator and stepper motor. System 700 may utilize one or more motor mechanisms to move any system component with respect to the illumination or acquisition optical path.

각각의 센서(예를 들어, 754a 및/또는 754b)에 의해 캡처된 신호는 컴퓨터 시스템(773)에 의해, 또는 보다 일반적으로, 하나 이상의 신호 처리 디바이스에 의해 처리될 수 있고, 신호 처리 디바이스는 각 센서로부터의 아날로그 신호를 처리를 위하여 디지털 신호로 변환하도록 구성되는 아날로그-디지털 변환기를 각각 포함할 수 있다. 컴퓨터 시스템(773)은 전형적으로 적절한 버스 또는 다른 통신 메커니즘을 통해 입력/출력 포트에 연결된 하나 이상의 프로세서, 및 하나 이상의 메모리를 갖는다.The signal captured by each sensor (eg, 754a and / or 754b) may be processed by computer system 773, or more generally, by one or more signal processing devices, each signal processing device being a respective one. Each may include an analog-to-digital converter configured to convert the analog signal from the sensor into a digital signal for processing. Computer system 773 typically has one or more processors, and one or more memories, connected to input / output ports via a suitable bus or other communication mechanism.

컴퓨터 시스템(773)은 또한 포커스 변경 및 다른 검사 레시피 파라미터와 같은 사용자 입력을 제공하기 위한 하나 이상의 입력 디바이스(예를 들어, 키보드, 마우스, 조이스틱)를 포함할 수 있다. 컴퓨터 시스템(773)은 또한 예를 들어 샘플 위치(예를 들어, 포커싱 및 스캐닝)를 제어하기 위하여 스테이지에 연결될 수 있고, 그러한 검사 시스템 컴포넌트의 다른 검사 파라미터 및 구성을 제어하기 위하여 다른 검사 시스템 컴포넌트에 연결될 수 있다.Computer system 773 may also include one or more input devices (eg, keyboard, mouse, joystick) for providing user input, such as focus change and other inspection recipe parameters. Computer system 773 may also be coupled to the stage, for example, to control sample positions (eg, focusing and scanning), and to other inspection system components to control other inspection parameters and configurations of such inspection system components. Can be connected.

컴퓨터 시스템(773)은 마스크 근접장 세기 및 위상(값, 이미지 또는 차이), 레티클/웨이퍼 이미지, 식별된 핫 스팟, CD, CDU 맵, 공정 파라미터 등을 디스플레이하기 위한 사용자 인터페이스(예를 들어, 컴퓨터 스크린)를 제공하도록 (예를 들어, 프로그래밍 명령어들로) 구성될 수 있다. 컴퓨터 시스템(773)은 반사 및/또는 투과된 검출 및/또는 시뮬레이션된 신호 또는 이미지, 복구된 레티클 근접장 결과 등의 세기, 위상 및/또는 다른 특성을 분석하도록 구성될 수 있다. 컴퓨터 시스템(773)은 결과 세기 및/또는 위상 값, 이미지 및 다른 검사 특성을 디스플레이하기 위한 (예를 들어, 컴퓨터 스크린 상의) 사용자 인터페이스를 제공하도록 (예를 들어, 프로그래밍 명령어들로) 구성될 수 있다. 특정 실시 예에서, 컴퓨터 시스템(773)은 상술한 검사 기술을 수행하도록 구성된다.Computer system 773 is a user interface (eg, computer screen) for displaying mask near field intensity and phase (values, images or differences), reticle / wafer images, identified hot spots, CDs, CDU maps, process parameters, and the like. Can be configured (eg, with programming instructions). Computer system 773 may be configured to analyze the intensity, phase, and / or other characteristics of reflected and / or transmitted detected and / or simulated signals or images, recovered reticle near field results, and the like. Computer system 773 may be configured (eg, with programming instructions) to provide a user interface (eg, on a computer screen) for displaying result intensity and / or phase values, images, and other inspection characteristics. have. In certain embodiments, computer system 773 is configured to perform the inspection techniques described above.

그러한 정보 및 프로그램 명령어들은 특별히 구성된 컴퓨터 시스템에서 구현될 수 있기 때문에, 그러한 시스템은 컴퓨터 판독 가능 매체에 저장될 수 있는 본 명세서에 설명된 다양한 동작을 수행하기 위한 프로그램 명령어들/컴퓨터 코드를 포함한다. 머신 판독 가능 매체의 예는 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 디스크와 같은 광학 매체; 광 디스크와 같은 광 자기 매체; 및 ROM(read-only memory device) 및 RAM(random access memory)과 같은 프로그램 명령어들을 저장하고 수행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만, 이에 제한되지 않는다. 프로그램 명령어들의 예는 컴파일러에 의해 생성되는 머신 코드 및 컴퓨터가 인터프리터를 사용하여 실행할 수 있는 더 높은 레벨의 코드를 포함하는 파일을 모두 포함한다. Since such information and program instructions may be implemented in a specially configured computer system, such system includes program instructions / computer code for performing various operations described herein that may be stored on a computer readable medium. Examples of machine readable media include magnetic media such as hard disks, floppy disks, and magnetic tape; Optical media such as CD-ROM disks; Magneto-optical media such as optical disks; And hardware devices specifically configured to store and execute program instructions, such as read-only memory devices (ROMs) and random access memory (RAM). Examples of program instructions include both machine code generated by the compiler and files containing higher level code that a computer can execute using an interpreter.

도 7b는 조명 광빔이 검사된 표면에 대하여 실질적으로 수직인 각도로 샘플 표면을 향하는 예를 도시한다. 다른 실시 예들에서, 조명 광빔은 경사각으로 지향될 수 있으며, 이는 조명 및 반사 빔의 분리를 허용한다. 이들 실시 예에서, 검출기에 도달하기 전에 반사된 광빔의 0차 성분을 감쇠시키기 위하여, 감쇠기가 반사된 빔 경로 상에 위치될 수 있다. 또한, 반사 광빔의 0 차 성분의 위상을 시프트시키기 위하여, 이미징 개구가 반사 빔 경로 상에 위치될 수 있다.7B shows an example where the illumination light beam is directed at the sample surface at an angle that is substantially perpendicular to the surface inspected. In other embodiments, the illumination light beam can be directed at an oblique angle, which allows separation of the illumination and reflection beams. In these embodiments, an attenuator may be placed on the reflected beam path to attenuate the zero-order component of the reflected light beam before reaching the detector. In addition, an imaging aperture may be located on the reflective beam path to shift the phase of the zero order component of the reflected light beam.

상기 설명 및 도면은 시스템의 특정 컴포넌트에 대한 제한으로 해석되어서는 안 되며 시스템은 많은 다른 형태로 구현될 수 있음에 유의해야 한다. 예를 들어, 검사 또는 측정 툴은 결함을 검출하고/하거나 레티클 또는 웨이퍼의 피처의 중요한 측면을 해결하기 위하여 배열된 임의의 수의 공지된 이미징 또는 계측 툴로부터의 임의의 적합한 피처를 가질 수 있는 것으로 생각된다. 예로서, 검사 또는 측정 툴은 명시야 이미징 현미경 검사(microscopy), 암시야 이미징 현미경 검사, 풀 스카이(full sky) 이미징 현미경 검사, 위상 콘트라스트 현미경 검사, 편광 콘트라스트 현미경 검사 및 코히어런스 프로브 현미경 검사에 적합할 수 있다. 또한, 타겟의 이미지를 캡처하기 위하여 단일 및 다중 이미지 방법이 사용될 수 있는 것으로 고려된다. 이들 방법은 예를 들어 단일 그랩, 이중 그랩, 단일 그랩 CPM(coherence probe microscopy) 및 이중 그랩 CPM 방법을 포함한다. 스캐터로메트리와 같은 비-이미징 광학 방법이 또한 검사 또는 계측 장치의 일부를 형성하는 것으로 고려될 수 있다.It should be noted that the above description and drawings should not be construed as limitations on specific components of the system, and that the system can be implemented in many different forms. For example, an inspection or measurement tool may have any suitable feature from any number of known imaging or metrology tools arranged to detect defects and / or address important aspects of the features of the reticle or wafer. I think. By way of example, inspection or measurement tools may be used for brightfield imaging microscopy, darkfield imaging microscopy, full sky imaging microscopy, phase contrast microscopy, polarization contrast microscopy and coherence probe microscopy. May be suitable. It is also contemplated that single and multiple imaging methods can be used to capture images of the target. These methods include, for example, single grab, double grab, single grab coherence probe microscopy (CPM) and double grab CPM methods. Non-imaging optical methods such as scatterometry may also be considered to form part of the inspection or metrology device.

전술한 발명이 이해의 명확성을 위하여 일부 상세하게 설명되었지만, 특정 변경 및 수정이 첨부된 청구범위의 범주 내에서 실시될 수 있음이 명백할 것이다. 본 발명의 공정, 시스템 및 장치를 구현하는 많은 대안적인 방법이 있음에 유의해야 한다. 따라서, 본 실시 예는 예시적이고 비-제한적인 것으로 간주되어야 하며, 본 발명은 여기에 주어진 세부 사항으로 제한되지 않아야 한다.While the foregoing invention has been described in some detail for clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein.

Claims (30)

포토리소그래픽 레티클을 자격화(qualify)하는 방법에 있어서,
테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 조명 구성들 및/또는 상이한 이미징 구성들에서 복수의 이미지들을 획득하기 위하여 이미징 툴을 이용하는 단계;
상기 테스트 레티클의 각각의 패턴 영역으로부터 획득된 이미지들에 기초하여 상기 테스트 레티클의 패턴 영역들 각각에 대하여 레티클 근접장(near field)을 복구(recover)하는 단계; 및
상기 테스트 레티클을 특징분석하거나(characterize) 또는 그러한 테스트 레티클이 결함이 있는지 여부를 결정하기 위하여 상기 복구된 레티클 근접장을 분석하는 단계
를 포함하는 포토리소그래픽 레티클을 자격화하는 방법.
In a method of qualifying a photolithographic reticle,
Using the imaging tool to obtain a plurality of images in different illumination configurations and / or different imaging configurations from each of the plurality of pattern regions of the test reticle;
Recovering a reticle near field for each of the pattern regions of the test reticle based on images obtained from each pattern region of the test reticle; And
Characterizing the test reticle or analyzing the repaired reticle near field to determine whether such test reticle is defective
How to qualify a photolithographic reticle comprising a.
제1항에 있어서,
상기 복수의 이미지들은 동공 평면(pupil plane)에서 획득되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
And wherein said plurality of images are obtained at a pupil plane.
제1항에 있어서,
상기 복구된 레티클 근접장은 상기 테스트 레티클에서 결함들을 검출하기 위하여 분석되며,
결함 검출은 상이한 시간들에서 동일한 다이에 대하여, 인접한 다이들에 대하여, 다이와 그 대응하는 골든 다이에 대하여, 또는 다이와 상기 테스트 레티클과 동일한 설계를 가진 레티클 사본으로부터의 대응하는 다이에 대하여, 세기 및/또는 위상을 비교하는 것을 포함하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
The recovered reticle near field is analyzed to detect defects in the test reticle,
Defect detection is based on intensity and / or for the same die at different times, for adjacent dies, for the die and its corresponding golden die, or for a corresponding die from a reticle copy having the same design as the die and the test reticle. Or comparing the phases.
제1항에 있어서,
상기 레티클 근접장은 상기 테스트 레티클을 제조하는데 사용되었던 설계 데이터베이스를 사용하지 않고 복구되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
Wherein the reticle near field is recovered without using a design database that was used to manufacture the test reticle.
제1항에 있어서,
상기 획득된 이미지들은 동일한 레티클 근접장을 초래하도록 선택된 상이한 이미징 조건들에서 획득되는 적어도 3 개의 반사 이미지들을 포함하고,
상기 상이한 이미징 조건들은 상이한 포커스 설정들, 상이한 동공 형상들, 및/또는 편광 분석기 설정들을 포함하고,
상기 상이한 조명 조건들은 상이한 소스 세기 분포 및/또는 편광 설정들을 포함하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
The acquired images comprise at least three reflection images acquired at different imaging conditions selected to result in the same reticle near field,
The different imaging conditions include different focus settings, different pupil shapes, and / or polarization analyzer settings,
Wherein the different illumination conditions comprise different source intensity distribution and / or polarization settings.
제1항에 있어서,
상기 획득된 이미지들은 동일한 레티클 근접장을 초래하도록 선택된 상이한 이미징 조건들에서 획득되는 적어도 3 개의 투과 이미지들을 포함하고,
상기 상이한 이미징 조건들은 상이한 포커스 설정들, 상이한 동공 형상들, 또는 편광 분석기 설정들을 포함하고,
상기 상이한 조명 조건들은 상이한 소스 세기 분포 및/또는 편광 설정들을 포함하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
The acquired images comprise at least three transmission images acquired at different imaging conditions selected to result in the same reticle near field,
The different imaging conditions include different focus settings, different pupil shapes, or polarization analyzer settings,
Wherein the different illumination conditions comprise different source intensity distribution and / or polarization settings.
제1항에 있어서,
복수의 테스트 웨이퍼 이미지들을 시뮬레이션하기 위하여 리소그래피 모델을 상기 테스트 레티클에 대한 상기 레티클 근접장에 적용하는 단계; 및
상기 테스트 레티클이 불안정한 웨이퍼 또는 결함이 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하는 단계
를 더 포함하고,
상기 리소그래피 모델은 포토리소그래피 공정을 시뮬레이션하도록 구성되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 1,
Applying a lithographic model to the reticle near field for the test reticle to simulate a plurality of test wafer images; And
Analyzing the simulated test wafer images to determine whether the test reticle is expected to result in an unstable wafer or a defective wafer
More,
Wherein said lithographic model is configured to simulate a photolithography process.
제7항에 있어서,
상기 리소그래피 모델은 상기 테스트 레티클 또는 또다른 레티클 또는 웨이퍼의 이미지들을 획득하기 위하여 검사 툴의 조명 형상과는 상이한 형상을 갖는 조명원을 시뮬레이션하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
And the lithographic model simulates an illumination source having a shape different from that of the inspection tool to obtain images of the test reticle or another reticle or wafer.
제7항에 있어서,
상기 리소그래피 모델은 캘리브레이션 레티클을 위한 설계 데이터베이스로부터 렌더링된 이미지들로 캘리브레이션되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
Wherein said lithographic model is calibrated with images rendered from a design database for a calibration reticle.
제7항에 있어서,
상기 리소그래피 모델은 캘리브레이션 레티클로부터 획득된 이미지들로 캘리브레이션되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
And the lithographic model is calibrated with images obtained from a calibration reticle.
제7항에 있어서,
상기 리소그래피 모델은 콤팩트 레지스트 모델을 포함하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
And wherein said lithographic model comprises a compact resist model.
제7항에 있어서,
상기 리소그래피 모델은 복수의 상이한 리소그래피 공정 조건들 하에서, 상기 테스트 레티클에 대하여 복구되었던 레티클 근접장에 적용되고,
상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하는 단계는, 상이한 공정 조건들 및 동일한 레티클 영역과 연관된 상기 시뮬레이션된 테스트 이미지들의 부분들을 비교함으로써 상기 상이한 리소그래피 공정 조건들 하에서 불안정한 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하는 단계를 포함하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
The lithographic model is applied to a reticle near field that was recovered for the test reticle under a plurality of different lithography process conditions,
Analyzing the simulated test wafer images to determine whether it is expected to result in an unstable wafer under different lithography process conditions by comparing portions of the simulated test images associated with different process conditions and the same reticle area. And qualifying the photolithographic reticle.
제7항에 있어서,
이미지들을 획득하는 동작, 복구하는 동작, 상기 리소그래피 모델을 적용하는 동작, 임의의 레티클 결함들의 근본 원인(root cause)들을 분리하기 위하여 포토리소그래피 모델링, 레지스트 모델링, 및 에칭 모델링 각각을 적용한 후에 얻어진 상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하는 동작을 반복하는 단계
를 더 포함하는 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
The simulation obtained after applying each of photolithography modeling, resist modeling, and etch modeling to obtain images, to recover images, to apply the lithographic model, to isolate the root causes of any reticle defects. Repeating the operation of analyzing the test wafer images
How to qualify a photolithographic reticle further comprising.
제7항에 있어서,
상기 이미징 툴은 상기 테스트 레티클이 웨이퍼 제조를 위하여 사용될 포토리소그래피 시스템과 동일한 파장 범위를 이용하는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
Wherein the imaging tool uses the same wavelength range as the test reticle to be used for wafer fabrication.
제7항에 있어서,
상기 이미징 툴은 상기 테스트 레티클이 웨이퍼 제조에 사용될 포토리소그래피 시스템과 상이한 파장 범위를 이용하고, 상기 테스트 웨이퍼 이미지들에 대해 결함 검출을 수행함으로써 상기 테스트 레티클이 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 상기 시뮬레이션된 테스트 웨이퍼 이미지들이 분석되는 것인 포토리소그래픽 레티클을 자격화하는 방법.
The method of claim 7, wherein
The imaging tool determines whether the test reticle is expected to result in a defective wafer by using a different wavelength range than the photolithography system in which the test reticle is to be used for wafer fabrication and performing defect detection on the test wafer images. And the simulated test wafer images are analyzed to qualify a photolithographic reticle.
포토리소그래픽 레티클을 자격화하기 위한 이미징 시스템에 있어서,
입사 빔을 생성하기 위한 광원;
상기 입사 빔을 레티클 상으로 지향시키기 위한 조명 광학 기기(illumination optics) 모듈;
상기 레티클의 각각의 패턴 영역으로부터의 출력 빔을 적어도 하나의 센서로 지향시키기 위한 수집 광학 기기(collection optics) 모듈;
상기 출력 빔을 검출하고 상기 출력 빔에 기초하여 이미지 또는 신호를 생성하기 위한 적어도 하나의 센서; 및
동작들을 수행하도록 구성된 제어기
를 포함하고,
상기 동작들은,
테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 조명 구성들 및/또는 상이한 이미징 구성들에서 복수의 이미지들의 획득을 초래하는 동작;
상기 테스트 레티클의 각각의 패턴 영역으로부터의 상기 획득된 이미지들에 기초하여 상기 테스트 레티클의 패턴 영역들 각각에 대하여 레티클 근접장을 복구하는 동작; 및
상기 테스트 레티클 또는 또 다른 레티클이 불안정한 웨이퍼 패턴 또는 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 상기 복구된 레티클 근접장을 분석하는 동작
을 포함하는 것인 이미징 시스템.
An imaging system for qualifying photolithographic reticles,
A light source for generating an incident beam;
Illumination optics modules for directing the incident beam onto a reticle;
A collection optics module for directing an output beam from each pattern region of the reticle to at least one sensor;
At least one sensor for detecting the output beam and generating an image or signal based on the output beam; And
A controller configured to perform operations
Including,
The operations are
Causing acquisition of a plurality of images in different illumination configurations and / or different imaging configurations from each of the plurality of pattern regions of the test reticle;
Restoring a reticle near field for each of the pattern regions of the test reticle based on the obtained images from each pattern region of the test reticle; And
Analyzing the recovered reticle near field to determine whether the test reticle or another reticle is expected to result in an unstable wafer pattern or defective wafer
Imaging system comprising a.
제16항에 있어서,
상기 복수의 이미지들은 동공 평면에서 획득되는 것인 이미징 시스템.
The method of claim 16,
And the plurality of images are obtained at the pupil plane.
제16항에 있어서,
상기 복구된 레티클 근접장은 상기 테스트 레티클에서 결함들을 검출하기 위하여 분석되며,
결함 검출은 상이한 시간들에서 동일한 다이에 대하여, 인접한 다이들에 대하여, 다이와 그 대응하는 골든 다이에 대하여, 또는 다이와 상기 테스트 레티클과 동일한 설계를 가진 레티클 사본으로부터의 대응하는 다이에 대하여, 세기 및/또는 위상을 비교하는 것을 포함하는 것인 이미징 시스템.
The method of claim 16,
The recovered reticle near field is analyzed to detect defects in the test reticle,
Defect detection is based on intensity and / or for the same die at different times, for adjacent dies, for the die and its corresponding golden die, or for a corresponding die from a reticle copy having the same design as the die and the test reticle. Or comparing the phases.
제16항에 있어서,
상기 레티클 근접장은 상기 테스트 레티클을 제조하는데 사용되었던 설계 데이터베이스를 사용하지 않고 복구되는 것인 이미징 시스템.
The method of claim 16,
And the reticle near field is recovered without using the design database that was used to manufacture the test reticle.
제16항에 있어서,
상기 획득된 이미지들은 동일한 레티클 근접장을 초래하도록 선택된 상이한 이미징 조건들에서 획득되는 적어도 3 개의 반사 이미지들을 포함하고,
상기 상이한 이미징 조건들은 상이한 포커스 설정들, 상이한 동공 형상들, 및/또는 편광 분석기 설정들을 포함하고,
상기 상이한 조명 조건들은 상이한 소스 세기 분포 및/또는 편광 설정들을 포함하는 것인 이미징 시스템.
The method of claim 16,
The acquired images comprise at least three reflection images acquired at different imaging conditions selected to result in the same reticle near field,
The different imaging conditions include different focus settings, different pupil shapes, and / or polarization analyzer settings,
Wherein the different illumination conditions comprise different source intensity distribution and / or polarization settings.
제16항에 있어서,
상기 획득된 이미지들은 동일한 레티클 근접장을 초래하도록 선택된 상이한 이미징 조건들에서 획득되는 적어도 3 개의 투과 이미지들을 포함하고,
상기 상이한 이미징 조건들은 상이한 포커스 설정들, 상이한 동공 형상들, 또는 편광 분석기 설정들을 포함하고,
상기 상이한 조명 조건들은 상이한 소스 세기 분포 및/또는 편광 설정들을 포함하는 것인 이미징 시스템.
The method of claim 16,
The acquired images comprise at least three transmission images acquired at different imaging conditions selected to result in the same reticle near field,
The different imaging conditions include different focus settings, different pupil shapes, or polarization analyzer settings,
Wherein the different illumination conditions comprise different source intensity distribution and / or polarization settings.
제16항에 있어서,
상기 제어기는 또한,
복수의 테스트 웨이퍼 이미지들을 시뮬레이션하기 위하여 리소그래피 모델을 상기 테스트 레티클에 대한 상기 레티클 근접장에 적용하고;
상기 테스트 레티클이 불안정한 웨이퍼 또는 결함이 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하도록 구성되며,
상기 리소그래피 모델은 포토리소그래피 공정을 시뮬레이션하도록 구성되는 것인 이미징 시스템.
The method of claim 16,
The controller also,
Apply a lithographic model to the reticle near field for the test reticle to simulate a plurality of test wafer images;
And analyze the simulated test wafer images to determine whether the test reticle is expected to result in an unstable wafer or a defective wafer,
Wherein said lithographic model is configured to simulate a photolithography process.
제22항에 있어서,
상기 리소그래피 모델은 상기 테스트 레티클 또는 또다른 레티클 또는 웨이퍼의 이미지들을 획득하기 위하여 검사 시스템의 조명 형상과는 상이한 형상을 갖는 조명원을 시뮬레이션하는 것인 이미징 시스템.
The method of claim 22,
And the lithographic model simulates an illumination source having a shape different from that of the inspection system to obtain images of the test reticle or another reticle or wafer.
제22항에 있어서,
상기 리소그래피 모델은 캘리브레이션 레티클을 위한 설계 데이터베이스로부터 렌더링된 이미지들로 캘리브레이션되는 것인 이미징 시스템.
The method of claim 22,
And the lithographic model is calibrated with images rendered from a design database for a calibration reticle.
제22항에 있어서,
상기 리소그래피 모델은 캘리브레이션 레티클로부터 획득된 이미지들로 캘리브레이션되는 것인 이미징 시스템.
The method of claim 22,
The lithographic model is calibrated with images obtained from a calibration reticle.
제22항에 있어서,
상기 리소그래피 모델은 콤팩트 레지스트 모델을 포함하는 것인 이미징 시스템.
The method of claim 22,
And the lithographic model comprises a compact resist model.
제22항에 있어서,
상기 리소그래피 모델은 복수의 상이한 리소그래피 공정 조건들 하에서, 상기 테스트 레티클에 대하여 복구되었던 레티클 근접장에 적용되고,
상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하는 것은, 상이한 공정 조건들 및 동일한 레티클 영역과 연관된 상기 시뮬레이션된 테스트 이미지들의 부분들을 비교함으로써 상기 상이한 리소그래피 공정 조건들 하에서 불안정한 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하는 것을 포함하는 것인 이미징 시스템.
The method of claim 22,
The lithographic model is applied to a reticle near field that was recovered for the test reticle under a plurality of different lithography process conditions,
Analyzing the simulated test wafer images is to determine whether it is expected to result in an unstable wafer under different lithography process conditions by comparing portions of the simulated test images associated with different process conditions and the same reticle area. Imaging system comprising a.
제22항에 있어서,
상기 제어기는 또한, 이미지들을 획득하는 동작, 복구하는 동작, 상기 리소그래피 모델을 적용하는 동작, 임의의 레티클 결함들의 근본 원인들을 분리하기 위하여 포토리소그래피 모델링, 레지스트 모델링, 및 에칭 모델링 각각을 적용한 후에 얻어진 상기 시뮬레이션된 테스트 웨이퍼 이미지들을 분석하는 동작을 반복하도록 구성되는 것인 이미징 시스템.
The method of claim 22,
The controller also obtains the image obtained after applying each of photolithography modeling, resist modeling, and etch modeling to isolate the root causes of any reticle defects; And repeat the operation of analyzing the simulated test wafer images.
제22항에 있어서,
상기 이미징 시스템은 상기 테스트 레티클이 웨이퍼 제조를 위하여 사용될 포토리소그래피 시스템과 동일한 파장 범위를 이용하는 것인 이미징 시스템.
The method of claim 22,
The imaging system wherein the test reticle uses the same wavelength range as the photolithography system to be used for wafer fabrication.
제22항에 있어서,
상기 이미징 시스템은 상기 테스트 레티클이 웨이퍼 제조에 사용될 포토리소그래피 시스템과 상이한 파장 범위를 이용하고, 상기 테스트 웨이퍼 이미지들에 대해 결함 검출을 수행함으로써 상기 테스트 레티클이 결함 있는 웨이퍼를 초래할 것으로 예상되는지 여부를 결정하기 위하여 상기 시뮬레이션된 테스트 웨이퍼 이미지들이 분석되는 것인 이미징 시스템.
The method of claim 22,
The imaging system determines whether the test reticle is expected to result in a defective wafer by using a different wavelength range than the photolithography system in which the test reticle is to be used for wafer fabrication and performing defect detection on the test wafer images. The simulated test wafer images for analysis.
KR1020197035610A 2017-05-18 2017-12-01 Apparatus and Method for Examining Reticles KR20190143450A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237015374A KR20230070057A (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762508369P 2017-05-18 2017-05-18
US62/508,369 2017-05-18
US15/803,628 2017-11-03
US15/803,628 US10395361B2 (en) 2015-08-10 2017-11-03 Apparatus and methods for inspecting reticles
PCT/US2017/064327 WO2018212787A1 (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237015374A Division KR20230070057A (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Publications (1)

Publication Number Publication Date
KR20190143450A true KR20190143450A (en) 2019-12-30

Family

ID=64274553

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237015374A KR20230070057A (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles
KR1020197035610A KR20190143450A (en) 2017-05-18 2017-12-01 Apparatus and Method for Examining Reticles

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237015374A KR20230070057A (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Country Status (6)

Country Link
JP (2) JP2020520481A (en)
KR (2) KR20230070057A (en)
DE (1) DE112017007551T5 (en)
IL (1) IL270618B2 (en)
TW (1) TWI755453B (en)
WO (1) WO2018212787A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3210189B1 (en) 2015-08-10 2022-03-23 KLA - Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
IL281060B2 (en) * 2018-08-28 2023-11-01 Asml Netherlands Bv Systems and methods of optimal metrology guidance
DE102019213904A1 (en) 2019-09-12 2021-03-18 Carl Zeiss Smt Gmbh Method for detecting an object structure and device for carrying out the method
TWI736317B (en) * 2020-06-12 2021-08-11 華邦電子股份有限公司 Recognition method for photolithography process and semiconductor device
US11443095B2 (en) * 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US20220307990A1 (en) * 2021-03-24 2022-09-29 Kla Corporation Imaging reflectometry for inline screening
EP4082982A1 (en) 2021-04-27 2022-11-02 HeidelbergCement AG Composite cement with improved reactivity and method for manufacturing it
IL310450A (en) * 2021-08-11 2024-03-01 Asml Netherlands Bv Mask defect detection
WO2024123370A1 (en) * 2022-12-08 2024-06-13 Leia Inc. Mehtod of large-format imprint lithography and imprint lithography mold

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP2007071678A (en) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp Inspection system
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP6185693B2 (en) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation Systems and methods for detection of design and process defects on wafers, inspection of defects on wafers, selection to use one or more features in the design as process monitoring features, or some combination thereof
WO2010147846A2 (en) * 2009-06-19 2010-12-23 Kla-Tencor Technologies Corporation Inspection systems and methods for detecting defects on extreme ultraviolet mask blanks
DE102011079382B4 (en) * 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Method and device for analyzing and eliminating a defect in an EUV mask
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9547892B2 (en) * 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
TWI644169B (en) * 2014-05-06 2018-12-11 美商克萊譚克公司 Computer-implemented method, non-transitory computer-readable medium, and system for reticle inspection using near-field recovery
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
EP3210189B1 (en) * 2015-08-10 2022-03-23 KLA - Tencor Corporation Apparatus and methods for predicting wafer-level defect printability

Also Published As

Publication number Publication date
KR20230070057A (en) 2023-05-19
JP2022164702A (en) 2022-10-27
IL270618B2 (en) 2023-11-01
IL270618B1 (en) 2023-07-01
IL270618A (en) 2019-12-31
JP2020520481A (en) 2020-07-09
DE112017007551T5 (en) 2020-02-13
JP7440580B2 (en) 2024-02-28
TWI755453B (en) 2022-02-21
WO2018212787A1 (en) 2018-11-22
TW201901291A (en) 2019-01-01

Similar Documents

Publication Publication Date Title
JP7116757B2 (en) Apparatus and method for predicting printability of wafer-level defects
US10395361B2 (en) Apparatus and methods for inspecting reticles
JP7440580B2 (en) Apparatus and method for inspecting a reticle
TWI820070B (en) Inspection of reticles using machine learning
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
JP7422208B2 (en) Methods and systems for model-based critical dimension measurement
JP6594876B2 (en) Photolithographic reticle certification method and system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination