KR20190062580A - 산업 프로세스를 제어하기 위한 방법 및 장치 - Google Patents

산업 프로세스를 제어하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20190062580A
KR20190062580A KR1020197014011A KR20197014011A KR20190062580A KR 20190062580 A KR20190062580 A KR 20190062580A KR 1020197014011 A KR1020197014011 A KR 1020197014011A KR 20197014011 A KR20197014011 A KR 20197014011A KR 20190062580 A KR20190062580 A KR 20190062580A
Authority
KR
South Korea
Prior art keywords
product
data
product units
wafer
wafers
Prior art date
Application number
KR1020197014011A
Other languages
English (en)
Other versions
KR102321887B1 (ko
Inventor
웨이티안 쿠
알렉산더 이프마
마크 하우프트만
미힐 쿠퍼스
민섭 한
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20190062580A publication Critical patent/KR20190062580A/ko
Application granted granted Critical
Publication of KR102321887B1 publication Critical patent/KR102321887B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4188Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by CIM planning or realisation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4183Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by data acquisition, e.g. workpiece identification
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32191Real time statistical process monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32206Selection from a lot of workpieces to be inspected
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37224Inspect wafer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/42Servomotor, servo controller kind till VSS
    • G05B2219/42001Statistical process control spc
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

리소그래피 프로세스가 복수 개의 반도체 웨이퍼(900; 1020)에 수행된다. 방법은 웨이퍼 중 하나 이상을 샘플 웨이퍼(910-914; 1030-1034)로서 선택하는 것을 포함한다. 계측 단계(922; 1042)는 선택된 샘플 웨이퍼에만 수행된다. 선택된 샘플 제품 유닛의 계측 결과(924; 1046)에 기초하여, 웨이퍼 또는 장래의 웨이퍼의 처리를 제어하는 데에 사용하기 위한 정정이 규정된다. 샘플 제품 유닛의 선택은 웨이퍼와 관련하여 측정된 대상 데이터(902; 1006)의 통계 분석에 적어도 부분적으로 기초한다. 동일한 대상 데이터 또는 다른 데이터가 웨이퍼들을 그룹으로 그룹화하기 위해 사용될 수 있다. 샘플 웨이퍼의 선택은, 상기 통계 분석에 의해서 그 그룹 내의 웨이퍼를 최상으로 대표하는 것으로 식별되는 웨이퍼(910-914; 1030-1034)를 선택하는 것을 포함할 수 있다. 샘플 웨이퍼의 선택은 대표하지 않는 것으로 식별되는 제품 유닛(916; 1036)의 제거를 포함할 수 있다.

Description

산업 프로세스를 제어하기 위한 방법 및 장치
관련 출원들에의 상호-참조
본 출원은 2016 년 10 월 21 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 제 16195049.8 의 우선권을 주장한다.
리소그래피 프로세스는, 리소그래피 장치가 원하는 패턴을 기판 상에, 흔히 기판의 타겟 부분 상에 적용시키는 프로세스이고, 그 이후에 다양한 처리 화학물질 및/또는 물리적 처리 단계가 패턴에 걸쳐 작용하여 복잡한 제품의 기능성 피쳐를 생성한다. 기판 상에 패턴을 정확하게 배치하는 것은 리소그래피에 의해 생성될 수 있는 회로 컴포넌트 및 다른 제품의 크기를 줄이기 위한 중요한 과정이다. 특히, 이미 배치된 기판 상의 피쳐를 정확하게 측정하는 것은, 작동하는 디바이스를 높은 수율로 생산하기에 충분할 만큼 정확하게 피쳐들의 연속 층들을 중첩하여 위치설정할 수 있기 위해서 중요한 단계이다. 일반적으로, 소위 오버레이는 오늘날의 서브-마이크론 반도체 디바이스에서 수십 나노미터 내에서, 가장 중요한 층에서는 수 나노미터까지 좁게 달성돼야 한다.
결과적으로, 현대의 리소그래피 장치는, 실제 노광 또는 타겟 위치에서 기판을 패터닝하는 단계 전후에 광범위한 측정 또는 '매핑'의 동작을 수반한다. 성능 파라미터에서 많은 '지문'이 식별될 수 있고, 진보된 프로세스 제어를 구현하는 피드백 루프가 프로세스의 전체 성능을 개선하기 위해서 적용될 수 있다.
진보된 프로세스 제어(APC)는 오버레이와 같은 성능 파라미터에 있는 정정가능한 변동을 식별하고, 정정들의 하나의 세트를 웨이퍼들의 로트(배치)에 적용한다. 이러한 정정을 결정할 때, 측정치에 있는 노이즈를 과다정정하는 것을 피하기 위하여 이전의 로트로부터의 정정이 고려된다. 현재의 정정이 이전의 정정과 적절하게 평활화되기 위해서는, 고려되는 정정의 이력이 현재의 로트의 콘텍스트와 매칭되어야 한다. 이러한 관점에서 "콘텍스트"란 동일한 전체 산업 프로세스 내에서 대두되는 변형물을 식별하는 임의의 파라미터를 망라한다. 층 ID, 층 타입, 제품 ID, 제품 타입, 레티클 ID 등이 모두 완료된 성능에 있는 상이한 지문으로 유도할 수 있는 콘텍스트 파라미터이다. 대량 제조 설비에서 사용될 수 있는 개개의 스캐너에 추가하여, 코팅, 에칭, 및 반도체 제조에 수반되는 다른 단계 각각을 위해 사용되는 개개의 툴도 로트마다 또는 웨이퍼마다 달라질 수 있다. 이러한 툴 각각은 제품에 특정 오차 "지문"을 부과할 수 있다. 반도체 제조 분야 밖에서, 임의의 산업 프로세스에서도 유사한 상황이 생길 수 있다.
특정 콘텍스트에 적합한 정확한 피드백 제어를 보장하기 위해서, 제품 유닛들의 상이한 로트(배치)가 APC 알고리즘에서 별개의 "쓰레드" 내에서 처리될 수 있다. 각각의 제품 유닛을 정확한 쓰레드에 할당하기 위해서 콘텍스트 데이터가 사용될 수 있다. 보통 몇 가지 타입의 제품을 동일한 프로세스 단계에 의해서 대량으로 생산하는 제조 플랜트의 경우, 상이한 콘텍스트들의 개수는 상대적으로 작을 수 있고 각각의 쓰레드에 있는 제품 유닛들의 개수는 노이즈의 평활화를 허용할 만큼 충분히 클 것이다. 공통 콘텍스트를 가지는 모든 로트들이 그들 자신의 쓰레드에 할당되어 피드백 정정 및 최종 성능을 최적화할 수 있다. 많은 상이한 타입의 제품을 매우 작은 생산 공정에서 생산하는 파운드리(foundry)의 경우, 콘텍스트는 더 자주 바뀔 것이고, 정확하게 동일한 콘텍스트 데이터를 가지는 로트들의 개수는 매우 적을 수 있다. 그러면, 로트들을 상이한 APC '쓰레드'에 할당하기 위해서 이러한 콘텍스트 데이터를 사용하면, 쓰레드 당 로트들의 적은 개수가 할당된 많은 수의 쓰레드가 얻어질 수 있다. 피드백 제어의 복잡도가 증가되고, 소량 제품들에 대해 성능을 개선하는 능력이 감소된다. 상이한 로트들을 그들의 상이한 콘텍스트를 충분히 고려하지 않고 동일한 쓰레드에 조합해도 역시 성능이 손실될 것이다.
한 로트 내의 상이한 웨이퍼들은 실제로는 상이한 콘텍스트를 가질 수 있지만, 현재의 제어 시스템은 효과적인 웨이퍼 단위의 제어를 허용하기 위해서 이러한 상이한 콘텍스트를 사용하도록 적응되지 않는다.
본 출원의 우선일까지 공개되지 않은 2016 년 9 월 21 일자 국제 특허 출원 PCT/EP2016/072363 은, APC 또는 다른 제어 루프를 위한 로트 및 웨이퍼가 콘텍스트 기준들에 의해 쓰레드로 그룹화되는 제어 시스템을 개시하는데, 여기에서는 선택적으로 듀얼-척 장치 내의 각각의 척(기판 지지체)에 고유한 정정과 함께 각각의 로트에 고유한 종래의 정정만이 예상될 수 있다. 관련된 콘텍스트 파라미터는, 우선 제품 유닛에서 또는 그와 관련하여 측정된 대상 데이터를 사용하여 데이터-구동 클러스터링을 수행한 후, 클러스터링을 정제하여 모든 이용가능한 콘텍스트 중에서 관련된 콘텍스트 기준들을 식별함으로써 식별된다. 이러한 제어 시스템은 H-G Lee 등의 논문인 "Reduction of in-lot overlay variation with integrated metrology, and a holistic control strategy", Proc. SPIE 9635, Photomask Technology 2015, 96351S (October 23, 2015); doi:10.1117/12.2196879 에서도 설명된다. 관련된 콘텍스트 파라미터를 식별하기 위한 구조화된 방법을 제공함으로써, 웨이퍼별로 프로세스 정정이 계산되게 하는 정보가 이용가능해질 수 있다. 특허 출원 PCT/EP2016/072363 에서는, 파라미터-고유(예를 들어 툴-고유) 지문 또는 정정을 규정하고, 현재의 웨이퍼에 대한 콘텍스트 데이터에 표시된 파라미터의 파라미터-고유 지문/정정을 결합하여 쓰레드-고유 지문/정정을 합성함으로써 쓰레드들의 개수가 더욱 감소될 수 있다는 것이 역시 개시된다.
임의의 진보된 프로세스 제어 시스템에 존재하는 큰 오버헤드는 의미 있는 피드백 및/또는 피드포워드 정정을 제공하기 위해서 요구되는 측정의 개수이다. 이러한 계측 오버헤드를 줄이기 위해서, 예를 특허 출원 WO2015110191A1(Wildenberg & Mos)에 개시된 타입의 샘플링 스킴 최적화를 사용하여, 수 개의 샘플 웨이퍼 상에서 수 개의 샘플 포인트만이 측정될 수 있다. 계측 오버헤드를 더욱 감소시키기 위해서, 통상적으로 척마다 하나 또는 두 개인 수 개의 샘플 웨이퍼만이 측정될 수도 있다. 그러나, 멀티-쓰레디드(threaded) 제어 상황에서는 이러한 요건은 쓰레드 당 하나 이상의 웨이퍼를 측정하는 것이 된다. 측정된 샘플 웨이퍼가 그룹(쓰레드) 내의 웨이퍼들을 완벽하게 대표하지 않으면, 제어 시스템 내에 노이즈가 실효적으로 도입된다.
특허 출원 WO2015110191A1 및 PCT/EP2016/072363 의 내용, 및 Lee 등의 참조 문헌의 내용은 본 명세서에서 원용에 의해 본 발명에 대한 배경 기술로서 통합된다.
리소그래피 제조 설비 또는 다른 산업 프로세스의 근본 원인(root cause) 분석을 위한 진단 장치는 공개된 특허 출원 WO2015049087A1 에 기술된다. 이러한 진단 장치는 제품 유닛에서 또는 그와 관련하여 측정된 대상 데이터의 다변량 분석을 수행한다. 유사한 타입의 다변량 분석이 전술된 클러스터링 방법에서 사용될 수 있다. 진단 장치를 한 번 적용하는 것은 "비정상 검출(excursion detection)"을 제공하는 것이고, 다르게 말하면 추가적인 처리에서 제외되어야 하고 및/또는 장래에 생기는 유사한 로트를 제외하기 위한 추가 검사를 받아야 하는 "이상(outlier)" 로트를 식별하는 것이다.
셋-업 및 진행 중인 제어 동작을 최적화하기 위한 기법은 역시 본 발명의 우선일에 출원되지 않은 2015 년 12 월 24 일자 다른 특허 출원 EP15202675.3 에 기술된다.
본 발명은, 상이한 제품 유닛에 대한 프로세스의 성능이 상이한 콘텍스트에 의해 영향 받을 수 있는 프로세스를 포함하는 산업 프로세스의 개선된 모니터링 및 제어를 가능하게 하는 것이다. 특히, 본 발명은 계측 오버헤드를 과도하게 증가시키지 않으면서 제어의 정확도를 개선 또는 유지하는 것이다. 일부 실시예들에서, 본 발명의 목적은 리소그래피 제조 프로세스, 선택적으로 멀티-쓰레디드 프로세스에서 제어를 허용하는 것이다.
본 발명은 복수 개의 제품 유닛에 수행되는 산업 프로세스를 제어하는 산업 프로세스 제어 방법으로서,
(a) 제품 유닛 중 하나 이상을 샘플 제품 유닛으로서 선택하는 단계;
(b) 복수 개의 제품 유닛 중 선택된 샘플 제품 유닛에만 하나 이상의 계측 단계를 수행하는 단계; 및
(c) 단계 (b)에서 획득된 선택된 샘플 제품 유닛의 계측 결과에 적어도 부분적으로 기초하여, 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하는 단계를 포함하고,
상기 방법은,
상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하는 단계를 더 포함하고,
상기 단계 (a)에서, 상기 샘플 제품 유닛의 선택은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법을 제공한다.
제품 유닛은 예를 들어 반도체 제조 설비 내의 웨이퍼일 수 있다. 복수 개의 웨이퍼를 대표하는 제품 유닛을 선택하기 위하여 통계 분석을 사용함으로써, 계측 결과는 더 신뢰가능한 성능 개선을 제공할 수 있다. 제품 유닛은 그룹별로 선택된 그룹화된 샘플 웨이퍼일 수 있다.
또한, 본 발명은 산업 프로세스를 위한 제어 장치를 제공하는데, 제어 장치는,
상기 제품 유닛 중 하나 이상을 계측을 위한 샘플 제품 유닛으로서 선택하기 위한 선택 장치; 및
선택된 샘플 제품 유닛의 계측 결과를 사용하여 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하기 위한 장치를 포함하고,
상기 선택 장치는, 상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하도록 구성되며,
상기 샘플 제품 유닛은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초한다.
또한, 본 발명은 범용 데이터 처리에서 전술된 방법 및 제어 장치의 전부 또는 일부를 구현하게 하는 머신 판독가능 명령을 포함하는 컴퓨터 프로그램 제품을 제공한다. 머신 판독가능 명령은 예를 들어 비-일시적 저장 매체에 구현될 수 있다.
본 발명의 다른 피쳐 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시예들이 첨부된 개략적인 도면을 참조하여 예시를 통해 설명될 것이다:
도 1 은 본 발명의 일 실시예에 따른 리소그래피 장치를 도시한다;
도 2 는 반도체 디바이스용 제조 설비를 형성하는 다른 장치들과 함께 도 1 의 리소그래피 장치를 사용하는 것을 개략적으로 도시하는데, 이러한 설비는 본 발명의 실시예에 따른 제어 장치를 포함한다;
도 3 은 도 2 에 도시되는 타입의 제조 설비에 적용되는 두 가지 공지된 피드백 제어 방법을 개략적으로 도시한다;
도 4 는 본 발명의 일 실시예에 따르는 피드백 제어 방법의 동작을 개략적으로 도시한다;
도 5 및 도 6 은 본 발명의 원리에 따른 대표 웨이퍼를 선택하는 것의 원리를 예시하는, 대상 데이터의 자동 클러스터링의 상이한 예를 예시한다;
도 7 은 본 발명의 원리에 따른 방법의 흐름도이다;
도 8 은 본 발명의 일 실시예에 따른 방법의 더 상세한 흐름도이다;
도 9 는 도 8 의 방법을 본 발명의 원리를 구현하는 제조 방법의 일 예에서 사전-이송 웨이퍼 및 비정상(excursion) 웨이퍼를 선택하는 것에 적용하는 것을 예시한다;
도 10 은 도 8 의 방법을 본 발명의 원리를 구현하는 제조 방법의 다른 예에 적용하는 것을 예시한다;
도 11 은 도 2 에 도시되는 타입의 제조 설비에 적용될 수 있는 추가적인 제어 방법을 개략적으로 도시한다;
도 12 는 도 11 의 제어 장치에 적용되기에 적합한, 본 발명의 원리에 따른 대안적인 방법의 흐름도이다; 그리고
도 13 은 본 발명의 실시예의 방법 및 장치를 구현하도록 프로그래밍될 수 있는 데이터 처리 하드웨어를 개략적으로 예시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이러한 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 조절하도록 구성되는 조명 시스템(illuminator)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지대 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 각각 구성되고 특정 파라미터에 따라서 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 각각 연결되는 두 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다. 레퍼런스 프레임(RF)은 다양한 컴포넌트들을 연결하고, 패터닝 디바이스와 기판의 위치와 그들의 피쳐들의 위치를 설정하고 측정하기 위한 기준으로서의 역할을 한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광학 컴포넌트, 예컨대 굴절식, 반사식, 자기식, 전자기식, 정전기식 또는 다른 유형의 광학 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
패터닝 디바이스 지지대는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 패터닝 디바이스 지지대는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지대는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 패터닝 디바이스 지지대는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 시프트 피쳐(phase shifting feature) 또는 소위 어시스트 피쳐(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 패터닝 디바이스를 채용함). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다. 패터닝 디바이스의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 모든 사용은 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 그러므로, "패터닝 디바이스"라는 용어는 이러한 프로그래밍가능한 패터닝 디바이스에 의하여 구현될 패턴을 규정하는 정보를 디지털 형태로 저장하는 디바이스를 지칭하는 것으로도 해석될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 모든 사용은 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 침지액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 도포될 수 있다. 침지 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다.
동작 시에, 조명기(IL)는 방사선 빔을 방사선 소스(SO)로부터 수광한다. 예를 들어, 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
예를 들어, 조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD), 집속기(IN), 및 콘덴서(CO)를 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조절하기 위하여 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지대(MT) 상에 홀딩되는 패터닝 디바이스(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA))를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WTa 또는 WTb)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(예를 들어, 마스크(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다.
패터닝 디바이스(예를 들어 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟부를 점유하지만, 이들은 타겟부 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 패터닝 디바이스(예를 들어 마스크(MA))에 두 개 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다. 작은 정렬 마크도 역시 다이에, 그리고 디바이스 피쳐들 사이에 포함될 수 있는데, 이러한 경우 마크는 가능한 한 작고 인접한 피쳐에 비하여 임의의 다른 이미징 또는 프로세스 조건을 요구하지 않는 것이 바람직하다. 정렬 마크를 검출하는 정렬 시스템이 상세히 후술된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서는, 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의 폭)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다. 당업계에 주지되는 다른 타입의 리소그래피 장치 및 동작 모드도 가능하다. 예를 들어, 스텝 모드가 알려진다. 소위 "무마스크(mask-ess)" 리소그래피에서, 프로그래밍가능한 패터닝 디바이스는 정지되게 홀딩되지만 변화하는 패턴을 가지며, 및 기판 테이블(WT)이 이동되거나 스캐닝된다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
리소그래피 장치(LA)는 두 개의 기판 테이블(WTa, WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 가지는, 소위 듀얼 스테이지 타입이다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션에서 노광되는 동안, 다른 기판은 측정 스테이션에 있는 다른 기판 테이블에 로딩될 수 있고, 다양한 준비 단계들이 수행될 수 있다. 그러면 리소그래피 장치의 쓰루풋이 크게 증가할 수 있다. 준비 단계는 레벨 센서(LS)를 사용하여 기판의 표면 높이 컨투어를 매핑하는 것과 정렬 센서(AS)를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 참조 프레임(RF)에 상대적인 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성이 알려져 있으며, 도시된 듀얼-스테이지 구성 대신에 사용될 수 있다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치가 알려져 있다. 이것은 예비 측정을 수행할 때에 서로 도킹되고, 기판 테이블이 노광을 겪는 동안에 언도킹된다.
이러한 장치는 설명되는 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하는 리소그래피 장치 제어 유닛(LACU)을 더 포함한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다. 예를 들어, 하나의 처리 서브시스템이 기판 위치설정기(PW)의 서보 제어를 전담할 수 있다. 분리 유닛은 성긴 액츄에이터와 미세 액츄에이터, 또는 상이한 축들을 다룰 수도 있다. 다른 유닛은 위치 센서(IF)의 판독을 전담할 수도 있다. 장치의 전체 제어는 이러한 서브-시스템과 통신하는 중앙 처리 유닛에 의해 제어될 수 있다.
도 2 는 200 에서 반도체 제품용 산업 제조 설비의 콘텍스트에 있는 리소그래피 장치(LA)를 도시한다. 리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202 에 측정 스테이션(MEA)이 도시되고, 204 에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206 에 도시된다. 제조 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다.
패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226 에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다. 패터닝 프로세스 단계들의 시퀀스를 포함하는 설명된 반도체 제조 프로세스는 본 명세서에 개시된 기법이 적용될 수 있는 산업 프로세스의 하나의 예일 뿐이다. 반도체 제조 프로세스는 일련의 패터닝 단계를 포함한다. 각각의 패터닝 프로세스 단계는 패터닝 동작, 예를 들어 리소그래피 패터닝 동작, 및 그 밖의 여러 화학적 및/또는 물리적 동작을 포함한다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계 226 을 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
도 2 에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 제조 설비 내에 있는 계측 스테이션의 공통적인 예는, 예를 들어 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220 에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 역시 잘 알려진 바와 같이, 장치(240)로부터의 계측 결과는, 시간에 따라 미세하게 조절하여, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다.
계측 장치(240)의 다른 특정한 적용예는 소위 "사전-이송(send-ahead)" 웨이퍼의 처리 과정이다. 이러한 웨이퍼들은 실질적으로, 처리될 예정인 로트 또는 배치로부터의 샘플 제품 유닛이다. 이들은 프로세스에 걸쳐서 사전 이송되고, 프로세스의 실제 성능은 전체 로트가 처리되기 전에 이러한 샘플 제품 유닛에서 측정된다. 이러한 방식으로, 맞춤화된 정정이 계산되고 현재의 로트의 처리에 적용될 수 있다. 일반적으로, 사전-이송 웨이퍼는 스트리핑되고(stripped) 정정하여 처리되도록 로트로 반환될 것이다. 사전-이송 웨이퍼의 이러한 재작업(reworking)은 도 2 에서 경로(248)로 개략적으로 표시된다.
오버레이, 및 CD와 같은 파라미터에서 제조 프로세스의 성능을 개선하기 위하여, 진보된 프로세스 제어(APC) 시스템(250)이 제공된다. APC 시스템(250)은, 예를 들어 계측 장치(240)에 의해 이루어진 오버레이 및/또는 CD의 측정을 포함할 수 있는 이력(historic) 성능 데이터(PDAT), 및 개개의 기판과 연관된 콘텍스트 데이터(CDAT)에 기초하여 피드백 제어의 한 형태를 구현한다. 그러므로, APC 시스템(250)은 저장소(252) 내에 저장된 이력 성능 데이터(PDAT)에 액세스한다. 콘텍스트 데이터(CDAT)는 "이력" 데이터라고도 간주될 수 있는데, 그 이유는 이것이 제품 자체로부터 얻어지지 않지만 개별적인 제품 유닛(웨이퍼 또는 다른 기판), 또는 제품 유닛들의 배치의 처리 이력의 전부 또는 일부를 나타내는 데이터이기 때문이다. 도면 전체에서, 화살표(254)는 콘텍스트 데이터가 장치들 중 임의의 장치로부터 어떻게 나올 수 있는지를 예시한다. 콘텍스트 데이터는 새로운 기판(230)과 함께 도착할 수도 있다. 예를 들어, 콘텍스트 데이터는, 어떤 타입의 프로세스 단계가 적용되었는지, 어떤 개별 장치가 그러한 단계를 수행하는 데에 사용되었는지, 및 어떤 파라미터(예를 들어 에칭 장치(222) 내에 있는 동안의 온도 또는 압력 케이스의 설정, 또는 리소 툴(200) 내의 조명 모드, 정렬 레시피 등과 같은 파라미터)가 그러한 장치에 의해 적용되었는지를 기록할 수 있다. 콘텍스트 데이터는 APC 시스템(252)에 의해 이용되도록 저장소(256) 내에 저장된다.
성능 데이터(PDAT)는 본 명세서에 개시된 기법의 일부 실시예들에서 사용되는 대상 데이터의 일 예라고 간주될 수 있다. 대상 데이터의 다른 예가 다른 실시예들에서 사용되도록 수집되고 저장될 수 있고, 일부 실시예는 성능 데이터를 포함하는 상이한 종류의 대상 데이터를 사용할 수 있다. 성능 데이터가 제어되는 중인 처리 단계를 이미 거친 제품 유닛에 대해서 이루어진(직접적으로 또는 간접적으로) 측정으로부터 유도되는 반면에, 다른 대상 데이터(ODAT)는 처리를 수행하기 전에 또는 수행하는 중에 수집될 수 있다. 도 2 는 선택적으로 수집되고 데이터베이스(260) 내에 저장된 이러한 다른 대상 데이터를 보여준다. 이러한 다른 대상 데이터는 제품 유닛 자체에서 측정되거나, 산업 프로세스에 수반된 다른 부분에서 측정된 데이터일 수 있다. 일 예로서, 데이터베이스(260) 내에 저장된 대상 데이터는 종래에는 측정 스테이션(202) 내의 정렬 센서(AS)를 사용하여 리소그래피 장치(200)에 의해 획득된 정렬 데이터를 포함할 수 있다. 기판의 X-Y 평면에서의 마크의 위치의 상세한 측정을 나타내는 이러한 데이터가 본질적으로 정상 패터닝 동작의 일부로서 획득되기 때문에, 제어 유닛(206)에게 대상 데이터 저장소(260) 내에 데이터를 저장하도록 지시해도 불이익은 거의 발생되지 않거나 전혀 발생하지 않는다. 대안적으로 또는 정렬 데이터에 추가하여, 대상 데이터는 레벨 센서(LS)를 사용하여 획득된 높이 데이터, 정렬 센서(AS)로부터의 "웨이퍼 품질" 신호 등을 포함할 수 있다. 다른 실시예들에서, 대상 데이터는 제품 유닛 자체에서가 아니라 시스템의 다른 곳에서 측정된 데이터를 포함할 수 있다. 이러한 대상 데이터의 일 예는 마스크 정렬 마크(M1, M2) 및 도 1 의 리소그래피 장치의 기판 지지대 내의 센서를 사용하여 획득된 마스크(레티클) 정렬 데이터일 수 있다.
대상 데이터의 다른 특정 예가 분석될 수 있고, 웨이퍼 형상 데이터는 추가적인 계측 장치(262)에 의하여 측정될 수 있다. 이러한 장치는 예를 들어 상이한 제조사로부터 입수가능한 타입의 패터닝된 웨이퍼 기하학적 구조 스캐너일 수 있다. 일부 생산 환경에서, 이러한 툴은 프로세스-유도 스트레스에 의해 초래된, 모든 웨이퍼에 걸친 면외-왜곡(토포그래피)을 측정하기 위하여 사용된다. 리소그래피 장치 제어 시스템은, 그렇지 않으면 왜곡된 웨이퍼가 기판 테이블 상에 평평하게 클램핑되면 도입되었을 면내 왜곡(오버레이 오차)에 대한 정정을 계산할 수 있다.
요약하자면, "대상 데이터"라는 용어가 도입부와 청구항에서 사용되면, 과거의 제품 유닛 또는 처리될 새로운 제품 유닛에 대한, 제조 설비 내에서 수집될 수 있는 매우 다양한 데이터를 망라한다. 특히, "대상 데이터"라는 용어가 도입부와 청구항에서 사용되면, 성능 데이터(PDAT)(처리 이후의 처리된 제품 유닛으로부터 측정되고 저장소(252) 내에 저장됨) 및 다른 타입의 대상 데이터(ODAT)(처리 이전 및/또는 처리 중에 제품 유닛 또는 다른 시스템으로부터 측정되고 저장소(260) 내에 저장됨) 양자 모두를 망라한다. 양자 모두의 타입의 대상 데이터의 예는 도 5 및 도 6 을 참조하여 후술될 것이다.
도 2 는 콘텍스트 데이터, 성능 데이터 및 대상 데이터 각각에 대해 별개의 저장소(252, 256, 260)를 도시하지만, 이러한 상이한 타입의 데이터가 하나의 공통 저장소 유닛 내에 저장될 수 있고, 또는 필요할 경우 데이터의 특정 아이템이 취출될 수 있는 더 많은 수의 저장소 유닛에 걸쳐 분산될 수도 있다는 것이 이해될 것이다. 더 나아가, 콘텍스트 데이터(254)가 각각의 개별적인 장치(222, 224 등)로부터 나오는 것으로 표시되지만, 이러한 데이터는 리소셀 및/또는 제조 공장의 동작을 전체로서 제어하는 중앙 제어 시스템을 통해 수집될 수도 있다.
콘텍스트 데이터 및 성능 데이터 저장소 내의 각각의 레코드는 고유 식별자로 명명된다. 개개의 웨이퍼가 제조 프로세스 중에 동일한 리소툴을 반복적으로 거쳐갈 수도 있고, 또는 모두 동일한 마크를 측정하는 상이한 툴을 통과할 수도 있다는 것에 주목하면, 제조 프로세스의 상이한 스테이지에서 동일한 제품 유닛에 대한 데이터를 수집할 수 있다. 측정의 이러한 인스턴스들 각각은 분석 시에 독립 제품 유닛으로 취급될 수 있다. 그러나, 복잡한 제조 프로세스에 있는 상이한 스테이지에서 동일한 웨이퍼가 측정되고 있는 다수의 인스턴스가 존재하는 경우, 대상 데이터는 개체 웨이퍼뿐만 아니라 그것이 측정된 처리의 스테이지도 고유하게 식별하는 식별자를 포함할 것이다. 통상적으로 리소그래피 프로세스에서는, 동일한 기판의 상이한 인스턴스들은 디바이스 구조체의 연속하는 층들을 패터닝하는 것과 연관될 것이다.
도 3 의 (a)는 APC 시스템(250)에 의해 구현된 하나의 타입의 제어 방법의 동작을 개략적으로 도시한다. 리소그래피 장치(200) 및 리소셀의 연관된 장치에 의해 처리된 바 있는 웨이퍼(220)로부터 계측 장치(240) 또는 다른 수단에 의해 획득된 이력 성능 데이터(PDAT)가 저장소(252)로부터 수신된다. 피드백 제어기(300)는 최근 로트에 대한 성능 데이터 내에 표현된 성능 파라미터를 분석하고, 리소그래피 장치(200)에 공급되는 프로세스 정정(PC)을 계산한다. 이러한 프로세스 정정이 정렬 센서 및 리소그래피 장치의 다른 센서로부터 유도된 웨이퍼-고유 정정에 추가되어, 각각의 새로운 로트의 처리를 위한 결합된 정정을 얻게 된다.
이러한 제어 방법 데에 생기는 계측 오버헤드를 감소시키기 위하여, 모든 웨이퍼들이 계측 장치(240)를 사용하여 측정되거나, 완전하게 측정되는 것은 아닐 수 있다. 아래 개시된 기법은 계측을 위한 샘플 웨이퍼를 선택하는 것을 개선시키기 위하여 사용될 수 있다.
도 3 의 (b)는 공지된 APC 시스템(250)에 의해 구현된 다른 타입의 제어 방법의 동작을 개략적으로 도시한다. 도면으로부터 알 수 있는 바와 같이, 일반적인 형태의 피드백 제어 방법은 도 3 의 (a)에 도시되는 것과 같지만, 이러한 예에서는, 이력 웨이퍼에 관련된 콘텍스트 데이터 및 현재의 웨이퍼에 관련된 콘텍스트 데이터(CTX)가 성능 데이터(PDAT)의 더 선택적인 용도를 제공하기 위하여 사용된다. 특히, 전술된 예에서는 모든 이력 웨이퍼의 성능 데이터가 단일 스트림(302) 내에서 결합되는 반면에, 수정된 방법에서는 저장소(256)로부터 온 콘텍스트 데이터가 각각의 이력 로트에 대한 성능 데이터를 여러 쓰레드(304) 중 하나로 할당하기 위해 사용된다. 이러한 쓰레드들은 피드백 제어기(300)에 의해서 병렬 피드백 루프에서 효과적으로 처리되어 다수의 프로세스 정정(306)을 제공하는데, 이들 각각은 쓰레드(304) 중 하나에 있는 웨이퍼의 이력 성능 데이터에 기초한다. 그러면, 새로운 로트가 처리를 위해 수납되면, 쓰레드 중 어느 것이 현재의 웨이퍼에 대해 적절한 콘텍스트 데이터(306)를 제공하는지를 선택하기 위하여 그들의 개개의 콘텍스트 데이터(CTX)가 사용될 수 있다. 이러한 목적을 위하여 콘텍스트 데이터는 리소그래피 장치 내에 로딩되기 전에 웨이퍼에 대해 측정된 웨이퍼 형상 데이터를 포함할 수 있다.
일반적으로, 제조 설비의 운영자는 피드백 제어의 그래뉼래러티(granularity)에 대해서 결정해야 한다는 것을 알 수 있게 될 것이다. 도 3 의 (a)의 예에서는 그래뉼래러티가 적용되지 않으며, 모든 제품 유닛은 단일 쓰레드로서 처리된다. 개개의 웨이퍼 테이블 또는 "척"이 성능에 큰 영향을 미치고 있다는 것이 알려지는 경우, 로트마다 그리고 척마다의 정정이 적용되도록 공지된다. 그러면, 도 3 의 (b)의 예에서, 상이한 정도의 그래뉼래러티가 제공될 수 있지만, 이들은 알려져 있는 적합한 성능 데이터 및 콘텍스트 기준들에 언제나 기초한다. 알려진 실무에서, 정정은 일반적으로 개별 웨이퍼마다 제공되지 않고, 로트마다 제공될 것이다. 많은 경우에, 쓰레드로의 최적 분할을 위해 콘텍스트 데이터의 어떤 파라미터가 적절한지 그리고 어떤 파라미터가 적절하지 않은지는 단순하게 사전에 알려지지는 않을 것이다. 따라서, 로트들은 필요한 것보다 작은 쓰레드로 분할될 수도 있고, 또는 추가적인 분할이 사실상 더 양호한 성능을 제공할 것인 경우에는 더 큰 쓰레드로 그룹화될 수도 있다. 전술된 특허 출원 PCT/EP2016/072363 은 본 발명과 함께 사용될 수 있는 수정된 제어 시스템을 기술한다. 이러한 제어 시스템의 간략한 세부사항이 본 명세서에서 다시 설명되지만, 이러한 특허 출원의 전체 내용은 본 명세서에 원용에 의해 통합된다. Lee 등의 참조 문헌이 이러한 시스템에 대한 추가적인 논의를 제공한다.
다시 도 2 를 참조하면, 제어 시스템은 본 발명의 기법이 채용될 수 있는 일 예로서 개시된다. 이러한 수정된 제어 시스템에서, 이력 대상 데이터, 예를 들어 이력 성능 데이터는 콘텍스트 데이터에 기초하여 쓰레드에 할당되지만, 이력 대상 데이터 및 콘텍스트 정보의 통계 분석의 조합에 기초하여 할당된다. 우선 이력 성능 데이터(PDAT)를 저장소(252)로부터 수신하고 과거의 제품 유닛의 데이터-구동 분할을 수행하는 통계 분석 모듈(270)이 제공된다. 파티션 정제 모듈(272)에서는, 콘텍스트를 참조하여 분할을 정제하기 위하여 저장소(256)로부터의 이력 콘텍스트 데이터(CDAT)가 사용된다. 이러한 방식으로, 사실상 관련성이 높지 않은 콘텍스트 데이터를 참조함으로써 쓰레드들의 개수를 불필요하게 증가시키지 않으면서, 성능 데이터를 적절한 쓰레드 내로 할당하기 위해서 관련된 콘텍스트 데이터가 사용될 수 있다. 이러한 단계의 하나의 이점은, 그 콘텍스트가 생산 유닛을 결과적으로 열악한 피드백 성능을 가지는 매우 과소파퓰레이션된(underpopulated) 쓰레드에 할당했을 생산 유닛들이, 여전히 효과적인 성능 개선을 제공하는 더 양호하게 파퓰레이션된 쓰레드에 할당될 수 있다는 것이다. 예들이 우선적으로 저장소(252)로부터의 성능 데이터를 사용하여 설명될 것이지만, 다른 예들에서 저장소(260)로부터의 다른 타입의 대상 데이터(ODAT)가 성능 데이터 대신에 또는 추가적으로 사용될 수 있다는 것이 이미 언급된 바 있다. 따라서, 문맥상 그렇지 않은 경우를 제외하고, 본 명세서에서 성능 데이터를 가리키는 것은 좀 더 일반적으로 대상 데이터를 가리키는 것으로 이해되어야 한다.
도 4 는 도 2 에 예시된 제어 방법의 일 실시예에서 통계 분석 모듈(270) 및 파티션 정제 모듈(272)에 의해 구현되는 방법의 흐름도이다. 피드백 제어기(400)가 도면의 하단에 도시되는데, 이것은 도 3 의 (b)의 피드백 제어기(300)와 매우 유사한 방식으로 동작한다. 저장소(252)로부터의 이력 성능 데이터(PDAT)는 저장소(256)로부터의 콘텍스트 데이터(CDAT)에 따라서 상이한 쓰레드(404)에 할당된다. 피드백 제어기(400)는 다수의 쓰레드(404)에 대한 프로세스 정정(PC)(406)을 생성하고, 현재의 웨이퍼 콘텍스트 데이터(CTX)를 사용하여 현재의 웨이퍼를 위한 적절한 프로세스 정정(PC)을 선택한다. 그러나, 공지된 방법과 달리, 이력 성능 데이터는 이력 콘텍스트 데이터만을 참조하여 쓰레드(404)에 할당되는 것이 아니고, 지금부터 설명될 방법에 의해 유도된 콘텍스트 기준들(CC)도 참조하여 할당된다. 장래의 웨이퍼에 적용될 프로세스 정정을 선택할 때, 이러한 동일한 콘텍스트 기준들은 현재의 웨이퍼 콘텍스트 데이터(CTX)와 조합되어 사용된다. 제어 시스템이 허용할 경우, 프로세스 정정은 웨이퍼 단위로 선택되고 인가될 수 있고, 또는 바람직하다면 로트마다 적용될 수도 있다. 일부 타입의 성능 데이터는 오직 로트 단위로만 이용가능할 수 있는데, 그 이유는 상세한 성능 측정은 흔히 모든 웨이퍼에 대해서 이루어지는 것이 아니기 때문이다. 그러나, 많은 로트들에 걸쳐서, 웨이퍼 단위로 상이한 콘텍스트들을 통계적으로 구별하기 위해서 웨이퍼들은 이러한 방식으로 샘플링될 수 있다. 이하, 이러한 목적을 위하여 웨이퍼들의 샘플링을 개선하기 위한 기법이 설명된다.
단계 410 에서, 이력 성능 데이터(PDAT)의 통계 분석이, 산업 프로세스의 성능 파라미터가 측정된 바 있는 제품 유닛(웨이퍼)과 연관된 콘텍스트 데이터를 참조하지 않고 수행된다. 상이한 형태의 통계 분석이 착상될 수 있고, 예시를 위해서 두 가지 예들만이 언급될 것이다. 일 예에서, 단계 410 은 제품 유닛(이러한 경우에는 웨이퍼) 자체로부터 측정된 성능 파라미터에 기여하는 여러 성분을 식별하기 위해서 다변량 분석(multivariate analysis), 예를 들어 주성분 분석(principal component analysis; PCA)을 수행하는 것을 포함한다. 상이한 형태의 다변량 분석이 사용될 수 있고, PCA 분석은 본 명세서에서 오직 한 예로서만 참조된다. PCA의 특정한 예시적인 경우에, 식별된 성분 벡터들은 다차원 공간에서 직교한다. 독립 성분 분석(Independent Component Analysis; ICA)이라고 불리는 다른 방법에서, 성분 벡터들은 독립적이다(이들 중 어느 것도 다른 것들의 선형 조합으로 쓰여질 수 없다는 것을 의미함). ICA 기법은 2차 이상의 모든 교차-상관을 0으로 남겨두는 반면에, PCA 기법의 직교성은 2차 교차-상관은 0으로 강제하지만 0이 아닌 더 높은 차수의 교차-상관은 남겨둘 수 있다. 단계 410 및 수행되는 분석은 이하, 한정하려는 의도가 아니라 편의상 PCA 분석이라고 불릴 것이다.
리소그래피 제조 설비 또는 다른 산업 프로세스에서의 이러한 통계 분석의 상세한 구현예는 공개된 특허 출원 WO2015049087A1 에서 설명된다. 이러한 출원의 내용은 원용되어 본원에 통합된다. 이러한 공개 특허 출원에서, PCA 또는 다른 다변량 분석과 같은 통계 분석은 대상 데이터로부터 진단 정보를 추출하기 위하여 사용되는데, 대상 데이터는 처리 된 후의 제품 유닛으로부터 측정된 성능 데이터일 수 있고, 및/또는 패터닝 이전에 정렬 센서(도 1 의 AS)를 사용하여 측정된 위치의 편차와 같은 다른 대상 데이터를 포함할 수도 있다. 지금 설명되는 방법에서, 목적은 다를 수 있지만 분석의 원리와 결과의 형태는 종래의 공개된 특허 출원의 경우와 유사할 수 있다. 물론, 종래의 특허 출원의 진단 방법은 제조 설비 내에서 본 출원의 제어 방법과 동시에 구현될 수 있다.
단계 412 에서, 이력 성능 데이터 내에서 표현된 제품 유닛의 첫 번째 분할을 규정하기 위해서 통계 분석의 결과가 적용된다. 이러한 분할은, 측정되고 성능 데이터(PDAT) 내에 표현된 성능 파라미터의 세트에 의해 규정되는 다차원 공간 내에서, 주성분 벡터에 의해 규정된 다차원 공간 내의 각각의 제품 유닛의 위치에 기초한다. "분할(partitioning)"이라는 용어는 제품 유닛들의 세트의 각각의 멤버십을 두 개 이상의 서브세트들 사이에서 할당하는 것을 의미한다. "하드(hard)" 분할에서는, 각각의 제품 유닛이 어떤 서브세트의 멤버이거나 그렇지 않다. "소프트(soft)" 분할도 사용될 수 있는데, 이 경우 제품 유닛에는 멤버십의 정도를 나타내는 멤버십 값, 또는 두 개 이상의 서브세트의 멤버십의 확률이 할당된다. 당업자들은 소프트 분할을 허용하기 위해서 본 발명의 교시 내용을 적응시킬 수 있을 것이다. 본 명세서의 간결성을 위하여, 하드 분할만이 예시될 것이다.
도 5 는 통계 분석의 결과에 기초하여 제품 유닛을 상이한 서브세트 또는 "클러스터"로 분할하는 것을 그림으로 예시한다. 여러 제품 유닛에 대한 성능 데이터는 3-차원의 그래프 상의 포인트로 표현되는데, 포인트의 축들은 통계 분석에 의해 발견된 주성분 PC1, PC2 및 PC3이다. 이러한 예에서 제품 유닛은 A, B 및 C로 명명된 세 개의 클러스터에 할당되었다. 따라서, 포인트 502 에 의해 표현되는 제품 유닛은 처음에 클러스터 A에 할당되고, 포인트 504 및 506 에 의해 표현되는 제품 유닛은 처음에 클러스터 B에 할당되며, 포인트 508 및 510 은 처음에 클러스터 C에 할당된다. 3-차원 그래프의 이러한 2-차원의 표현은 오직 단순화된 예시일 뿐이며, 분할은 세 개, 네 개, 10 개 또는 그 이상의 성분에 기초해서도 수행될 수 있다는 것을 명심해야 한다.
이제 이력 성능 데이터 내에서 표현되는 제품 유닛들의 모집단 내의 상이한 제품 유닛에 적용되는 상이한 콘텍스트를 고려하는데, 도 5 의 데이터 포인트의 상이한 형상(다이아몬드, 원, 삼각형)은 콘텍스트 데이터 내에서 표현될 수 있는 그들의 처리 이력에 의해 규정되는 세 개의 상이한 하위-모집단을 표시하기 위해 사용된다. 상이한 주성분들은 각각의 제품 유닛의 처리 콘텍스트에서의 어떤 피쳐 또는 피쳐들의 조합에 의해 초래되는 오차 지문을 나타낸다는 것이 가정될 수 있다. 결과적으로, 클러스터 A, B 및 C로 분할한 결과, 다수의 제품 유닛이 동일한 하위-모집단의 다른 멤버(데이터 포인트의 동일한 형상)와 성공적으로 그룹화되었다는 것을 알 수 있게 될 것이다. 장래의 유닛을 처리할 경우, 콘텍스트 데이터만이 처리를 제어하기 위한 기초로서 이용가능할 것이다. 따라서, 전술된 특허 출원 PCT/EP2016/072363 에서 개시된 방법에서는, 장래의 유닛을 제어하기 위하여, 통계 분석 및 이력 콘텍스트 데이터로부터, 각각의 제품 유닛에 적용되는 모든 콘텍스트 파라미터 중 어떤 것들이 관련된 콘텍스트 파라미터인지 식별하기 위하여 추가적인 단계들이 취해진다.
통계 분석 단계 410 및 초기 분할 단계 412 는 의도적으로 콘텍스트 데이터를 참조하지 않고 수행된다. 그러므로 하위-모집단의 일부 멤버(예를 들어 통계적 이상치)가 처음에 "잘못된(wrong)" 클러스터로 할당될 수 있을 것이 기대될 수 있다. 이러한 경우의 예가 클러스터 C로 그룹화된 다이아몬드 데이터 포인트 510 에서 발견될 수 있는데, 이러한 클러스터에서는 다수의 데이터 포인트가 삼각형이고 따라서 상이한 콘텍스트를 가진다. 이와 유사하게, 두 개의 삼각형 데이터 포인트 506 이 클러스터 B로 그룹화되었는데, 여기서는 다수의 포인트가 다이아몬드 모양을 가진다. 따라서, 이력 성능 데이터의 통계 분석이 피드백 제어를 위해서 제품 유닛을 쓰레드로 분할하기 위한 유일한 기초로서 사용된다면, 주어진 쓰레드에 대해 결과적으로 얻어지는 프로세스 정정은 해당 쓰레드 내의 다른 것들과 다른 콘텍스트를 가지는 제품 유닛으로부터의 바람직하지 않은 기여분을 포함할 수 있다.
또한 도 5 에는 클러스터 중 임의의 것으로 쉽게 할당되지 않는 두 개의 "이상(outlier)" 또는 "비정상(excursion)" 웨이퍼(520, 522)가 도시된다. 이들은 상세히 후술되는 바와 같이 통계 분석에 의해 식별될 수 있다. 각각의 클러스터 내에서, 다른 샘플들이 강조되는데(530, 532, 534), 이것이 상세히 후술될 것이다.
도 6 은 적용될 수 있는 통계 분석의 타입의 다른 예, 특히 혼합 회귀 분석을 예시한다. 도 6 의 예에서, 가로축은 리소그래피 장치(200)를 통과하여 지나가는 로트 내에서의 웨이퍼 번호를 나타낸다. 로트는 예를 들어 통상적인 반도체 제조 설비 내에서 25 개의 웨이퍼를 포함할 수 있다. 로트의 노광 중에 생기고, 다음 로트의 노광 이전에 다시 소산되는 열효과로부터 특정 오차 지문이 생긴다는 것이 알려져 있다. 이러한 효과의 일 예는 레티클(마스크) 가열일 수 있다, 피드포워드 제어 시스템은 로트의 경로를 따라 로그스케일로 증가하는 세기를 가지고 적용될 레티클 가열 정정을 규정할 수 있다. 적절한 로그 곡선 및 세기 레벨을 결정하기 위하여, 요구되는 정정을 임의의 "첫 번째 원리(first principles)" 계산으로부터 예측하려고 시도하는 것이 아니라, 이력 대상 데이터의 통계 분석이 일반적으로 수행될 것이다. 위에서 언급된 바와 같이, 이러한 예에서 대상 데이터는 처리된 제품 유닛에 수행된 성능 데이터가 아닐 수 있다. 이것은 제품 유닛, 또는 시스템의 다른 부분에 대한 처리 전후에 측정되는 대상 데이터일 수도 있다. 대상 데이터의 일 예는 각각의 웨이퍼로부터 측정된 정렬 데이터이다. 다른 예는 패터닝 디바이스(마스크 또는 레티클) 상의 마크 및 기판 테이블 또는 연관된 측정 테이블 상의 웨이퍼 옆에 위치된 센서를 사용하여 측정된 마스크 정렬 데이터이다. 마스크 정렬 데이터는 도 6 에 예시된 레티클 가열 지문을 식별하는 예에서 특히 유용할 수 있다.
레티클 가열 지문(PRH)에 대해서 대상 데이터를 분석하면서, 하나 이상의 로트로부터의 데이터 포인트가 도 6 에 도시되는 방식으로 웨이퍼 번호에 대해서 그래프에 표시될 수 있다. 로그 곡선을 주지된 방식으로 관측된 데이터에 근사화하기 위하여 회귀 분석이 사용될 수 있다. 그러나, 경험에 따르면, 하나 이상의 콘텍스트 변수도 작용을 할 수 있고, 단일 로그 곡선은 데이터 세트에 근사화될 수 없다는 것이 알려질 수 있다. 이러한 경우, 혼합 모델을 적용하는 것이 가능하다. 혼합 모델은 회귀, PCA, ICA 및/또는 임의의 다른 통계적 모델에 기초할 수 있다. 일 예로서, 제품 유닛의 이력 모집단은 툴들의 혼합에 대해서 처리되었을 수 있고, 각각의 툴의 성능은 시간이 지남에 따라서 특정 방식으로 변했을 수 있다. 통계적 데이터베이스가 충분히 광범위한 경우, 혼합 모델은 사전 지식이 없이도 이러한 상이한 콘텍스트를 식별하고 분리할 수 있다.
도 6 으로 돌아가면, 혼합 회귀 분석은, 예를 들어 데이터 내의 하나의 하위-모집단과 각각 연관되는 두 개, 세 개 및 그 이상의 개개의 곡선이 근사화되게 할 것이다. 데이터베이스 내의 모집단들의 개수는 사전에 알려지거나 추정될 수도 그렇지 않을 수도 있다. 도 6 의 예에서는 두 개의 곡선(602 및 604)이 근사화되어야 한다는 것이 알려져 있다고 가정한다. 그 하나의 이유는, 도 1 에 예시된 것과 같은 리소그래피 장치가 두 개의 척(기판 테이블(WTa 및 WTb))을 가지며, 두 개의 척에 있는 센서들이 다소 상이한 응답을 가지기 때문에 어떤 웨이퍼 테이블이 사용되고 있는지에 따라서 관측되는 레티클 가열 오차가 다르게 나타나기 때문일 수 있다. 따라서, 도 6 에 예시된 데이터 포인트들의 모집단은 사실상 제품 유닛들의 두 개의 하위-모집단으로부터 유도될 수 있는데, 이들은 도면에서 원형 데이터 포인트(608, 610 등) 및 삼각형 데이터 포인트(612, 614 등)로 예시된다. 이러한 포인트들의 상이한 콘텍스트에 대한 지식이 없으면 통계 분석 단계 410 은 예를 들어 주어진 데이터 포인트가 제 2 로그 곡선(604)이 근사화될 하위-모집단에 속한다고 결정할 수 있는 반면에, 이러한 제품 유닛들의 콘텍스트에 대한 지식은 이것이 일반적으로 제 1 로그 곡선(602)에 대해서 더 많이 관련된 하위-모집단에 속한다는 것을 표시할 수 있다. 각각의 하위-모집단 내에서 몇 개의 특정 샘플들이 강조되는데(630, 632), 이것이 상세히 후술될 것이다.
이러한 회귀 분석에 기초한 레티클 가열 정정은, 진보된 프로세스 제어 시스템(250)의 일부이기 보다는 리소그래피 장치 내의 피드포워드 제어 시스템의 일부로서 적용되는 것일 수 있다. 그러나, 새로운 제품 유닛에 대해서는 이것이 알려진 유일한 콘텍스트라는 문제점이 남아 있다. 특정 콘텍스트 파라미터(예를 들어 척 ID)의 중요도에 대한 사전 지식이 없으면, 어떤 것이 상이한 콘텍스트에 대해서 최적화된 피드포워드 제어를 제어하기 위한 관련된 콘텍스트 파라미터인지를 제어 시스템이 어떻게 알 수 있겠는가? 혼합 모델에 의해 발견된 두 개의 모집단이 있으면, 이들은 상이한 척 ID에 의해 초래된 것인가 또는 일부 다른 인자에 의해 초래된 것인가? 이들이 두 개의 상이한 척 ID에 의해서 초래된 것인 경우에도, 이러한 차이를 초래하고 있는 것이 현재 장치의 척인가 또는 이전에 사용된 툴의 척인가, 또는 이들 양자 모두의 조합인가?
피드포워드 제어의 다른 예는, 도 1 의 리소그래피 장치의 동작과 관련하여 전술된 정렬 프로세스이다. 웨이퍼마다 측정된 정렬 데이터는 패터닝(노광) 중에 적용될 정정을 생성하도록 사용되는 정렬 모델에 근사화된다. 전술된 특허 출원 PCT/EP2016/072363 의 원리를 적용하면, 새로운 웨이퍼에 대해서 측정된 정렬 데이터가 새로운 웨이퍼와 함께 수신된 콘텍스트 데이터에 의존하는 방식으로 사용되면 유용할 수 있다. 한 가지 방법은 콘텍스트 데이터에 기초하여 정렬 모델)을 변경하는 것(잠재적으로 상이한 정렬 모델들 사이에서 선택함)일 것이다. 이력 정렬 데이터를 콘텍스트 데이터와 함께 분석하면, 로트마다 또는 심지어 웨이퍼마다 가장 적절한 정렬 모델을 선택하기 위해서 어떤 콘텍스트 파라미터가 적절한지가 식별될 수 있다.
피드백 제어를 위한 입력으로서 사용되는 대상 데이터가 기판에 걸친 포인트에서의 위치, 오버레이 또는 일부 다른 파라미터의 개별 측정을 포함할 필요가 없다는 것에 주의해야 한다. 앞서 예시된 타입의 진보된 프로세스 제어에 관련된 일 실시예에서, 현존 APC 피드백 제어기(300)는, 파라미터화된 모델을 통해 표현된, 예를 들어 다항식의 계수를 통해 오차 지문을 표현하는 성능 데이터를 가지고 동작하도록 설계된다. 각각의 웨이퍼 또는 웨이퍼들의 그룹으로부터 취해진 측정들이 함께 고려되고, 모델이 근사화된다. 수정된 피드백 제어기(400) 내에서, 동일한 파라미터화된 모델이 사용될 수 있고, 시작 웨이퍼에 대한 성능 데이터는 해당 모델에 대해서 표현될 수 있다. 이와 유사하게, 정렬 데이터의 예에서, 사용되는 대상 데이터는 원시 위치 데이터가 아니라 근사화된 정렬 모델의 파라미터이거나 근사화된 모델의 잔차일 수 있다.
예를 들어 이러한 모델이 10 개의 파라미터를 가진다면, 각각의 웨이퍼 또는 웨이퍼들의 그룹에 대한 성능 데이터는 그러한 파라미터에 대해서 10 개의 값의 세트에 의해 표현되게 되고, 웨이퍼 또는 웨이퍼들의 그룹은 10-차원의 파라미터 공간 내의 포인트에 의해 표현될 수 있다. 정정(PC)을 나타내기 위해서 동일한 파라미터가 사용될 수 있고, 리소그래피 장치는 직접적으로 또는 이들을 다른 모델 공간으로 전환함으로써 이러한 파라미터들에 응답하도록 설계될 수 있다. 당업자들은 이것이 성능 데이터의 간접 표현의 한 가지 예일 뿐이라는 것을 이해할 것이다. 100 개의 개별 오버레이 측정이 각각의 기판에 걸쳐서 수행될 수 있다면, 이러한 측정을 10-파라미터 모델로 축소시키면 데이터를 압축하는 데에 있어서 큰 장점이 나타난다는 것이 이해될 것이다. 일반적으로, 리소그래피 장치의 제어 시스템 또는 다른 산업 프로세스에서 이용가능한 정정의 파라미터에 직접적으로 또는 간접적으로 관련될 수 있는 형태에서 오차를 분석하는 데에는 이점이 거의 없다. 물론, 다른 실시예들에서, 상이한 모델들이 규정될 수 있지만, 동일한 원리가 적용될 수도 있다.
도 4 로 돌아가면, 단계 414 에서, 과거의 제품 유닛 및 그들의 성능 데이터의 분할을 정제하고, 특히 통계 분석에 기초하지만 콘텍스트 데이터의 관점에서 볼 때 의미를 가지는 "논리적(logical)" 분할을 부과하기 위해서, 저장소(256)로부터의 콘텍스트 데이터(CDAT)가 개시된 방법에서 사용된다. 이러한 정제 단계의 예가 전술된 특허 출원 PCT/EP2016/072363 에서 좀 더 상세하게 설명된다.
416 에서, 분할의 정제가 종결되고 최종 분할이 규정된다. 418 에서, 이력 성능 데이터 내에 표현된 제품 유닛 및 새로운 제품 유닛이 여러 서브세트 중 피드백 제어기(400)의 각각의 쓰레드(404 및 406)에 대응하는 하나로 분명하게 할당될 수 있는 데에 사용되는 콘텍스트 기준들(CC)의 세트가 전달된다. 쓰레드들의 개수는, 콘텍스트 데이터의 모든 파라미터가 모든 콘텍스트에 대한 고유한 쓰레드를 규정하기 위해서 사용되어야 하는 경우보다 훨씬 적을 수 있다. 통계 분석을 사용하면, 성능에서의 차이와 실제로 연관된 콘텍스트 파라미터들만이 과거 및 장래의 생산물 유닛들의 모집단을 쓰레드로 분할하기 위한 기초로서 사용될 필요가 있다. 쓰레드들의 개수를 더 줄이기 위한 선택적인 기법이 전술된 특허 출원 PCT/EP2016/072363 에 좀 더 상세하게 설명된다.
피드백 제어기(400) 자체와 함께, 단계 410 내지 418 은 도 2 의 제조 설비로부터 데이터를 수신하도록 연결되는 컴퓨터 하드웨어 및 소프트웨어의 조합에 의해 구현될 수 있다. 컴퓨터 하드웨어는 리소툴 및 다른 장치와 동일한 설비 내에 위치될 수 있고, 또는 원격 통신 채널 및/또는 착탈식 저장소에 의해 원격으로 연결되게 위치될 수 있다.
도 7 은 본 발명의 일 실시예에 따른 제어 방법에 있는 단계들의 일반적인 시퀀스를 예시한다. 단계 702 에서, 예를 들어 반도체 웨이퍼인 제품 유닛들의 세트가 산업 프로세스에 의해 처리되도록 수납된다. 704 에서, 대상 데이터는 제품 유닛들의 세트에 측정되거나 그와 관련되어 측정된다(또는 기존 측정으로부터 수신된다). 이러한 대상 데이터는 전술된 배경 기술에서 언급된 임의의 종류의 대상 데이터일 수 있다. 예를 들어, 도 2 의 제조 설비에서는 대상 데이터가 웨이퍼를 패터닝하는 예비 단계로서 리소그래피 장치(200) 내에서 측정된 정렬 데이터일 수 있고, 이것은 웨이퍼를 패터닝하기 전에 웨이퍼 형상 계측 툴(262) 내에서 측정된 웨이퍼 형상 데이터일 수도 있으며, 및/또는 층들이 처리된 이전의 단계 이후에 계측 장치(240)를 사용하여 측정된 성능 데이터일 수 있다. 대상 데이터는 두 가지 이상의 종류일 수도 있다. 이러한 가능성 중 일부를 예시하는 예시적인 응용예가 아래에 제공될 것이다.
이러한 예에서, 산업 프로세스를 거치는 중인 제품 유닛들의 세트가 단계 706 에서 복수 개의 서브세트로 분할된다. 이러한 분할은 제품 유닛과 함께 수신된 콘텍스트 데이터에 기초하여 수행될 수 있다. 대안적으로 또는 추가적으로, 이러한 분할은, 도 4 내지 도 6 을 참조하여 전술된 방법을 사용하여 단계 704 에서 측정된 하나 이상의 종류의 대상 데이터의 통계 분석에 기초할 수 있다.
그러면, 각각의 서브세트에 대하여, 하나 이상의 샘플 제품 유닛이 710 에서 계측을 위해 선택된다. 이러한 단계는 복수 개의 제품 유닛과 관련하여 측정된 하나 이상의 파라미터를 나타내는 대상 데이터(712)에 기초하여 수행된다. 샘플 제품 유닛의 선택은 적어도 부분적으로 대상 데이터(712)의 통계 분석에 기초한다. 이러한 단계를 위해서 사용되는 대상 데이터(712)는 분할 단계(706)에서 사용되는 대상 데이터(존재한다면)와 동일한 종류 또는 상이한 종류일 수 있다.
714 에서, 복수 개의 제품 유닛 중 선택된 샘플 제품 유닛에만 하나 이상의 계측 단계가 수행된다. 716 에서, 선택된 샘플 제품 유닛의 계측에 적어도 부분적으로 기초하여, 복수 개의 제품 유닛의 제어 처리에서 사용할 정정이 유도된다. 정정은 또한 콘텍스트 데이터(718)를 사용하여 유도될 수 있다. 정정은 720 에서, 제품 유닛의 처리를 제어하기 위하여, 예를 들어 반도체 제조 설비 내의 웨이퍼에 패턴을 적용하기 위해서 사용된다.
샘플 제품 유닛을 측정하는 방식 및 측정을 사용하여 정정을 계산하는 방식은 관련된 제조 분야에서 알려진 기법들 중 임의의 기법일 수 있다. 본 발명의 원리에 따르면, 샘플 제품 유닛의 선택이 대상 데이터의 통계 분석에 적어도 부분적으로 기초하기 때문에, 달성가능한 제어의 정확도가 계측 오버헤드의 주어진 레벨에 대해서 개선될 수 있다.
반도체 제조에 있어서의 로트-레벨 및 척-레벨 제어를 위한 전통적인 접근법에서는, 계측 웨이퍼의 제한된 세트(통상적으로 척마다 2 개)가 선택된다. 가열 효과가 제어 루프에 진입하는 것을 피하기 위해서 웨이퍼를 로트의 중앙으로부터 선택하는 것이 알려져 있다. 그러나, 로트가 그 수명에 걸쳐서 경험하는 복잡한 처리 콘텍스트 때문에, 로트 내의 웨이퍼들은, 어떤 챔버 또는 툴 내에서 그들이 예를 들어 처리되었는지, 및 그러한 챔버 내에서의 그들의 배향 등에 따라서 상이한 오버레이 지문을 가질 수 있다. 그러면 통상적으로 지문들의 분포가 생기는데, 이것은 흔히 유사한 처리 이력에 기인한 유사한 형상 및 지문을 가지는 웨이퍼들의 로트 내의 "하위모집단(subpopulations)" 또는 그룹화가 생기게 한다. 지문들의 이러한 분포는 로트로부터 샘플 웨이퍼를 "무작위로" 고르는 경우에는 명백하게 고려되지 않고, 결과적으로 이러한 샘플 웨이퍼는 해당 로트 및 그 로트 내의 지문의 분포를 대표하지 않을 수 있는데, 그러면 프로세스 정정이 선택된 웨이퍼에 기초하고 전체 로트에 적용되는 경우 오버레이 불이익(penalty)이 초래될 수 있다. 더욱이, 처리 오차 또는 다른 상황이 특정 웨이퍼에 영향을 주고, 이러한 "비정상" 웨이퍼가 우연히 계측 웨이퍼로서 선택되는 위험성이 존재한다. 비정상 웨이퍼로부터의 측정은 후속하여 오버레이 제어 루프를 그들의 비정상 지문으로 "오염시킨다(contaminate)". 대량 제조 시에 계측 오버헤드를 제한할 필요가 있기 때문에, 샘플 웨이퍼들의 개수는 상대적으로 적을 것이고, 이러한 오염은 불균형한(disproportionate) 효과를 가질 수 있다.
도 7 에 도시된 바와 같이 웨이퍼들의 세트가 서브세트들로 분할될 수 있는 경우, 샘플 웨이퍼를 선택하는 것을 첫 번째로 개선하는 것은 특히 각각의 서브세트 내에 있는 샘플 웨이퍼를 선택함으로써 이루어질 수 있다. 그러면, 도 4 의 멀티-쓰레디드 제어 방법에서, 측정된 성능 데이터가 적절한 쓰레드를 제어하는 데에 적용될 수 있다. 그럼에도 불구하고, 멀티-쓰레디드 방법은 계측 오버헤드의 문제점을 더 첨예하게 만들고, 해당 서브세트 내에서 샘플 웨이퍼를 무작위로 선택하면 여전히 대부분을 진실되게 대표하지 않는 웨이퍼 상에 계측이 수행되는 경우가 생길 수 있다.
본 발명의 원리에 따르면, 도 7 의 방법에서 샘플 제품 유닛을 선택하는 것은 대상 데이터의 통계 분석의 도움을 받아 수행됨으로써, 대표하지 않는(unrepresentative) 제품 유닛이 선택되는 것이 회피되거나 감소되게 된다.
이를 고려하기 위하여, 도 5 및 도 6 의 예를 다시 참조한다. 주성분(PC1, PC2, PC3)에 의해 규정되는 다차원 공간의 세 개의 별개의 영역에 넓게 속하는 웨이퍼들의 세 개의 서브세트 또는 "클러스터"가 식별된 바 있다. 주성분(principle component)을 레퍼런스로 사용하면, 비정상 웨이퍼(520 및 522)가 인식될 수 있다. 도 7 의 방법의 일부 실시예들에서, 대상 데이터(712)의 통계 분석은, 비정상 웨이퍼가 식별되고 단계 710 에서의 계측을 위한 잠재적인 샘플 웨이퍼로서 고려되는 것에서 제외되게 한다. 그러므로, 이러한 실시예에서는, 샘플 제품 유닛 또는 제품 유닛들을 선택하는 것은, 복수 개의 제품 유닛을 대표하지 않는 것으로 통계 분석에 의해 식별된 제품 유닛을 제거하는 것을 포함한다. 샘플 웨이퍼가 각각의 서브세트의 잔여 멤버로부터 무작위로 선택된다고 해도, 적어도 전술된 "오염(contamination)" 문제가 감소될 것이다.
비정상 웨이퍼를 제외시키기 위한 경계는 다차원 공간, 또는 소망되는 경우에는 단일 차원에서 규정될 수 있다. 이러한 경계는 완전히 자동으로 및/또는 전문적인 지원을 받아 규정될 수 있고, 통계 분석에 의해 규정된 다차원 공간 내에서 임의의 형상을 가질 수 있다. 예를 들어, 엄격한 경계는 각각의 클러스터를 둘러쌀 수 있고, 또는 하나의 경계가 전체의 세트를 망라할 수도 있다. 경계는 대량 제조가 진행됨에 따라 정제될 수 있고 개발 단계에서는 더 넓게 설정될 수 있다.
모니터링 및 성능 제어의 품질을 더욱 개선하기 위하여, 이러한 방법의 일부 실시예들에서는, 계측을 위한 샘플 제품 유닛을 선택하는 것은 상기 통계 분석에 의해서 복수 개의 제품 유닛을 최상으로 대표하는 것으로 식별되는 제품 유닛을 선택하는 것을 포함하는 것이 바람직하다. 도 5 의 예에서, 그들의 특정 클러스터를 최상으로 대표하는 것으로 여겨지는 어떤 웨이퍼들(530, 532 및 534)이 강조된다. 관측된 지문 중 하나 이상을 사용하면, 클러스터 A의 웨이퍼들은 웨이퍼(530)가 해당 클러스터 내의 웨이퍼들에 가장 통상적인 지문 계수들의 조합을 가지고 있다고 식별하도록 분석될 수 있다. 도면에서, 이것은 웨이퍼(530)가 다차원 공간 내에서, 해당 클러스터의 웨이퍼들의 분포의 중앙에 가장 가까운 것으로 예시된다. 이와 유사하게, 각각의 웨이퍼(532, 534)는 클러스터 B 및 C 내의 웨이퍼들의 분포에서 각각 중앙에 위치된다.
실용적 구현형태의 관점에서, 최상으로 대표하는 제품 유닛들의 요구되는 개수를 식별하도록 다양한 통계적 측정이 적용될 수 있다. 일반적으로, 각각의 클러스터 및 척에 대한 최상으로 대표하는 웨이퍼는 그들의 클러스터 내에서 및/또는 이웃하는 클러스터에 대한 그들의 위치에 기초하여 선택된다. 이것을 달성하기 위한 한 가지 방법은, 규정된 알고리즘 중 일부를 사용하여 웨이퍼마다 중요 성능 표시자(KPI) 또는 점수 값을 계산하는 것이다. 이것을 위해서 사용될 수 있는 하나의 예시적인 KPI는 Peter J. Rousseeuw 저, "Silhouettes: a Graphical Aid to the Interpretation and Validation of Cluster Analysis", Computational and Applied Mathematics 20: 53-65, 1987 에 설명된 "실루엣(silhouette)" 값이다. 최고 실루엣 값(들)을 가지는 웨이퍼 또는 웨이퍼들을 선택하는 것은, 계측을 위한 샘플 웨이퍼로서의 역할을 할 대표 웨이퍼를 선택하는 한 가지 방법이다. 동일한 계산으로부터, 비정상 웨이퍼를 식별하기 위해서 낮은 실루엣 값들이 추가적으로 사용될 수 있다. 소망되는 경우에는, 예를 들어 비정상 웨이퍼를 선택하는 것을 피하기 위해서 추가적인 제약이 적용될 수 있다. 제약의 다른 예는, 그들의 처리가 열효과 때문에 통상적이지 않을 수 있는 처음 수 개의 웨이퍼를 로트에서 선택하는 것을 피하는 것일 것이다. 언급된 바와 같이, 각각의 상이한 서브세트에 대한 정정을 계산하기 위해서 상이한 샘플 웨이퍼들이 선택될 수 있다. 계측 웨이퍼 선택 메커니즘은, 피드백 제어가 APC 시스템 내에 어떻게 구현되어야 하는지를 적응적 방식으로 결정하는 "런투런(run-to-run)" 제어 시스템에서 정제될 수 있다. 이러한 제어 전략의 선택 및 최적화가 전술된 특허 출원 EP15202675.3 의 목표이다.
웨이퍼들이 혼합 회귀 분석에 의해서 두 곡선(602, 604)을 따라 클러스터링되는 도 6 의 예에서, 곡선 위에 있거나 곡선에 가까운 웨이퍼(630, 632)가, 관련된 클러스터에 속하지만 도시되는 파라미터(PRH)에서 곡선으로부터 어느 정도 거리에 있는 다른 웨이퍼보다 선호되어, 계측을 위한 대표 샘플(representative sample)로서 선택될 수 있다. 곡선으로부터의 거리는 웨이퍼들을 이러한 선택 프로세스에서 순위결정하기 위한 점수로서 사용될 수 있다. 실루엣 값과 같은 KPI는 곡선-기초 공간에서의 클러스터링, 및 주성분에서의 클러스터링으로 확장될 수 있다.
도 5 의 경우에서와 같이, 추가적 제약이 샘플 제품 유닛을 선택하는 데에 포함되도록 설계될 수 있다. 이러한 제약은 도 6 의 640 에서 예시되는데, 이것은 샘플 웨이퍼가 해당 로트 내의 웨이퍼들의 제 1 개수 중에서 선택되는 것을 금지한다. 다르게 말하면, 로트 내의 가장 앞선(earliest) 웨이퍼들은 그들이 정확하게 곡선(602 또는 604) 위에 놓이는 경우에도 다수의 웨이퍼를 대표하는 것으로 간주되지 않아야 한다고 여겨진다.
제품 유닛들의 세트를 단계 706 에서 서브세트로 분할하는 것이 단계 710 에서 샘플 제품 유닛을 선택하기 위해서 사용된 대상 데이터와 같거나 다른지 여부는 디자인 선택의 문제이다. 상이한 시나리오들이 아래에 예시될 것이다.
도 8 은 도 7 의 방법의 일 실시예에서 클러스터링(분할(partitioning)) 및 샘플 선택을 포함하는, 제어 방법의 더 상세한 흐름도이다. 클러스터링 및 샘플 선택은, 대상 데이터가 로트 내의 오버레이 지문의 분포를 대표하는 한, 많은 상이한 타입의 대상 데이터에 실행될 수 있다. 이러한 입력 데이터는, 웨이퍼 형상 계측 장치(262)에 의해 제공되는 것과 같은 오프라인 웨이퍼 형상 측정, 또는 리소그래피 장치(200) 내의 패터닝 동작 중에 자동으로 획득되는 정렬 데이터로부터 유래할 수 있다. 대상 데이터는 802 에서 수신되고 804 에서 후속하여 전처리된다. 전처리 단계(804)는, 예를 들어 대상 데이터(결함이 있는 것으로 의심되는 측정치)로부터 이상치를 제거할 수 있다. 전처리 단계는, 능동적 정렬 전략에 의해 캡쳐될 수 있는 콘텐츠와 같은 소망되지 않는 시스템적 지문도 제거할 수 있다. 전처리 단계는 후속 분할 단계를 지배할 수 있는 척-척 오프셋도 제거할 수 있다. 전처리 단계를 구성하기 위하여 사용자 입력(806)이 사용될 수 있다.
단계 808 에서, 레퍼런스 지문으로 간주될 수 있는 계수(예를 들어 주성분(PC1, PC2) 등)의 세트를 제공하도록 대상 데이터가 분해된다. 각각의 웨이퍼에 대하여, 이러한 레퍼런스 지문을 조합하기 위해서 사용될 경우, 계수(가중치)의 특정 세트는 개개의 웨이퍼의 지문을 제공할 것이다(근사적으로). 이러한 분해는, 예를 들어 주성분 분석(전술됨) 또는 제르니케 분해를 이용하여 이루어질 수 있다. 또는, 레퍼런스 지문은 셋업 단계 중에 처리된 웨이퍼로부터의 대상 데이터의 통계 분석에 의해서 이미 획득되었다. 그러한 경우에, 새로운 웨이퍼에 대한 계수는 웨이퍼를 저장된 레퍼런스 지문에 투영(projection)시킴을 통해서 획득된다. 다시 말하건대, 단계 808 의 상세한 구현형태는 사용자 입력(810)에 의해서 제어될 수 있다.
그러면 도 8 의 방법은 도 7 의 방법에서의 단계(706 및 710)에 대응하는 클러스터링 및 샘플 선택 단계로 이동한다. 단계 820 에서, 계속해서 증가하는 개수의 그룹들에서 계수들의 세트에 클러스터링을 실행하고, 클러스터링의 "품질" 을 측정하는 하나 이상의 KPI를 계산함으로써, 클러스터들의 최적의 개수가 계산된다. 클러스터링의 품질에 대한 한 가지 척도는 평균 실루엣이다. 이제 단계 822 에서, 최종 클러스터링이 선택된 자동화된 클러스터링 방법 및/또는 사용자 입력(824)에 기초하여 계수들의 세트에 적용된다. 예를 들어 클러스터들의 개수를 제한함으로써 계측 부하를 한정하고, 단일 웨이퍼 그룹이 발생하는 것을 피하기 위하여, 추가적 제약도 자동으로 적용될 수 있다.
단계들(820 및 822)에 적용되는 상이한 클러스터링 방법들 사이에서 선택하기 위해서 조언(Advice) 및/또는 사용자 입력도 사용될 수 있다. 클러스터링 방법의 일 예는 S P Lloyd에 의해 "Least squares quantization in PCM" (PDF). IEEE Transactions on Information Theory 28 (2): 129-137, 1982 에서 개시되는 "k-means" 방법이다. 다른 클러스터링 방법들도 이용가능하다. 프로세스 정정이 통상적으로 적용되고 웨이퍼들이 척마다 선택되기 때문에, 적용되는 클러스터링은 이를 고려해야 할 수 있다. 콘텍스트 데이터는 도 4 를 참조하여 위에서 설명된 것과 같이, 클러스터링을 정제하기 위하여 사용될 수 있다.
다음 단계 826 에서, 각각의 클러스터 및 척에 대한 최상으로 대표하는 웨이퍼가 선택된다. 대상 데이터에 기초한 통계 분석에서, 각각의 웨이퍼는 통계 분석(예를 들어 PCA 또는 다른 다변량 분석 기법)에 의해 규정되는 다차원 공간 내에 한 위치를 가진다. 그러면, 최상으로 대표하는 웨이퍼는 해당 다차원 공간 내에서의 그들의 클러스터 내의 그들의 위치에 기초하여 선택될 수 있다. 도 7 의 단계 710 에 대해서 전술된 바와 같이, 이를 달성하기 위한 한 가지 방법은 웨이퍼마다 실루엣 값을 계산하고, 후속하여 가장 높은 실루엣 값을 가지는 웨이퍼를 선택하는 것이다. 역시 단계 710 에 대해서 전술된 바와 같이 추가적 제약이 적용될 수 있다.
다른 단계 828 에서, 비정상 웨이퍼가, 예를 들어 낮은 실루엣 값과 같은 기준들에 기초하여 검출된다. 다른 입력, 및 비정상 웨이퍼 검출을 위한 방법에 대한 명령이 단계 830 에서 입력된다. 임의의 비정상 웨이퍼의 아이덴티티가 제조 실행 시스템(832)에서 사용되어, 비정상 웨이퍼가 추가적인 검사를 거치거나, 재작업되거나, 또는 단지 추가 처리로부터 제외될 수 있게 한다. 본 명세서의 콘텍스트에서, 비정상 웨이퍼의 아이덴티티는, 비정상 웨이퍼가 계측을 위한 샘플 웨이퍼로서 선택되는 것을 방지하기 위한 제약으로서 단계 826 에서도 사용된다.
단계 834 에서, 선택된 샘플 웨이퍼의 측정이 수신되고 적절한 모든 방식으로 처리된다. 그러면, 동일한 클러스터(또는 동일한 쓰레드의 일부인 대응하는 장래의 클러스터) 내의 웨이퍼의 장래의 처리를 제어하는 데에 사용되기 위한 정정이 단계 836 에서 계산된다. 선택적으로, 선택된 샘플 웨이퍼는 해당 로트의 나머지가 처리되기 전에 사전-이송(send-ahead) 웨이퍼로서 처리되고 측정될 것이다. 정정 세트는 사전-이송 웨이퍼에 대한 측정으로부터 계산되고 현재의 로트에 대한 제어에 적용될 수 있다.
계측 웨이퍼 선택 메커니즘은 전술된 바와 같이 런투런 제어 시스템에서 정제될 수 있다. 이러한 시나리오에서, 단계들은 자동화된 방식으로 수행되고, 계산된 KPI, 그룹화 및 웨이퍼 선택이 추가적인 동작을 트리거링하기 위해서 제조 실행 시스템으로 통신된다. 더 많은 웨이퍼가 필요한 것에 기인한 계측 부하는, 예를 들어 역시 전술된 샘플링 스킴 최적화를 사용함으로써, 후속하여 성능 개선과 평형을 이룰 수 있다. 선택된 KPI에 기초한 적합한 비정상 검출을 위한 임계 설정은, 예를 들어 수신기-조작 곡선(receiver-operating curve; ROC) 아래의 면적을 주지된 방식으로 최적화함으로써 이루어질 수 있다. 비정상 웨이퍼라고 명명하는 것의 정확도는 후속 오버레이 측정에 의해, 또는 전문 조작자에 의한 명명에 의하여, 예를 들어 셋-업 단계에서 확정될 수 있다.
도 9 및 도 10 은 제품 유닛(웨이퍼) 및 정보 처리의 흐름을 두 가지 상이한 적용예에서 개략적으로 제공한다. 도 9 의 예에서, 웨이퍼의 현재의 로트의 대상 데이터는 해당 로트를 분할하고 사전-이송 처리 및 계측을 위한 샘플 웨이퍼를 선택하기 위해서 사용된다. 도 10 의 예에서, 미리 계산된 지문이 현재의 로트를 분할하기 위하여 사용되고, 해당 로트가 처리된 후의 계측을 위한 샘플 웨이퍼가 선택된다. 양자 모두의 예는 도 7 및 도 8 을 참조하여 전술된 원리를 적용하며, 이러한 예들의 주된 특징만이 설명될 것이다. 웨이퍼가 도 2 를 참조하여 전술된 제조 설비 내에서 처리되는 중이라고 가정된다. 간결성을 위하여, 코팅 장치(208), 및 다른 에칭 및 처리 단계와 같은 보조 단계 및 장비들은 생략되지만, 이들은 제조 프로세스의 내포된 부분이라고 이해될 것이다.
도 9 에서, 웨이퍼의 로트(900)가 처리된다. 예비 단계로서, 웨이퍼 모두는 웨이퍼 형상 계측 장치(262)를 사용하여 측정된다. 웨이퍼 형상 데이터(804)는 도 7 및 도 8 의 방법의 계산을 수행하도록 구성되는 프로세서로 전달된다. 904 에서, 위에서 설명된 방식으로 지문을 식별하고 및 웨이퍼를 클러스터 내에 분할하기 위하여 데이터가 처리된다. 905 에서, 로트 내의 각각의 웨이퍼는 자신의 클러스터를 식별하도록 명명된다. 예를 들어, 상이한 클러스터 내의 웨이퍼는 상이한 제품의 상이한 층일 수 있고, 또는 동일한 제품 층을 가지지만 상이한 처리 이력을 가지는 웨이퍼들의 서브세트일 수도 있다. 906 에서, 대상 데이터 및 클러스터 정보는 각각의 클러스터를 대표할 하나 이상의 샘플 웨이퍼를 선택하기 위해서 사용된다.
샘플 웨이퍼를 식별하는 정보(908)가 리소그래피 장치의 제어 시스템으로 전달된다. 도 4 의 클러스터는 일 예로서 도시되어 있다. 샘플 웨이퍼(910, 912, 914)는 전술된 바와 같이 열린 원, 다이아몬드 및 삼각형 심볼로 명명된다. 하나 이상의 비정상 웨이퍼(914)도 역시 명명될 수 있다. 920 에서, 선택된 샘플 웨이퍼는 리소그래피 장치(200) 내에서 사전-이송 웨이퍼로서 처리된다. 각각은 그 특정 클러스터 및 콘텍스트 데이터에 관하여 처리된다.
924 에서, 처리된 사전-이송 웨이퍼가 계측 장치(240) 내에서의 성능 파라미터(예를 들어 오버레이)의 측정을 위해서 이송된다. 측정된 성능 파라미터(924)는, 926 에서 각각의 클러스터에 대한 프로세스 지문을 계산하는 데에 사용되도록, 진보된 프로세스 제어 시스템으로 전달된다. 이러한 지문(및 이력 성능 데이터 및 제어 파라미터)으로부터, 클러스터마다의 정정이 928 에서 계산되고 930 에서 리소그래피 장치로 다시 전달된다.
한편, 사전-이송 웨이퍼(910, 912, 914)는 재작업을 위해서 이송되고 로트(900)로 반환된다. 그러면 전체 로트(비정상 웨이퍼(916)일 수 있는 것 제외)가 940 에서 리소그래피 장치(200) 내에서 처리되도록 이송된다. 이것은 그 클러스터, 콘텍스트 데이터 등에 따라서 각각의 웨이퍼에 적합한 정정(930)을 사용하여 이루어진다. 위에서 언급된 바와 같이, 계산 및 정정은 트윈-스테이지 장치 내에서 척마다 수행될 수 있다. 이것은 예시된 예 내에서 내포되고, 간결성을 위해서 단일 계산만이 표시될 것이다.
처리된 후에, 웨이퍼는 942 에서 후속의 물리적 단계와 화학적 처리 단계를 위해서 전달된다. 선택적으로, 944 에서 이들 중 일부 또는 전부도 계측 장치(240) 내에서의 계측을 위해서 이송된다. 계측 결과(946)는 진보된 프로세스 제어 시스템(250)으로 피드백되는데, 거기에서 계측 결과는 처리 후속 로트에서의 성능을 개선하기 위하여 사용된다.
도 10 의 예에서, 레퍼런스 지문(예를 들어 PCA 성분)이 많은 수의 이력 웨이퍼(1002)로부터의 이력 대상 데이터에 기초하여 사전에 계산된다. 점선 박스(1004)는 이러한 방법의 이력 처리부를 둘러싼다. 대상 데이터는, 예를 들어 리소그래피 장치(200) 내에서 측정된 정렬 데이터(1006)이지만, 이것은 대상 데이터의 많은 가능한 종류 중 하나일 뿐이다. 예를 들어 웨이퍼 기하학적 구조 데이터 및/또는 이전의 프로세스 단계 이후에 측정된 성능 데이터가 포함될 수 있다. 1010 에서 PC1, PC2, PC3와 같은 레퍼런스 지문이 이제, 소망되는 경우에는 성능 데이터 및/또는 콘텍스트 데이터에 의해 보충되면서 대상 데이터의 통계 분석에 의해 규정된다. 레퍼런스 지문 및 통계 분석의 다른 결과가 이제 1012 에서 출력된다. 다른 결과는 예를 들어 비정상 검출을 위한 기준들을 포함한다.
현재의 로트(1020)와 관해서는, 이들이 1022 에서 리소그래피 장치에 로딩된다. 패터닝 프로세스의 일부로서, 정렬 데이터 및 다른 대상 데이터가 수집된다. 대상 데이터는 웨이퍼 형상 계측 장치(262)가 사용되는 경우 해당 장치로부터의 웨이퍼 기하학적 구조의 측정을 대안적으로 또는 추가적으로 포함할 수 있다. 동일한 웨이퍼 상의 이전의 층의 처리로부터 나온 정렬 데이터와 같은 저장된 대상 데이터도 대상 데이터로서 사용될 수 있다. 1024 에서 레퍼런스 지문 및 연관된 데이터(1012), 및 선택적으로 콘텍스트 데이터에 기초하여 통계 분석이 수행되어 웨이퍼를 그들의 클러스터 내에 배치한다.
로트의 웨이퍼들을 처리하는 중에, 또는 그 뒤에, 통계 분석은 그들의 클러스터를 최상으로 대표하는 샘플 웨이퍼(1030, 1032, 1034)를 식별할 수도 있다. 선택적으로, 비정상 웨이퍼(1036)가 식별될 수 있다. 이러한 예에서, 대표 샘플 웨이퍼는 잔여 웨이퍼에 앞서서 처리되고 측정되지 않고, 다른 웨이퍼와 함께 처리되며, 장래의 측정을 위해서 명명된다. 로트(1020)를 처리한 이후에, 웨이퍼는 1040 에서 추가적인 화학적 및/또는 물리적 처리를 위해서 이송된다. 해당 처리 이전 및/또는 이후에, 샘플 웨이퍼(1030, 1032 및 1034)는 1042 에서 성능 파라미터의 측정을 위해서 계측 장치(240)로 이송된다. 후속 로트의 처리를 개선하기 위하여, 측정 결과가 1046 에서 진보된 프로세스 제어 시스템(250) 내로 공급된다.
도 11 은 본 발명의 원리를 구현하는 제어 방법의 다른 예의 동작을 개략적으로 도시한다. 알 수 있는 바와 같이, 일반적 형태의 피드백 제어 방법은 어떠한 점에서는 도 3 의 (a) 및 (b)에 도시된 방법들의 혼합물이다. 이러한 예에서, 이력 웨이퍼에 관련된 콘텍스트 데이터 및 현재의 웨이퍼에 관련된 콘텍스트 데이터(CTX)는 성능 데이터(PDAT)의 더 선택성이 높은 생성과 사용을 제공하기 위하여 사용된다. 다른 예들에서, 현재의 웨이퍼 및/또는 과거의 웨이퍼 상에서 측정된 대상 데이터는, 도 5 내지 도 10 을 참조하여 전술된 바와 같이 웨이퍼를 상이한 그룹 또는 클러스터로 할당하기 위해서 사용될 수 있다.
이러한 예에서, 저장소(256)로부터의 콘텍스트 데이터(CDAT) 또는 대상 데이터는 각각의 과거의 로트에 대한 성능 데이터(PDAT)를 여러 쓰레드(1104) 중 하나로 할당하기 위해서 사용된다. 이러한 쓰레드는 피드백 제어기(1100)에 의해서 평행 피드백 루프에서 효과적으로 처리되지만, 프로세스 정정(1106)은 각각의 쓰레드의 웨이퍼들에 대해서가 아니라 전체 로트에 대해서 생성된다. 도시된 예에서, 듀얼 스테이지 리소그래피 장치에 적용된 정정은 상이한 척(WTa/WTb)에서 처리되기 위한 웨이퍼에 대하여 여전히 별개로 생성된다. 그러므로, 새로운 로트가 처리를 위해서 수납되면, 현재의 웨이퍼에 대해서 어떤 정정을 적용할지를 선택하기 위하여 새로운 로트들의 할당된 척 라벨(CHK)만이 사용된다. 그러나, 도 12 를 참조하여 이하 설명되는 바와 같이, 정정의 계산은 제품 유닛 중 하나 이상을 샘플 제품 유닛으로서 그룹 단위로 선택하는 것에 여전히 기초한다. 피드백 제어기는, 로트 전체에 대한 최적 정정(1106)을 계산하는 데에 사용하기 위하여 각각의 웨이퍼에 대한 콘텍스트 데이터(CTX)를 수신한다.
도 12 는 도 11 의 방법 내에서의 처리의 차이점을 보여주도록 확장되고 수정된, 도 7 의 흐름도와 유사한 흐름도이다. 단계들(1202 내지 1220)은 도 7 의 단계들(702-720)에 대응하고, 단계들(1216 및 1218)은 후술되는 바와 같이 서브-단계(1216a-1216c 및 1218a-1218c)로 확장된다.
단계 1202 에서, 제품 유닛들, 예를 들어 반도체 웨이퍼의 세트가 산업 프로세스에 의해 처리되도록 수납된다. 1204 에서, 대상 데이터는 제품 유닛들의 세트에 대해서 또는 그와 관련하여 측정된다(또는 기존의 측정으로부터 수신됨). 이러한 대상 데이터는 배경 기술 및 전술된 예들에서 언급된 임의의 종류의 대상 데이터일 수 있다.
이러한 예의 단계 1206 에서, 산업 프로세스를 거치는 중인 제품 유닛들의 세트는 복수 개의 서브세트로 분할된다. 이러한 분할은 제품 유닛과 함께 수신된 콘텍스트 데이터에 기초하여 수행될 수 있다. 대안적으로 또는 추가적으로, 이러한 분할은, 도 4 내지 도 8 을 참조하여 전술된 방법을 사용하여 단계 1204 에서 측정된 하나 이상의 종류의 대상 데이터의 통계 분석에 기초할 수 있다.
그러면, 각각의 서브세트에 대하여, 하나 이상의 샘플 제품 유닛이 1210 에서 계측을 위해 선택된다. 이러한 단계는 복수 개의 제품 유닛과 관련하여 측정된 하나 이상의 파라미터를 나타내는 대상 데이터(1212)에 기초하여 수행된다. 샘플 제품 유닛의 선택은 적어도 부분적으로 대상 데이터(1212)의 통계 분석에 기초한다. 이러한 단계를 위해서 사용되는 대상 데이터(1212)는 분할 단계(1206)에서 사용되는 대상 데이터(존재한다면)와 동일한 종류 또는 상이한 종류일 수 있다.
1214 에서, 복수 개의 제품 유닛 중 선택된 샘플 제품 유닛에만 하나 이상의 계측 단계가 수행된다. 1216a에서, 선택된 샘플 제품 유닛의 계측에 적어도 부분적으로 기초하여, 오차 지문의 추정이 해당 서브세트에 대하여 유도된다. 이러한 추정은 또한 콘텍스트 데이터(1218a)를 사용하여 유도될 수 있다.
1216b에서, 상이한 그룹에 대하여 계산된 추정들이 가중된 평균 추정으로 결합된다. 상이한 그룹에 제공되는 가중치는 단지 각각의 그룹의 제품 유닛들의 개수에 기초할 수 있다. 예를 들어, 하나의 추정이 15개의 제품 유닛의 그룹을 대표하고 다른 추정은 오직 다섯 개만 대표한다면, 가중된 평균 추정에서 첫 번째 추정에는 가중치의 세 배가 주어질 것이다. 다른 콘텍스트 데이터(1218b)가 가중치에 영향을 주기 위해서 사용될 수 있다. 예를 들어, 일부 웨이퍼는 다른 것보다 엄격한 성능 요구 사항을 가질 수 있고, 그들의 추정에는 증가된 가중치가 주어질 수 있다.
1216c에서, 제품 유닛들의 전체 세트에 대한 정정은 단계(1216b)에서 얻어진 가중된 평균 추정에 기초하여 계산된다. 추가적 콘텍스트 데이터(1218c)가 정정에 영향을 주기 위해서 사용될 수 있다. 정정은 1220 에서, 제품 유닛의 처리를 제어하기 위하여, 예를 들어 반도체 제조 설비 내의 웨이퍼에 패턴을 적용하기 위해서 사용된다.
도 11 및 도 12 의 예들을 요약하면, 샘플 제품 유닛의 선택은 각각의 서브세트(그룹 또는 클러스터) 내의 대상 데이터의 통계 분석에 적어도 부분적으로 기초한다는 것을 알 수 있게 될 것이다. 그러면 제품 유닛들의 전체 세트에 대한 정정은, 상이한 서브세트에 상이한 가중치를 제공하는 가중된 평균에 통합된, 상이한 서브세트에 대한 선택된 샘플 제품 유닛의 계측에 기초한다. 대조적으로, 도 7 내지 도 10 의 예에서는, 제품 유닛들의 세트의 상이한 서브세트의 처리를 제어하는 데에 사용하기 위한 상이한 정정이 규정된다.
결론적으로, 전술된 모니터링 및 제어 방법 및 시스템은 그들의 구현 형태에 따라서 광범위한 장점을 가져올 수 있다. -웨이퍼 그룹화에 기초하여 최상으로 대표하는 웨이퍼를 선택한다. 본 명세서는 웨이퍼 그룹화 및 웨이퍼 선택을 위한 중요 성능 표시자(KPI)로서 사용될 수 있는 값을 얻기 위해서 통계 분석을 사용하는 것을 예시한다. 다른 제조 환경에서는, 반도체 기판이 아닌 제품 유닛이 유사한 원리를 사용하여 처리될 수 있다.
전술된 실시예들은 그룹-기초 로트내 정정을 계산함으로써 자동화된 전개를 가능하게 한다. 이들은, 예를 들어 런투런 제어기에서 전개될 수 있는데, 이것은 자동으로 또는 사용자의 유도에 힘입어 그룹화 및 선택 기준들을, 제어 시스템의 다른 양태와 함께 개발 및 대량 제조 중에 정제할 수 있다. 본 발명의 실시예는 다양한 처리 툴, 계측 툴, 및 제조 설비의 작동기의 제조 실행 시스템(manufacturing execution system; MES)과 자동으로 통신할 수 있다.
본 발명의 실시예는 "최선의 웨이퍼"를 선택함으로써, 및/또는 피드포워드 및/또는 피드백 제어 루프를 "오염시키는" 비정상 웨이퍼를 피함으로써 더 안정한 제어를 제공한다. 이러한 루프는 하나 이상의 관심 파라미터를 최적화하기 위해서 설계될 것이다. 전술된 예에서, 오버레이는 중요한 성능 파라미터의 일 예로서 사용된다. CD 및 CD 균일성과 같은 다른 파라미터도, 당업자가 이해할 수 있는 바와 같이 대상 데이터 및 성능 데이터의 수정된 선택에 의해서 제어될 수 있다.
대상 데이터의 통계 분석에 기초한 그룹화 및 계측 선택은 계측 오버헤드를 부적절하게 증가시키지 않으면서 오버레이 피드백에 기초한 웨이퍼 레벨 제어를 가능하게 한다. 심지어, 웨이퍼 레벨 제어가 가능하지 않거나 요구되지 않는 환경에서는, 본 발명은 로트 전체에 대한 개선된 샘플링 및 더 양호한 절충 정정을 허용한다. 동일한 통계 분석들은 모니터링 기능을 가능하게 할 수 있다. 모니터링, 및 웨이퍼별 변동을 감소시키기 위해서 후속 동작을 트리거링하기 위해 오버레이 오차 분포의 정량화가 사용될 수 있다.
본 명세서에서 개시된 기법은, 공지된 동적 또는 분포된 샘플링 방법과 비교할 때, 계측 시간을 포함하여 사이클 시간을 감소시킬 수 있다. 이러한 이점은, 샘플 웨이퍼가 웨이퍼 전체의 세트(또는 서브세트)를 더 신뢰성있게 대표하도록 선택된다면 더 적은 수의 샘플 웨이퍼가 측정될 필요가 있다는 사실로부터 나온다. 샘플링 최적화, 동적 샘플링 및 분산형 샘플링과 결합되면, 가능한 이득들이 통합될 수 있다.
위에서 설명된 방법의 단계들은, 성능 데이터 및 콘텍스트 데이터에 액세스할 수만 있으면 임의의 범용 데이터 처리 하드웨어(컴퓨터) 내에서 자동화될 수 있다. 이러한 장치는 도 1 에 도시되는 리소그래피 장치 제어 유닛(LACU)과 같은 현존하는 프로세서 또는 전체 프로세스 제어 시스템과 통합될 수 있다. 하드웨어는 처리 장치로부터 원격에 자리할 수 있고, 심지어 다른 나라에 위치될 수 있다. 적합한 데이터 처리 장치(DPA)의 컴포넌트가 도 13 에 도시된다. 장치는 컴퓨터에 의해 실행가능한 코드를 포함하는 컴퓨터 프로그램 제품을 로딩하도록 구현될 수 있다. 컴퓨터 프로그램 제품이 다운로드되면, 이것은 컴퓨터 어셈블리가 전술된 바와 같이 피드백 제어 시스템의 기능을 구현하게 할 수 있다.
프로세서(1227)에 연결된 메모리(1229)는 하드 디스크(1261), 판독 전용 메모리(ROM)(1262), 전기적 소거가능 프로그래밍가능 판독 전용 메모리(EEPROM)(1263) 및 랜덤 액세스 메모리(RAM)(1264)와 같은 여러 메모리 컴포넌트를 포함할 수 있다. 앞서 언급된 메모리 컴포넌트 모두가 있어야 하는 것은 아니다. 더욱이, 앞서 언급된 메모리 컴포넌트가 프로세서(1227)에 또는 서로에 대해 반드시 물리적으로 인접해야 하는 것이 아니다. 이들은 멀리 떨어져 있을 수 있다.
또한, 프로세서(1227)는 몇 가지 종류의 사용자 인터페이스, 예를 들면 키보드(1265) 또는 마우스(1266)에 연결될 수 있다. 당업자에게 알려진 터치 스크린, 트랙 볼, 발화 컨버터 또는 다른 인터페이스도 역시 사용될 수 있다.
프로세서(1227)는, 예를 들어 컴퓨터 실행가능한 코드의 형태인 데이터를 고상 메모리(1268) 또는 CDROM(1269)과 같은 데이터 캐리어로부터 판독하고, 어떤 상황에서는 여기에 데이터를 저장하도록 구성되는 읽기 유닛(1267)에 연결될 수 있다. 프로세서(1227)는 디스플레이(1271)에 출력하는 것뿐만 아니라 출력 데이터를 종이에 프린트하도록 프린터(1270)에도 연결될 수 있다.
프로세서(1227)는 입력/출력(I/O)을 담당하는 송신기/수신기(1273)를 이용하여 통신 네트워크(1272), 예를 들면 공중 전화 교환 네트워크(PSTN), 근거리 네트워크(LAN), 광역 네트워크(WAN) 등에 연결될 수 있다. 프로세서(1227)는 통신 네트워크(1272)를 통해 다른 통신 시스템과 통신하도록 구현될 수도 있다. 본 발명의 일 실시예에서, 외부 컴퓨터(미도시), 예를 들면 운영자의 개인용 컴퓨터는 통신 네트워크(1272)를 통해 프로세서(1227)에 로그인할 수 있다.
프로세서(1227)는 독립적 시스템 또는 병렬로 작동하는 여러 처리 유닛으로서 구현될 수 있는데, 각각의 처리 유닛은 더 큰 프로그램의 하부 태스크를 실행하도록 구현된다. 또한, 처리 유닛은 여러 하부 처리 유닛들을 가지는 하나 이상의 메인 처리 유닛으로 분할될 수 있다. 프로세서(1227)의 일부 처리 유닛은 다른 처리 유닛으로부터 일정 거리 떨어져서 위치할 수도 있고, 통신 네트워크(1272)를 통해 통신할 수 있다. 모듈들 사이의 통신은 유선 또는 무선으로 이루어질 수 있다.
컴퓨터 시스템은 본 명세서에서 논의된 기능들을 수행하도록 구현된 아날로그 및/또는 디지털 및/또는 소프트웨어 기술을 가진 임의의 신호 처리 시스템일 수 있다.
본 발명의 다른 실시예들은 아래의 번호가 매겨진 실시예들의 목록에서 개시된다:
1. 복수 개의 제품 유닛에 수행되는 산업 프로세스를 제어하는 방법으로서,
(a) 제품 유닛 중 하나 이상을 샘플 제품 유닛으로서 선택하는 단계;
(b) 복수 개의 제품 유닛 중 선택된 샘플 제품 유닛에만 하나 이상의 계측 단계를 수행하는 단계; 및
(c) 단계 (b)에서 획득된 선택된 샘플 제품 유닛의 계측 결과에 적어도 부분적으로 기초하여, 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하는 단계를 포함하고,
상기 방법은,
상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하는 단계를 더 포함하고,
상기 단계 (a)에서, 상기 샘플 제품 유닛의 선택은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
2. 제 1 실시예에 있어서,
단계 (a)에서, 상기 샘플 제품 유닛 또는 제품 유닛들을 선택하는 것은, 상기 복수 개의 제품 유닛을 대표하지 않는(unrepresentative) 것으로 상기 통계 분석에 의해 식별된 제품 유닛의 제거를 포함하는, 산업 프로세스 제어 방법.
3. 제 1 실시예 또는 제 2 실시예에 있어서,
단계 (a)에서, 상기 샘플 제품 유닛 또는 제품 유닛들의 선택은, 상기 복수 개의 제품 유닛을 최상으로 대표하는(most representative) 것으로 상기 통계 분석에 의해 식별된 제품 유닛을 우선적으로 선택하는 것을 포함하는, 산업 프로세스 제어 방법.
4. 제 3 실시예에 있어서,
상기 통계 분석은,
복수 개의 후보 제품 유닛에 대한 성능 표시자 값을 계산하는 것, 및 상기 성능 표시자를 참조하여 최상으로 대표하는 제품 유닛을 식별하는 것을 포함하는, 산업 프로세스 제어 방법.
5. 제 4 실시예에 있어서,
상기 성능 표시자는 루씨우(Rousseeuw) "실루엣(silhouette)" 값인, 산업 프로세스 제어 방법.
6. 제 1 실시예 내지 제 5 실시예 중 어느 한 실시예에 있어서,
상기 대상 데이터의 통계 분석은 다차원 공간 내에서의 상기 제품 유닛의 위치에 기초하는, 산업 프로세스 제어 방법.
7. 제 6 실시예에 있어서,
상기 다차원 공간은, 상기 복수 개의 제품 유닛에 대한 대상 데이터의 다변량 분석(multivariate analysis)에 의해 적어도 부분적으로 규정되는, 산업 프로세스 제어 방법.
8. 제 6 실시예 또는 제 7 실시예에 있어서,
상기 다차원 공간은, 이전에 처리된 제품 유닛에 대한 대상 데이터의 다변량 분석에 의해 적어도 부분적으로 규정되는, 산업 프로세스 제어 방법.
9. 제 1 실시예 내지 제 8 실시예 중 어느 한 실시예에 있어서,
상기 복수 개의 제품 유닛은 상기 산업 프로세스를 거치는 중인 제품 유닛들의 세트의 하나의 서브세트이고,
상기 방법은 예비 단계로서,
상기 제품 유닛들의 세트를 복수 개의 서브세트로 분할하는 것을 포함하며,
상기 방법의 단계 (a) 및 (b)는 각각의 서브세트에 대하여 개별적으로 수행되는, 산업 프로세스 제어 방법.
10. 제 9 실시예에 있어서,
단계 (c)에서 제품 유닛들의 세트의 상이한 서브세트의 처리를 제어하는 데에 사용하기 위한 상이한 정정이 정제되고,
상기 상이한 정정은 대응하는 서브세트에 대한 선택된 샘플 제품 유닛의 계측 결과에 기초하는, 산업 프로세스 제어 방법.
11. 제 9 실시예에 있어서,
단계 (c)에서 제품 유닛들의 세트의 처리를 제어하는 데에 사용하기 위한 정정이 정제되고,
상기 정정은, 상이한 서브세트에 상이한 가중치를 부여하는 가중된 평균에서 결합된, 상이한 서브세트에 대한 선택된 샘플 제품 유닛의 계측에 기초하는, 산업 프로세스 제어 방법.
12. 제 9 실시예 내지 제 11 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은, 상기 샘플 제품 유닛을 선택하는 데에 사용되기 위한 동일한 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
13. 제 9 실시예 내지 제 12 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은, 상기 샘플 제품 유닛을 선택하는 데에 사용되기 위한 대상 데이터와 상이한 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
14. 제 9 실시예 내지 제 13 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은 k-means를 사용하여 수행되는, 산업 프로세스 제어 방법.
15. 제 9 실시예 내지 제 14 실시예 중 어느 한 실시예에 있어서,
상기 분할하는 단계는, 여러 이용가능한 분할 방법 중에서 분할 방법을 선택하는 것을 포함하는, 산업 프로세스 제어 방법.
16. 제 9 실시예 내지 제 15 실시예 중 어느 한 실시예에 있어서,
상기 분할에 의해 얻어진 서브세트들의 개수는 통계 분석에 의하여 자동으로 결정되는, 산업 프로세스 제어 방법.
17. 제 16 실시예에 있어서,
상기 서브세트들의 개수는 루씨우 실루엣을 사용하여 최적화되는, 산업 프로세스 제어 방법.
18. 제 9 실시예 내지 제 17 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은 각각의 제품 유닛의 처리 이력을 나타내는 콘텍스트 데이터에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
19. 제 1 실시예 내지 제 18 실시예 중 어느 한 실시예에 있어서,
단계 (b)에서 선택된 샘플 제품에 수행되는 계측 단계는, 상기 복수 개의 제품 유닛 중 나머지의 처리를 위한 상기 정정을 규정하기 위해서, 상기 샘플 제품 유닛을 상기 산업 프로세스를 통해 사전에 이송하고(send ahead) 상기 샘플 제품 유닛에 대한 상기 산업 프로세스의 성능을 측정하는 것을 포함하는, 산업 프로세스 제어 방법.
20. 제 19 실시예에 있어서,
상기 샘플 제품 유닛은 규정된 정정을 사용하여 재작업되고 상기 산업 프로세스를 다시 거치는, 산업 프로세스 제어 방법.
21. 제 1 실시예 내지 제 20 실시예 중 어느 한 실시예에 있어서,
상기 산업 프로세스는, 기판의 형태인 제품 유닛에 수행되는 하나 이상의 패터닝 프로세스 단계의 시퀀스를 포함하고,
각각의 패터닝 프로세스 단계는 하나 이상의 패터닝 동작 및 후속하는 하나 이상의 물리적 및/또는 화학적 처리 동작을 포함하는, 산업 프로세스 제어 방법.
22. 제 21 실시예에 있어서,
상기 패터닝 프로세스는 리소그래피 장치 내에서 수행되고,
상기 대상 데이터는 상기 리소그래피 장치 내에 로딩되기 전에 상기 기판에서 측정된 웨이퍼 형상 데이터를 포함하는, 산업 프로세스 제어 방법.
23. 제 21 실시예 또는 제 22 실시예에 있어서,
상기 패터닝 프로세스는 리소그래피 장치 내에서 수행되고,
상기 대상 데이터는 상기 리소그래피 장치 내에 로딩된 후에 상기 기판에서 측정된 정렬 데이터를 포함하는, 산업 프로세스 제어 방법.
24. 일련의 제품 유닛에 수행되는 산업 프로세스를 위한 제어 장치로서,
상기 제품 유닛 중 하나 이상을 계측을 위한 샘플 제품 유닛으로서 선택하기 위한 선택 장치; 및
선택된 샘플 제품 유닛의 계측 결과를 사용하여 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하기 위한 장치를 포함하고,
상기 선택 장치는, 상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하도록 구성되고,
상기 샘플 제품 유닛의 선택은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 제어 장치.
25. 제 24 실시예에 있어서,
상기 샘플 제품 유닛 또는 제품 유닛들을 선택하는 것은, 상기 복수 개의 제품 유닛을 대표하지 않는(unrepresentative) 것으로 상기 통계 분석에 의해 식별된 제품 유닛의 제거를 포함하는, 제어 장치.
26. 제 24 실시예 또는 제 25 실시예에 있어서,
상기 샘플 제품 유닛 또는 제품 유닛들을 선택하는 것은, 상기 복수 개의 제품 유닛을 최상으로 대표하는 것으로 상기 통계 분석에 의해 식별된 제품 유닛을 우선적으로 선택하는 것을 포함하는, 제어 장치.
27. 제 26 실시예에 있어서,
상기 통계 분석은,
복수 개의 후보 제품 유닛에 대한 성능 표시자 값을 계산하는 것, 및 상기 성능 표시자를 참조하여 최상으로 대표하는 제품 유닛을 식별하는 것을 포함하는, 제어 장치.
28. 제 27 실시예에 있어서,
상기 성능 표시자는 루씨우 "실루엣" 값인, 제어 장치.
29. 제 24 실시예 내지 제 28 실시예 중 어느 한 실시예에 있어서,
상기 대상 데이터의 통계 분석은 다차원 공간 내에서의 상기 제품 유닛의 위치에 기초하는, 제어 장치.
30. 제 29 실시예에 있어서,
상기 다차원 공간은, 상기 복수 개의 제품 유닛에 대한 대상 데이터의 다변량 분석(multivariate analysis)에 의해 적어도 부분적으로 규정되는, 제어 장치.
31. 제 29 실시예 또는 제 30 실시예에 있어서,
상기 다차원 공간은, 이전에 처리된 제품 유닛에 대한 대상 데이터의 다변량 분석에 의해 적어도 부분적으로 규정되는, 제어 장치.
32. 제 24 실시예 내지 제 31 실시예 중 어느 한 실시예에 있어서,
상기 복수 개의 제품 유닛은 상기 산업 프로세스를 거치는 중인 제품 유닛들의 세트의 하나의 서브세트이고,
상기 제어 장치는, 상기 제품 유닛들의 세트를 복수 개의 서브세트로 분할하기 위한 장치를 더 포함하고,
상기 선택하는 장치는 각각의 서브세트에 대하여 별개로 샘플 제품 유닛을 선택하도록 구성되는, 제어 장치.
33. 제 32 실시예에 있어서,
상기 정정을 규정하기 위한 장치는, 제품 유닛들의 세트의 상이한 서브세트의 처리를 제어하는 데에 사용하기 위한 상이한 정정을 규정하도록 동작가능하고,
상기 상이한 정정은 대응하는 서브세트에 대한 선택된 샘플 제품 유닛의 계측 결과에 기초하는, 제어 장치.
34. 제 32 실시예에 있어서,
상기 정정을 규정하기 위한 장치는, 상기 제품 유닛들의 세트의 처리를 제어하는 데에 사용하기 위한 정정을 규정하도록 동작가능하고,
상기 정정은, 상이한 서브세트에 상이한 가중치를 부여하는 가중된 평균에서 결합된, 상이한 서브세트에 대한 선택된 샘플 제품 유닛의 계측에 기초하는, 제어 장치.
35. 제 32 실시예 내지 제 34 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은, 상기 샘플 제품 유닛을 선택하는 데에 사용되기 위한 동일한 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 제어 장치.
36. 제 32 실시예 내지 제 35 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은, 상기 샘플 제품 유닛을 선택하는 데에 사용되기 위한 대상 데이터와 상이한 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 제어 장치.
37. 제 32 실시예 내지 제 36 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은 k-means를 사용하여 수행되는, 제어 장치.
38. 제 32 실시예 내지 제 37 실시예 중 어느 한 실시예에 있어서,
상기 분할하는 장치는 여러 이용가능한 분할 방법 중에서 선택된 분할 방법을 구현하도록 동작가능한, 제어 장치.
39. 제 32 실시예 내지 제 38 실시예 중 어느 한 실시예에 있어서,
상기 분할하는 장치는 상기 분할에 의해 얻어진 서브세트들의 개수를 통계 분석에 의하여 자동으로 결정하도록 동작가능한, 제어 장치.
40. 제 39 실시예에 있어서,
상기 서브세트들의 개수는 루씨우 실루엣을 사용하여 최적화되는, 제어 장치.
41. 제 24 실시예 내지 제 40 실시예 중 어느 한 실시예에 있어서,
상기 제품 유닛들의 세트를 서브세트로 분할하는 것은 각각의 제품 유닛의 처리 이력을 나타내는 콘텍스트 데이터에 적어도 부분적으로 기초하는, 제어 장치.
42. 제 24 실시예 내지 제 41 실시예 중 어느 한 실시예에 있어서,
상기 정정을 규정하는 장치는, 기판 형태의 제품 유닛에 수행되는 패터닝 프로세스 단계에 대한 정정을 규정하도록 구성되는, 제어 장치.
43. 제 42 실시예에 있어서,
상기 패터닝 프로세스 단계는 리소그래피 장치 내에서 수행되고,
상기 선택하는 장치는, 상기 리소그래피 장치 내에 로딩되기 전에 상기 기판에서 측정된 웨이퍼 형상 데이터를 포함하는 대상 데이터를 사용하도록 구성되는, 제어 장치.
44. 제 42 실시예 또는 제 43 실시예에 있어서,
상기 패터닝 프로세스는 리소그래피 장치 내에서 수행되고,
상기 선택하는 장치는, 상기 리소그래피 장치 내에 로딩된 후에 상기 기판에서 측정된 정렬 데이터를 포함하는 대상 데이터를 사용하도록 구성되는, 제어 장치.
45. 컴퓨터 프로그램 제품으로서,
범용 데이터 처리 장치가 제 1 실시예 내지 제 23 실시예 중 어느 한 실시예에 따른 방법의 단계 (a) 내지 (c)를 수행하게 하기 위한 머신 판독가능 명령을 포함하는, 컴퓨터 프로그램 제품.
46. 컴퓨터 프로그램 제품으로서,
범용 데이터 처리 장치가 제 24 실시예 내지 제 44 실시예 중 어느 한 실시예에 따른 제어 장치의 선택하는 장치 및 정정을 규정하는 장치를 구현하게 하기 위한 머신 판독가능 명령을 포함하는, 컴퓨터 프로그램 제품.
47. 제 24 실시예 내지 제 44 실시예 중 어느 한 실시예에 따른 제어 장치를 포함하는 리소그래피 장치.
비록 본문에서 IC의 제조에서 리소그래피 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 리소그래피 장치는 리소그래피의 예에서와 같이 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판-패널 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등을 가질 수 있다는 것이 이해되어야 한다. 통계 분석을 거치는 측정들의 세트는 측정 상이한 제품 유닛에 대해 이루어진 측정, 및/또는 동일한 제품 유닛을 측정하는 상이한 인스턴스일 수 있다. 비록 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용을 특별히 참조했지만, 본 발명이 다른 타입의 리소그래피, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
이미 언급된 바와 같이, 본 발명은 리소그래피와는 별개인 산업적인 처리 애플리케이션에 적용될 수도 있다. 그룹화가 다양한 "고-믹스(high-mix)" 제조 애플리케이션에 적용될 수 있다는 것이 이해될 것인데, 여기서는 상이한 제품 유닛이 일반적으로 유사한 처리를 거치지만 각각의 유닛 및/또는 그들의 처리에 있어서 차이가 있다. 예들은 광학 컴포넌트의 생산, 자동차 제조, 및 임의의 개수의 다른 대량 제조 상황에서 일어날 수 있다.
위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 사상 및 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다. 추가하여, 본 명세서의 임의의 하나의 실시예에서 도시되거나 설명된 구조적 피쳐 또는 방법 단계들이 다른 실시예들에서도 역시 사용될 수 있다는 것이 이해되어야 한다.

Claims (15)

  1. 복수 개의 제품 유닛에 수행되는 산업 프로세스를 제어하는 방법으로서,
    (a) 제품 유닛 중 하나 이상을 샘플 제품 유닛으로서 선택하는 단계;
    (b) 복수 개의 제품 유닛 중 선택된 샘플 제품 유닛에만 하나 이상의 계측 단계를 수행하는 단계; 및
    (c) 단계 (b)에서 획득된 선택된 샘플 제품 유닛의 계측 결과에 적어도 부분적으로 기초하여, 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하는 단계를 포함하고,
    상기 방법은,
    상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하는 단계를 더 포함하고,
    상기 단계 (a)에서, 상기 샘플 제품 유닛의 선택은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
  2. 제 1 항에 있어서,
    단계 (a)에서, 상기 샘플 제품 유닛 또는 제품 유닛들을 선택하는 것은, 상기 복수 개의 제품 유닛을 대표하지 않는(unrepresentative) 것으로 상기 통계 분석에 의해 식별된 제품 유닛의 제거를 포함하는, 산업 프로세스 제어 방법.
  3. 제 1 항에 있어서,
    단계 (a)에서, 상기 샘플 제품 유닛 또는 제품 유닛들의 선택은, 상기 복수 개의 제품 유닛을 최상으로 대표하는(most representative) 것으로 상기 통계 분석에 의해 식별된 제품 유닛을 우선적으로 선택하는 것을 포함하는, 산업 프로세스 제어 방법.
  4. 제 3 항에 있어서,
    상기 통계 분석은,
    복수 개의 후보 제품 유닛에 대한 성능 표시자 값을 계산하는 것, 및 상기 성능 표시자를 참조하여 최상으로 대표하는 제품 유닛을 식별하는 것을 포함하는, 산업 프로세스 제어 방법.
  5. 제 1 항에 있어서,
    상기 대상 데이터의 통계 분석은 다차원 공간 내에서의 상기 제품 유닛의 위치에 기초하는, 산업 프로세스 제어 방법.
  6. 제 5 항에 있어서,
    상기 다차원 공간은, 상기 복수 개의 제품 유닛에 대한 대상 데이터의 다변량 분석(multivariate analysis)에 의해 적어도 부분적으로 규정되는, 산업 프로세스 제어 방법.
  7. 제 5 항에 있어서,
    상기 다차원 공간은, 이전에 처리된 제품 유닛에 대한 대상 데이터의 다변량 분석에 의해 적어도 부분적으로 규정되는, 산업 프로세스 제어 방법.
  8. 제 1 항에 있어서,
    상기 복수 개의 제품 유닛은 상기 산업 프로세스를 거치는 중인 제품 유닛들의 세트의 하나의 서브세트이고,
    상기 방법은 예비 단계로서,
    상기 제품 유닛들의 세트를 복수 개의 서브세트로 분할하는 것을 포함하며,
    상기 방법의 단계 (a) 및 (b)는 각각의 서브세트에 대하여 개별적으로 수행되는, 산업 프로세스 제어 방법.
  9. 제 8 항에 있어서,
    상기 제품 유닛들의 세트를 서브세트로 분할하는 것은 각각의 제품 유닛의 처리 이력을 나타내는 콘텍스트 데이터에 적어도 부분적으로 기초하는, 산업 프로세스 제어 방법.
  10. 제 1 항에 있어서,
    단계 (b)에서 선택된 샘플 제품에 수행되는 계측 단계는, 상기 복수 개의 제품 유닛 중 나머지의 처리를 위한 상기 정정을 규정하기 위해서, 상기 샘플 제품 유닛을 상기 산업 프로세스를 통해 사전에 이송하고(send ahead) 상기 샘플 제품 유닛에 대한 상기 산업 프로세스의 성능을 측정하는 것을 포함하는, 산업 프로세스 제어 방법.
  11. 일련의 제품 유닛에 수행되는 산업 프로세스를 위한 제어 장치로서,
    상기 제품 유닛 중 하나 이상을 계측을 위한 샘플 제품 유닛으로서 선택하기 위한 선택 장치; 및
    선택된 샘플 제품 유닛의 계측 결과를 사용하여 복수 개의 제품 유닛 및/또는 유사한 제품 유닛의 처리를 제어하는 데에 사용하기 위한 정정을 규정하기 위한 장치를 포함하고,
    상기 선택 장치는, 상기 복수 개의 제품 유닛에 관련되어 측정된 하나 이상의 파라미터를 나타내는 대상 데이터를 수신하도록 구성되고,
    상기 샘플 제품 유닛의 선택은 상기 대상 데이터의 통계 분석에 적어도 부분적으로 기초하는, 제어 장치.
  12. 제 11 항에 있어서,
    상기 샘플 제품 유닛 또는 제품 유닛들의 선택은, 상기 복수 개의 제품 유닛을 최상으로 대표하는 것으로 상기 통계 분석에 의해 식별된 제품 유닛을 우선적으로 선택하는 것을 포함하는, 제어 장치.
  13. 제 12 항에 있어서,
    상기 대상 데이터의 통계 분석은 다차원 공간 내에서의 상기 제품 유닛의 위치에 기초하는, 제어 장치.
  14. 범용 데이터 처리 장치가 제 11 항의 제어 장치의 선택 장치 및 정정을 규정하기 위한 장치를 구현하게 하는 머신 판독가능 명령을 포함하는 컴퓨터 프로그램 제품.
  15. 제 11 항의 제어 장치를 포함하는 리소그래피 시스템.
KR1020197014011A 2016-10-21 2017-09-21 산업 프로세스를 제어하기 위한 방법 및 장치 KR102321887B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16195049.8A EP3312693A1 (en) 2016-10-21 2016-10-21 Methods & apparatus for controlling an industrial process
EP16195049.8 2016-10-21
PCT/EP2017/073868 WO2018072962A1 (en) 2016-10-21 2017-09-21 Methods & apparatus for controlling an industrial process

Publications (2)

Publication Number Publication Date
KR20190062580A true KR20190062580A (ko) 2019-06-05
KR102321887B1 KR102321887B1 (ko) 2021-11-04

Family

ID=57208112

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197014011A KR102321887B1 (ko) 2016-10-21 2017-09-21 산업 프로세스를 제어하기 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US20200050180A1 (ko)
EP (1) EP3312693A1 (ko)
KR (1) KR102321887B1 (ko)
CN (1) CN109891341A (ko)
TW (2) TWI656413B (ko)
WO (1) WO2018072962A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200143053A (ko) * 2019-06-14 2020-12-23 코오롱베니트 주식회사 제품 제조에 대한 최적조건 설정방법 및 장치

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102353216B1 (ko) * 2016-05-12 2022-01-18 에이에스엠엘 네델란즈 비.브이. 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
EP3396458A1 (en) 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
US11086229B2 (en) 2017-05-05 2021-08-10 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
WO2020108862A1 (en) 2018-11-26 2020-06-04 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
EP3705959A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
EP3891558A1 (en) 2018-12-03 2021-10-13 ASML Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
KR102603071B1 (ko) 2018-12-07 2023-11-15 에이에스엠엘 네델란즈 비.브이. 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법
WO2020126774A1 (en) * 2018-12-19 2020-06-25 Asml Netherlands B.V. Methods for sample scheme generation and optimization
EP3683626A1 (en) * 2019-01-15 2020-07-22 ASML Netherlands B.V. Methods for sample scheme generation and optimization
US11635682B2 (en) * 2019-06-26 2023-04-25 Kla Corporation Systems and methods for feedforward process control in the manufacture of semiconductor devices
US11809088B2 (en) 2019-08-22 2023-11-07 Asml Netherlands B.V. Method for controlling a lithographic apparatus
JP7353940B2 (ja) * 2019-11-26 2023-10-02 株式会社日立製作所 転移可能性判定装置、転移可能性判定方法、及び転移可能性判定プログラム
US20210375651A1 (en) * 2020-05-28 2021-12-02 Kla Corporation Fleet Matching Of Semiconductor Metrology Tools Without Dedicated Quality Control Wafers
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN114967623B (zh) * 2022-06-07 2023-06-16 中国人民解放军陆军工程大学 城市地下污水处理厂规模优化与工艺选择方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241885A1 (en) * 2002-11-12 2004-12-02 Jorn Maeritz Method, device, computer-readable storage medium and computer program element for the monitoring of a manufacturing process of a plurality of physical objects

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10252605A1 (de) * 2002-11-12 2004-06-24 Infineon Technologies Ag Verfahren, Vorrichtung, computerlesbarer Speicher und Computerprogramm-Element zum rechnergestützten Überwachen und Regeln eines Herstellungsprozesses
WO2005069191A1 (en) * 2003-12-31 2005-07-28 Pdf Solutions, Inc. Method and system for failure signal detection analysis
US7403264B2 (en) * 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7467064B2 (en) * 2006-02-07 2008-12-16 Timbre Technologies, Inc. Transforming metrology data from a semiconductor treatment system using multivariate analysis
US7962440B2 (en) * 2007-09-27 2011-06-14 Rockwell Automation Technologies, Inc. Adaptive industrial systems via embedded historian data
CN102147607B (zh) * 2010-02-09 2013-04-17 科博达技术有限公司 一种柔性化的电子产品通用型生产线及其生产方法
NL2009345A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
KR101900340B1 (ko) 2013-10-02 2018-09-20 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241885A1 (en) * 2002-11-12 2004-12-02 Jorn Maeritz Method, device, computer-readable storage medium and computer program element for the monitoring of a manufacturing process of a plurality of physical objects

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
비특허문헌1(Hong-Goo Lee 외 15명, PROCEEDINGS OF SPIE, OPTOMECHATRONIC MICRO/NANO DEVICES AND COMPONENTS 3, vol.9635, 2015.10.23)* *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200143053A (ko) * 2019-06-14 2020-12-23 코오롱베니트 주식회사 제품 제조에 대한 최적조건 설정방법 및 장치

Also Published As

Publication number Publication date
US20200050180A1 (en) 2020-02-13
TW201928529A (zh) 2019-07-16
CN109891341A (zh) 2019-06-14
WO2018072962A1 (en) 2018-04-26
EP3312693A1 (en) 2018-04-25
KR102321887B1 (ko) 2021-11-04
TW201830155A (zh) 2018-08-16
TWI656413B (zh) 2019-04-11

Similar Documents

Publication Publication Date Title
KR102321887B1 (ko) 산업 프로세스를 제어하기 위한 방법 및 장치
CN108369412B (zh) 用于控制工业过程的方法和设备
CN109154781B (zh) 获得测量的方法、用于执行过程步骤的设备和计量设备
CN109863456B (zh) 确定图案化过程的校正的方法
KR102427132B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
KR102166317B1 (ko) 패터닝 공정의 제어 방법, 디바이스 제조 방법, 리소그래피 장치용 제어 시스템 및 리소그래피 장치
EP3654103A1 (en) Method for obtaining training data for training a model of a semicondcutor manufacturing process
CN110573966B (zh) 用于优化光刻工艺的方法和装置
EP3312672A1 (en) Methods of determining corrections for a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
US11372338B2 (en) Method for evaluating control strategies in a semiconductor manufacturing process
CN112088337B (zh) 用于基于过程参数标记衬底的方法
TWI622860B (zh) 用於控制微影裝置之方法、微影裝置及元件製造方法
CN111480119B (zh) 用于控制制造设备的方法以及相关联的设备
EP3547030A1 (en) Method for evaluating control strategies in a semicondcutor manufacturing process
WO2023156143A1 (en) Methods of metrology
KR20210107849A (ko) 리소그래피 프로세스를 제어하기 위한 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant