KR20180071960A - Chemical deposition chamber having gas seal - Google Patents

Chemical deposition chamber having gas seal Download PDF

Info

Publication number
KR20180071960A
KR20180071960A KR1020170172903A KR20170172903A KR20180071960A KR 20180071960 A KR20180071960 A KR 20180071960A KR 1020170172903 A KR1020170172903 A KR 1020170172903A KR 20170172903 A KR20170172903 A KR 20170172903A KR 20180071960 A KR20180071960 A KR 20180071960A
Authority
KR
South Korea
Prior art keywords
gas
outlets
exhaust gas
inert
module
Prior art date
Application number
KR1020170172903A
Other languages
Korean (ko)
Inventor
라메시 찬드라세카란
제레미 터커
사안그루트 상플렁
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/385,089 external-priority patent/US10781516B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180071960A publication Critical patent/KR20180071960A/en
Priority to KR1020230144640A priority Critical patent/KR20230151975A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A chemical deposition device having a chemical deposition chamber formed in a chemical separation chamber includes a gas seal. The chemical deposition chamber includes a shower head module having a facing plate having gas inlets for transferring reaction group chemicals to a wafer cavity for semiconductor substrate processing. The shower head module includes primary exhaust gas outlets for removing reaction gas chemical and inert gas from the wafer cavity. An inert gas feed unit transfers a sealing gas flowing radially inwards through the gap between a pedestal module and a step of the shower head module at least in a part for gas seal formation. Secondary exhaust gas outlets draw at least a part of the inert gas flowing through the gap to provide a large Peclet number.

Description

가스 시일링을 갖는 화학적 증착 챔버{CHEMICAL DEPOSITION CHAMBER HAVING GAS SEAL}CHEMICAL DEPOSITION CHAMBER HAVING GAS SEAL [0002]

본 발명은 화학적 증착을 수행하기 위해 그리고 플라즈마 강화된 화학적 증착들을 수행하는데 사용하기 위한 프로세스들 및 장치들에 관한 것이다.The present invention relates to processes and apparatus for performing chemical vapor deposition and for use in performing plasma enhanced chemical vapor deposition.

플라즈마 프로세싱 장치들은 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱 및 레지스트 제거를 포함하는 기법들에 의해 반도체 기판들을 프로세싱하도록 사용될 수 있다. 예를 들어, 플라즈마 프로세싱에 사용된 일 타입의 플라즈마 프로세싱 장치는 상단 전극 및 하단 전극을 포함하는 반응 챔버 또는 증착 챔버를 포함한다. RF (radio frequency) 전력이 반응 챔버 내에서 반도체 기판들을 프로세싱하기 위해 프로세스 가스를 플라즈마로 여기하도록 전극들 사이에 인가된다.Plasma processing devices include, but are not limited to, etching, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD) ), Plasma enhanced pulsed deposition layer (PEPDL) processing, and resist stripping. For example, one type of plasma processing apparatus used in plasma processing includes a reaction chamber or deposition chamber that includes a top electrode and a bottom electrode. Radio frequency (RF) power is applied between the electrodes to excite the process gas into the plasma to process the semiconductor substrates in the reaction chamber.

일 실시예에 따라, 가스 시일링을 갖는 화학적 증착 챔버는 대면 플레이트 밑의 웨이퍼 캐비티 내에 반도체 기판을 지지하도록 구성된 샤워헤드 모듈 및 페데스탈 모듈을 포함한다. 대면 플레이트는 웨이퍼 캐비티로 프로세스 가스를 전달하도록 구성된 복수의 가스 유입부들을 포함한다. 샤워헤드 모듈은 웨이퍼 캐비티로부터 반응 가스 화학물질들 및 불활성 가스들을 제거하도록 구성된 1차 배기 가스 유출부들을 포함한다. 샤워헤드 모듈은 웨이퍼 캐비티의 외측 주변부의 단차부 및 단차부와 페데스탈 모듈 사이의 갭에 가스 시일링을 형성하기 위해 불활성 가스를 전달하도록 구성된 불활성 가스 피드부를 포함한다. 샤워헤드 모듈은 주 배기 가스 유출부들의 방사상 외측에 위치된 2차 배기 가스 유출부들로서, 2차 배기 가스 유출부들은 갭을 통해 방사상 내측으로 흐르는 불활성 가스 중 적어도 일부를 제거하도록 구성되는, 2차 배기 가스 유출부들을 포함한다.According to one embodiment, a chemical vapor deposition chamber having a gas seal includes a showerhead module and a pedestal module configured to support a semiconductor substrate within a wafer cavity below the facing plate. The facing plate includes a plurality of gas inlets configured to transfer process gas to the wafer cavity. The showerhead module includes primary exhaust outlets configured to remove reactive gas chemicals and inert gases from the wafer cavity. The showerhead module includes an inert gas feed portion configured to deliver an inert gas to form a gas seal in a gap between an outer peripheral portion of the wafer cavity and a step portion and a pedestal module. The showerhead module includes secondary exhaust gas outlets positioned radially outside of the main exhaust gas outlets, wherein the secondary exhaust gas outlets are configured to remove at least a portion of the inert gas flowing radially inwardly through the gap, And exhaust gas outflows.

또 다른 실시예에 따라, 상기 기술된 화학적 증착 챔버의 웨이퍼 캐비티로부터 반응 가스 화학물질들이 탈출하는 것을 억제하는 (containing) 방법은 다음의 단계들: (a) 페데스탈 모듈 상에 반도체 기판을 지지하는 단계; (b) 대면 플레이트의 가스 유입부들을 통해 프로세스 가스를 흘리는 단계; (c) 웨이퍼 캐비티로부터 1차 배기 가스 유출부들을 통해 가스들을 인출하는 단계; (d) 불활성 가스 피드를 통해 불활성 가스를 흘림으로써 단차부와 페데스탈 모듈 사이의 갭에 가스 시일링을 유지하는 단계; 및 (e) 2차 배기 가스 유출부들을 통해 갭을 통해 방사상 내측으로 흐르는 불활성 가스의 적어도 일부를 인출하는 단계를 포함한다.According to yet another embodiment, a method for containing escape of reactive gas chemicals from a wafer cavity of a chemical deposition chamber as described above comprises the steps of: (a) supporting a semiconductor substrate on a pedestal module, ; (b) flowing a process gas through the gas inlet portions of the facing plates; (c) withdrawing gases from the wafer cavity through the primary exhaust gas outlets; (d) maintaining a gas seal in a gap between the step and the pedestal module by flowing an inert gas through the inert gas feed; And (e) withdrawing at least a portion of the inert gas flowing radially inward through the gap through the secondary exhaust gas outlets.

도 1a는 예시적인 실시예에 따른 페데스탈을 갖는 화학적 증착 장치를 도시하는 개략도이다.
도 1b는 예시적인 실시예에 따른 페데스탈을 갖지 않은 화학적 증착 장치를 도시하는 개략도이다.
도 2는 예시적인 실시예에 따른 가스 기반 시일링 시스템의 단면도이다.
도 3은 예시적인 실시예에 따른 가스 기반 시일링 시스템의 단면도이다.
도 4는 예시적인 실시예에 따른 가스 기반 시일링 시스템의 단면도이다.
도 5는 예시적인 실시예에 따른 가스 기반 시일링 시스템의 단면도이다.
도 6은 예시적인 실시예에 따른 가스 기반 시일링 시스템의 단면도이다.
도 7은 예시적인 실시예에 따른 가스 기반 시일링 시스템의 개략도이다.
도 8은 예시적인 실시예에 따른 가스 기반 시일링 시스템에 대한 압력 및 밸브 각도 대 시간을 도시하는 차트이다.
도 9는 시일링 가스 장치 및 대면 플레이트의 주변부 둘레의 주 배기 가스 유출부들을 갖는 샤워헤드 모듈 내 가스 플로우를 예시한다.
도 10은 가스 시일링 장치, 대면 플레이트의 주변부 둘레의 주 배기 가스 유출부들 및 주 배기 가스 유출부들의 외측 및 시일링 가스 유입부들의 내측의 2차 배기 가스 유출부들을 갖는 샤워헤드 모듈의 가스 플로우를 예시한다.
도 11은 주 배기 가스 유출부들 및 2차 배기 가스 유출부들을 갖는 샤워헤드 모듈에 대한 가스 플로우를 예시한다.
도 12는 내측 링의 하부 표면에 시일링 가스 유출부들을 갖는 2-피스 격리 링 및 내측 링의 내측 표면의 2차 배기 가스 유출부들을 갖는 샤워헤드 모듈을 예시한다.
도 13은 내측 링이 샤워헤드 모듈의 대면 플레이트 및 백킹 플레이트 둘레에 어떻게 피팅하는 (fit) 지를 도시한다.
도 14는 샤워헤드 모듈의 상단 플레이트의 2차 배기 통로들로 연결하는 가스 연결부들을 도시한다.
IA is a schematic diagram illustrating a chemical vapor deposition apparatus having a pedestal according to an exemplary embodiment.
1B is a schematic diagram showing a chemical vapor deposition apparatus without a pedestal according to an exemplary embodiment.
2 is a cross-sectional view of a gas based sealing system according to an exemplary embodiment.
3 is a cross-sectional view of a gas based sealing system according to an exemplary embodiment.
4 is a cross-sectional view of a gas based sealing system according to an exemplary embodiment.
5 is a cross-sectional view of a gas based sealing system according to an exemplary embodiment.
6 is a cross-sectional view of a gas based sealing system in accordance with an exemplary embodiment.
7 is a schematic diagram of a gas based sealing system according to an exemplary embodiment.
8 is a chart illustrating pressure versus valve angle versus time for a gas based sealing system in accordance with an exemplary embodiment.
Figure 9 illustrates the gas flow in the showerhead module with the main exhaust gas outlets around the periphery of the sealing gas device and the face plate.
10 shows the gas flow of a showerhead module having gas sealing devices, main exhaust gas outlets around the periphery of the face plate and outside of the main exhaust gas outlets and secondary exhaust gas outlets inside the sealing gas inlets .
Figure 11 illustrates the gas flow for a showerhead module having main exhaust outlets and secondary exhaust outlets.
12 illustrates a showerhead module having a two-piece isolating ring with sealing gas outlets on the lower surface of the inner ring and secondary exhaust outlets on the inner surface of the inner ring.
Figure 13 shows how the inner ring fits around the facing plate and backing plate of the showerhead module.
14 shows gas connections connecting to the secondary exhaust passages of the upper plate of the showerhead module.

이하의 상세한 개시에서, 예시적인 실시예들은 본 명세서에 개시된 장치 및 방법들의 이해를 제공하기 위해 언급되었다. 그러나, 당업자에게 자명한 바와 같이, 예시적인 실시예들은 이들 구체적인 상세들 없이 또는 대안적인 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 공지의 프로세스들, 절차들, 및/또는 컴포넌트들은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 기술되지 않았다.In the following detailed disclosure, exemplary embodiments have been described in order to provide an understanding of the devices and methods disclosed herein. However, as will be apparent to those skilled in the art, the exemplary embodiments may be practiced without these specific details or by using alternative elements or processes. In other instances, well-known processes, procedures, and / or components have not been described so as not to unnecessarily obscure aspects of the embodiments disclosed herein.

예시적인 실시예에 따라, 본 명세서에 개시된 장치들 및 연관된 방법들은 PECVD와 같은 화학적 증착에 사용될 수 있다. 장치 및 방법들은 다단계 증착 프로세스들 (예를 들어, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PECVD (plasma enhanced chemical vapor deposition), PDL (pulsed deposition layer), 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기제한 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용될 수 있지만, 이렇게 제한되지 않는다.In accordance with an exemplary embodiment, the devices and associated methods disclosed herein may be used for chemical vapor deposition such as PECVD. The apparatus and methods may be applied to a variety of deposition processes including atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), plasma enhanced chemical vapor deposition (PECVD), pulsed deposition layer (PDL) pulsed deposition layer) processing), but is not so limited.

나타낸 바와 같이, 본 실시예들은 PECVD와 같은 화학적 증착을 수행하기 위한 장치 및 연관된 방법들을 제공한다. 장치 및 방법들은 다단계 증착 프로세스들 (예를 들어, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기제한 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용하기에 특히 적용가능하지만, 이렇게 제한되지 않는다.As shown, these embodiments provide an apparatus and associated methods for performing chemical vapor deposition, such as PECVD. Devices and methods can be used to reduce magnetic constraints in multi-step deposition processes (e.g. atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), pulsed deposition layer (PDL), or plasma enhanced pulsed deposition layer But are not so limited, for use with a semiconductor fabrication based dielectric deposition process that requires separation of deposition steps.

전술한 프로세스들은 증착된 재료를 수용하는 웨이퍼 또는 기판에 걸쳐 불균일한 온도들과 연관된 일부 결함들을 겪을 수 있다. 예를 들어, 불균일한 온도들은 주변 챔버 컴포넌트들과 열적으로 콘택트하는 패시브 가열된 샤워헤드가 주변 컴포넌트들로 열을 손실할 때 기판을 가로질러 전개될 수도 있다. 따라서, 프로세싱 존의 상부 벽을 형성하는 샤워헤드는 등온 프로세싱 존이 형성될 수도 있어서 기판에 걸쳐 균일한 온도들을 형성하도록 주변 컴포넌트들로부터 열적으로 분리되는 것이 바람직하다. 기판에 걸쳐 균일한 온도들은 기판의 균일한 프로세싱을 돕고, 기판 온도는 증착 프로세스를 위한 활성화 에너지를 제공하고 따라서 증착 반응을 구동하기 위한 제어 수단이다.The processes described above may experience some defects associated with non-uniform temperatures across a wafer or substrate that receives the deposited material. For example, non-uniform temperatures may be developed across the substrate as the passive heated showerhead, which is in thermal contact with the peripheral chamber components, loses heat to the surrounding components. Thus, the showerhead forming the top wall of the processing zone may be formed with an isothermal processing zone, so that it is preferably thermally isolated from the surrounding components to form uniform temperatures across the substrate. The uniform temperatures throughout the substrate aid in the uniform processing of the substrate and the substrate temperature is the control means for providing the activation energy for the deposition process and thus driving the deposition reaction.

더욱이, 일반적으로 2 개의 주요 타입의 증착 샤워헤드들, 즉 샹들리에 타입 (chandelier type) 및 플러시 마운트 (flush mount) 타입이 있다. 샹들리에 타입 샤워헤드들은 그 일단이 챔버의 상단에 부착되고 타단이 대면플레이트에 부착된 스템부를 가지며 이로써 샹들리에와 유사하다. 이 스템부의 일부는 가스 라인들과 RF 전력의 접속을 가능하게 하도록 챔버 상단으로부터 돌출할 수도 있다. 플러시 마운트 타입 샤워헤드들은 챔버의 상단 내로 통합되며 스템부를 가지지 않는다. 본 실시예들은 플러시 마운트 타입 샤워헤드에 관한 것이며, 플러시 마운트 타입 샤워헤드는 챔버 공간 (chamber volume) 을 감소시키고, 챔버 공간은 프로세싱 동안에 진공 소스에 의해서 배기되어야 한다.Moreover, there are generally two main types of deposition showerheads: chandelier type and flush mount type. Chandelier type showerheads have a stem portion with one end attached to the top of the chamber and the other end attached to the facing plate, thereby resembling a chandelier. A portion of the stem portion may protrude from the top of the chamber to enable connection of RF lines with the gas lines. Flush mount type showerheads are integrated into the top of the chamber and do not have a stem. The embodiments relate to a flush mount type showerhead, which reduces chamber volume, and the chamber space must be vented by a vacuum source during processing.

도 1a 및 도 1b는 본 명세서에서 개시된 실시예들에 따른 화학적 증착 장치 (100) 를 도시하는 개략도들이다. 도 1a 및 도 1b에 도시된 바와 같이, 화학적 증착 장치는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130) 및 이동형 페데스탈 모듈 (140) 을 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈 (140) 의 상부 표면 상의 기판 (또는 웨이퍼) (190) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 수직으로 상승 또는 하강될 수 있다. 샤워헤드 모듈 (130) 도 또한 수직으로 상승 및 하강될 수 있다. 반응 물질 가스들 (또는 프로세스 가스들)(192)(도 3) 이 샤워헤드 모듈 (130) 의 중앙 플레넘 (202)(도 6) 을 통해 가스 라인들 (112) 을 통해 서브-챔버 (또는 웨이퍼 캐비티)(150) 내로 도입된다. 가스 라인들 각각 (112) 은 격리 밸브들 (미도시) 을 사용하여 장치 (100) 로부터 격리될 수 있는 대응하는 축적기 (accumulator)(미도시) 를 가질 수 있다. 예시적인 실시예에 따라, 장치 (100) 는 사용되는 반응 가스들의 수에 따라 격리 밸브들 및 축적기들을 갖는 하나 이상의 가스 라인들 (112) 을 갖도록 수정될 수 있다. 또한, 반응 가스 전달 라인들 (112) 은 복수의 화학적 증착 장치들 또는 다중-스테이션 시스템 간에서 공유될 수 있다.1A and 1B are schematic diagrams showing a chemical vapor deposition apparatus 100 according to the embodiments disclosed herein. 1A and 1B, the chemical vapor deposition apparatus includes a chemical isolation chamber or housing 110, a deposition chamber 120, a showerhead module 130, and a movable pedestal module 140, May be vertically raised or lowered relative to the showerhead module 130 to raise and lower the position of the substrate (or wafer) 190 on the upper surface of the pedestal module 140. The showerhead module 130 may also be vertically raised and lowered. 3) may be supplied to the sub-chamber (or gas) via gas lines 112 through the central plenum 202 (FIG. 6) of the showerhead module 130 Wafer cavity). Each of the gas lines 112 may have a corresponding accumulator (not shown) that may be isolated from the device 100 using isolation valves (not shown). According to an exemplary embodiment, the apparatus 100 may be modified to have one or more gas lines 112 with isolation valves and accumulators, depending on the number of reaction gases used. Also, the reaction gas delivery lines 112 may be shared among a plurality of chemical vapor deposition apparatuses or multi-station systems.

예시적인 실시예에 따라, 챔버 (120) 는 진공 소스 (미도시) 에 연결된 하나 이상의 진공 라인들 (160)(도 2) 을 통해서 배기될 수 있다. 예를 들어, 진공 소스는 진공 펌프 (미도시) 일 수 있다. 멀티-스테이션 반응기들에서, 예를 들어, 동일한 증착 프로세스를 수행하는 복수의 스테이션들 또는 장치들 (100) 을 갖는 멀티-스테이션 반응기들에서, 다른 스테이션으로부터의 진공 라인 (160) 은 진공 라인 (160) 과 공통 포어라인 (foreline) 을 공유할 수 있다. 또한, 장치 (100) 는 스테이션 또는 장치 (100) 마다 하나 이상의 진공 라인들 (160) 을 갖도록 수정될 수 있다.According to an exemplary embodiment, the chamber 120 may be evacuated through one or more vacuum lines 160 (FIG. 2) connected to a vacuum source (not shown). For example, the vacuum source may be a vacuum pump (not shown). In multi-station reactors, for example, in multi-station reactors having a plurality of stations or devices 100 performing the same deposition process, the vacuum line 160 from the other station is connected to a vacuum line 160 ) And a common foreline. In addition, the apparatus 100 may be modified to have one or more vacuum lines 160 per station or apparatus 100.

예시적인 실시예에 따라, 복수의 배기 도관들 (evacuation conduits) (170) 이 샤워헤드 모듈 (130) 의 대면플레이트 (136) 내의 하나 이상의 배기 유출부들 (174) 과 유체로 연통하도록 구성될 수 있다. 배기 유출부들 (174) 은 증착 프로세스들 간에서 웨이퍼 캐비티 (150) 로부터 프로세스 가스들 또는 반응기 화학물질들 (192) 을 제거하도록 구성될 수 있다. 복수의 배기 도관들 (170) 은 또한 하나 이상의 진공 라인들 (160) 과 유체로 연통한다. 복수의 배기 도관들 (170) 은 기판 (190) 주변의 원주 방향으로 이격될 수 있고 균일하게 이격될 수도 있다. 몇몇 예들에서, 복수의 배기 도관들 (170) 의 이격은 진공 라인들 (160) 의 위치들을 보상하도록 설계될 수도 있다. 일반적으로 복수의 배기 도관들 (170) 보다 더 적은 개수의 진공 라인들 (160) 이 존재하기 때문에, 진공 라인 (160) 에 가장 가까운 도관 (170) 을 통한 흐름은 더 멀리 떨어진 도관을 통한 흐름보다 높을 수도 있다. 평활한 흐름 패턴을 보장하기 위해서, 도관들 (170) 은 이들이 진공 라인들 (160) 로부터 멀리 떨어져 있으면 서로 보다 가깝게 이격될 수 있다. 가변 플로우 전달기 (variable flow conductor) 를 포함하는 복수의 도관들 (170) 을 포함하는 화학적 증착 장치 (100) 의 예시적인 실시예는 공동으로 양도된 미국 특허 제 7,993,457 호에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다.According to an exemplary embodiment, a plurality of evacuation conduits 170 may be configured to fluidly communicate with one or more exhaust outlets 174 in the faceplate 136 of the showerhead module 130 . The exhaust outlets 174 can be configured to remove process gases or reactor chemicals 192 from the wafer cavity 150 between deposition processes. The plurality of exhaust conduits 170 are also in fluid communication with the one or more vacuum lines 160. A plurality of exhaust conduits 170 may be circumferentially spaced around the substrate 190 and spaced evenly. In some instances, the spacing of the plurality of exhaust conduits 170 may be designed to compensate for the locations of the vacuum lines 160. Because there is generally less vacuum lines 160 than a plurality of exhaust conduits 170, the flow through the conduit 170 closest to the vacuum line 160 is greater than the flow through the more distant conduits 170 It may be high. To ensure a smooth flow pattern, the conduits 170 may be spaced closer together when they are away from the vacuum lines 160. An exemplary embodiment of a chemical vapor deposition apparatus 100 comprising a plurality of conduits 170 including a variable flow conductor can be found in commonly assigned U.S. Patent No. 7,993,457, Quot; is hereby incorporated by reference in its entirety.

본 명세서에 개시된 실시예들은 바람직하게는 플라즈마 강화된 화학 기상 증착 장치 (예를 들어, PECVD 장치, PEALD 장치 또는 PEPDL 장치) 에서 구현된다. 이러한 장치는 상이한 형태를 취할 수 있는데, 이 장치는 하나 이상의 기판들 (190) 을 하우징하고 기판 프로세싱에 적합한, 상술한 바와 같이 멀티 스테이션들 또는 증착 챔버들 (120) 을 포함할 수 있는, 하나 이상의 챔버들 또는 "반응기들" (110) 을 포함할 수 있다. 챔버 각각 (120) 은 프로세싱을 위해서 하나 이상의 기판들을 하우징할 수도 있다. 하나 이상의 챔버들 (120) 은 구획된 위치 또는 위치들에서 (이러한 위치에서 예를 들어, 회전, 진동 또는 다른 요동과 같은 움직임을 가지면서 또는 가지지 않으면서) 기판 (190) 을 유지한다. 일 실시예에서, 증착 및 처리를 받고 있는 기판 (190) 은 프로세스 동안에 장치 (100) 내에서 일 스테이션 (예를 들어, 증착 챔버 (120)) 으로부터 또 다른 스테이션으로 전달될 수 있다. 프로세스 동안에, 기판 (190) 각각은 페데스탈 모듈 (140) 의 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해서 제자리에서 홀딩된다. 기판 (190) 이 가열될 특정 동작들을 위해서, 페데스탈 모듈 (140) 은 가열 플레이트와 같은 가열기를 포함할 수도 있다.Embodiments disclosed herein are preferably implemented in a plasma enhanced chemical vapor deposition apparatus (e.g., a PECVD apparatus, a PEALD apparatus, or a PEPDL apparatus). Such an apparatus may take different forms, including one or more substrates 190 that are suitable for substrate processing and which may include multiple stations or deposition chambers 120, as described above, Chambers or " reactors " 110. Each of the chambers 120 may house one or more substrates for processing. The one or more chambers 120 hold the substrate 190 in a defined position or locations (with or without such movement as, for example, rotation, vibration or other fluctuations, at this location). In one embodiment, the substrate 190 undergoing deposition and processing may be transferred from one station (e.g., the deposition chamber 120) to another station within the apparatus 100 during the process. During the process, each of the substrates 190 is held in place by a pedestal, wafer chuck and / or other wafer holding device of the pedestal module 140. For certain operations in which the substrate 190 is to be heated, the pedestal module 140 may include a heater, such as a heating plate.

도 2는 예시적인 실시예에 따른 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 단면도이다. 도 2에 도시된 바와 같이, 화학적 증착 장치 (100) 는 페데스탈 모듈 (140) 의 상부 표면 (142) 으로부터 반도체 기판 (또는 웨이퍼) (190) 을 수용하고 그리고/또는 방출하도록 구성되는 기판 페데스탈 모듈 (140) 을 포함한다. 하부 위치에서, 기판 (190) 은 페데스탈 모듈 (140) 의 표면 (142) 상에 배치되고, 이어서 샤워헤드 모듈 (130) 을 향해 수직으로 상향으로 상승된다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 를 형성하는 페데스탈 모듈 (140) 의 상부 표면 (142) 과 샤워헤드 모듈 (130) 의 하부 표면 (132) 사이의 거리는 약 0.2 인치 (5 ㎜) 내지 약 0.6 인치 (15 ㎜) 일 수 있다. 웨이퍼 캐비티 (150) 에 가까워지도록 페데스탈 모듈 (140) 로의 상향으로 수직 이동은 샤워헤드 모듈 (130) 의 대면 플레이트 (136) (도 1a 및 도 1b) 의 외측 부분 (131) 둘레에 페데스탈 모듈 (140) 과 단차부 (135) 사이에 좁은 갭 (240) 을 생성한다.2 is a cross-sectional view of a chemical vapor deposition apparatus 100 having a gas-based sealing system 200 according to an exemplary embodiment. 2, the chemical vapor deposition apparatus 100 includes a substrate pedestal module (not shown) configured to receive and / or emit a semiconductor substrate (or wafer) 190 from the top surface 142 of the pedestal module 140 140). In the lower position, the substrate 190 is disposed on the surface 142 of the pedestal module 140 and then vertically upwardly toward the showerhead module 130. The distance between the upper surface 142 of the pedestal module 140 forming the wafer cavity 150 and the lower surface 132 of the showerhead module 130 is about 0.2 inches (5 mm) About 0.6 inches (15 mm). Upwardly directed upward movement to the pedestal module 140 so as to approach the wafer cavity 150 causes pedestal module 140 (shown in FIG. 1) around the outer portion 131 of facing plate 136 (FIGS. 1A and 1B) And the stepped portion 135. As shown in FIG.

예시적인 실시예에서, 챔버 (120) 내부 온도는 샤워헤드 모듈 (130) 및/또는 페데스탈 모듈 (140) 내의 가열 메카니즘을 통해서 유지될 수 있다. 예를 들어, 기판 (190) 은 샤워헤드 모듈 (130) 및 페데스탈 모듈 (140) 이 목표된 온도로 기판 (190) 을 유지하도록 구성되는 등온 분위기 내에 위치될 수 있다. 예시적인 실시예에서, 샤워헤드 모듈 (130) 은 250 ℃ 보다 높은 온도로 가열되고 그리고/또는 페데스탈 모듈 (140) 도 50 ℃ 내지 550 ℃ 범위로 가열될 수 있다. 증착 챔버 또는 캐비티 (150) 는 페데스탈 모듈 (140) 과 함께 동작하는 샤워헤드 모듈 (130) 을 포함하는 용량 결합형 플라즈마 타입 시스템에 의해서 생성되는 플라즈마를 담는 역할을 한다.In an exemplary embodiment, the interior temperature of the chamber 120 may be maintained through the heating mechanism within the showerhead module 130 and / or the pedestal module 140. For example, the substrate 190 may be positioned in an isothermal atmosphere in which the showerhead module 130 and the pedestal module 140 are configured to hold the substrate 190 at the desired temperature. In an exemplary embodiment, the showerhead module 130 may be heated to a temperature greater than 250 ° C and / or the pedestal module 140 may be heated to a range of 50 ° C to 550 ° C. The deposition chamber or cavity 150 serves to contain a plasma generated by a capacitively coupled plasma-type system that includes a showerhead module 130 that cooperates with a pedestal module 140.

매칭 네트워크 (미도시) 에 연결된 고주파수 (HF) RF 생성기 및 저주파수 (LF) RF 생성기와 같은 RF 소스(들)(미도시) 가 샤워헤드 모듈 (130) 에 연결된다. 매칭 네트워크에 의해서 공급되는 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 생성하기 충분하다. 일 실시예에서, HF 생성기 및 LF 생성기 모두가 사용될 수 있다. 통상적인 프로세스에서, HF 생성기는 일반적으로 약 2 내지 100 ㎒의 주파수에서 동작하며, 바람직한 실시예에서는 13.56 ㎒에서 동작한다. LF 생성기는 일반적으로 약 50 ㎑ 내지 2 ㎒의 주파수에서 동작하며, 바람직한 실시예에서는 350 내지 600 ㎑에서 동작한다. 프로세스 파라미터들은 챔버 공간, 기판 크기, 및 다른 인자들에 따라서 크기가 조절될 수 있다. 예를 들어, HF 생성기 및 LF 생성기의 전력 출력들은 통상적으로 기판의 증착 표면적에 직접적으로 비례한다. 예를 들어, 300 ㎜ 웨이퍼들에서 사용되는 전력은 일반적으로 200 ㎜ 웨이퍼들에서 사용되는 전력보다 적어도 2.25 높을 것이다. 마찬가지로, 표준 증기 압력과 같은 플로우 레이트들은 예를 들어, 증착 챔버 (120) 의 자유 공간 (free volume) 에 의존할 수 있다.(Not shown), such as a high frequency (HF) RF generator and a low frequency (RF) RF generator, coupled to a matching network (not shown) is connected to the showerhead module 130. The power and frequency supplied by the matching network is sufficient to generate a plasma from the process gas / vapor. In one embodiment, both the HF generator and the LF generator can be used. In a typical process, the HF generator generally operates at a frequency of about 2 to 100 MHz, and in the preferred embodiment operates at 13.56 MHz. The LF generator generally operates at a frequency of about 50 kHz to 2 MHz, and in the preferred embodiment operates at 350 to 600 kHz. The process parameters may be scaled according to chamber space, substrate size, and other factors. For example, the power outputs of the HF and LF generators are typically directly proportional to the deposition surface area of the substrate. For example, the power used in 300 mm wafers will generally be at least 2.25 higher than the power used in 200 mm wafers. Similarly, flow rates, such as standard vapor pressure, may depend, for example, on the free volume of the deposition chamber 120.

증착 챔버 (120) 내에서, 페데스탈 모듈 (140) 은 재료들이 증착될 수도 있는 기판 (190) 을 지지한다. 페데스탈 모듈 (140) 은 통상적으로 증착 및/또는 플라즈마 처리 반응들 동안에 그리고 이들 간에서 기판을 홀딩 및 전달하는 척, 포크 또는 리프트 핀들을 포함한다. 페데스탈 모듈 (140) 은 정전 척, 기계적 척, 또는 본 산업 및/또는 연구에서 사용되도록 입수가능한 다양한 다른 타입의 척을 포함할 수도 있다. 페데스탈 모듈 (140) 은 목표된 온도로 기판 (190) 을 가열하기 위해서 가열기 블록에 커플링될 수 있다. 일반적으로, 기판 (190) 은 증착될 재료에 따라 약 25 ℃ 내지 500 ℃ 온도에서 유지된다.In the deposition chamber 120, the pedestal module 140 supports a substrate 190 on which materials may be deposited. Pedestal module 140 typically includes chuck, fork or lift pins for holding and transferring substrates during and between deposition and / or plasma treatment reactions. Pedestal module 140 may include electrostatic chucks, mechanical chucks, or various other types of chucks available for use in the industry and / or research. The pedestal module 140 may be coupled to the heater block to heat the substrate 190 to the desired temperature. In general, the substrate 190 is maintained at a temperature of about 25 캜 to 500 캜, depending on the material to be deposited.

예시적인 실시예에 따라, 가스 기반 시일링 시스템 (200) 은 프로세스 재료 또는 퍼지 가스의 플로우 동안 웨이퍼 캐비티 (150) 로부터의 플로우를 제어하고 조절하는 것을 돕도록 구성될 수 있다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 의 배기 또는 퍼지는 샤워헤드 모듈 (130) 을 통해 웨이퍼 캐비티 (150) 내로 피드되는 불활성 가스 또는 퍼지 가스 (미도시) 를 사용한다. 예시적인 실시예에 따라, 하나 이상의 도관들 (170) 은 페데스탈 모듈 (140) 아래 존으로부터 불활성 시일링 가스 (182) (도 2) 를 제거하도록 구성되는, 환형 배기 통로 (176) 를 통해 진공 라인들 (160) 에 연결될 수 있다.In accordance with an exemplary embodiment, the gas-based sealing system 200 can be configured to help control and regulate the flow from the wafer cavity 150 during the flow of process material or purge gas. An inert gas or purge gas (not shown) is fed into the wafer cavity 150 through the exhaust or spreading showerhead module 130 of the wafer cavity 150, according to an exemplary embodiment. According to an exemplary embodiment, one or more of the conduits 170 are connected to the vacuum line 176 through the annular exhaust passage 176, which is configured to remove the inert sealing gas 182 (FIG. 2) from the zone under the pedestal module 140. [ (Not shown).

예시적인 실시예에 따라, 샤워헤드 모듈 (130) 은 웨이퍼 캐비티 (또는 반응 챔버) (150) 로 반응기 화학물질들을 전달하도록 구성된다. 샤워헤드 모듈 (130) 은 복수의 유입부들 또는 쓰루홀들 (138) 및 백킹 플레이트 (139) 를 갖는 대면 플레이트 (136) 를 포함할 수 있다. 예시적인 실시예에 따라, 대면 플레이트 (136) 는 대면 플레이트 (136) 의 외주부 (137) 주변으로 연장하는 복수의 유입부들 또는 쓰루홀들 (138) 및 단차부 (135) 를 갖는 단일 플레이트일 수 있다. 대안적으로, 단차부 (135) 는 대면 플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 고정되는 분리 링 (133) 일 수 있다. 예를 들어, 단차부 (135) 는 스크류들 (143) 을 사용하여 대면 플레이트 (136) 의 외측 부분 (131) 에 고정될 수 있다. 동심형 배기 유출부들 (174) 을 갖는 대면 플레이트 (136) 를 포함하는 프로세스 가스들의 분배를 위한 샤워헤드 모듈 (130) 의 예시적인 실시예는 전체가 참조로서 본 명세서에 인용되는, 공동으로 양도된 미국 특허 제 5,614,026 호에서 알 수 있다. 예를 들어, 예시적인 실시예에 따라, 배기 유출부들 (174) 은 복수의 유입부들 (138) 을 둘러싼다.In accordance with an exemplary embodiment, the showerhead module 130 is configured to transfer reactor chemistries into a wafer cavity (or reaction chamber) 150. The showerhead module 130 may include a faceplate 136 having a plurality of inlets or through holes 138 and a backing plate 139. According to an exemplary embodiment, the facing plate 136 may be a single plate having a plurality of inlets or through holes 138 and a step 135 extending around the periphery 137 of the facing plate 136 have. Alternatively, the step 135 may be a separation ring 133 secured to the lower surface of the outer portion 131 of the facing plate 136. For example, the stepped portion 135 may be secured to the outer portion 131 of the facing plate 136 using screws 143. Exemplary embodiments of the showerhead module 130 for distribution of process gases, including the facing plate 136 having concentric exhaust outlets 174, are described in commonly assigned US patent application Ser. U.S. Patent No. 5,614,026. For example, according to an exemplary embodiment, exhaust outlets 174 enclose a plurality of inlets 138.

예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 는 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 의 하부 표면 (132) 및 기판 페데스탈 모듈 (140) 의 상부 표면 (142) 아래에 형성된다. 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 내 복수의 동심 배기 도관들 또는 배기 유출부들 (174) 은 증착 프로세스들 사이의 웨이퍼 캐비티 (150) 로부터 프로세스 가스들 또는 반응기 화학물질들 (192) 을 제거하도록 복수의 도관들 (170) 중 하나 이상에 유체로 연통할 수 있다. The wafer cavity 150 is formed below the upper surface 142 of the substrate pedestal module 140 and the lower surface 132 of the facing plate 136 of the showerhead module 130. In accordance with an exemplary embodiment, A plurality of concentric exhaust conduits or exhaust outlets 174 in the facing plate 136 of the showerhead module 130 are coupled to the process gases or reactor chemicals 192 from the wafer cavity 150 between deposition processes May be in fluid communication with one or more of the plurality of conduits (170) to be removed.

도 2에 도시된 바와 같이, 장치 (100) 는 또한 하나 이상의 도관들 (184) 을 통해 가스 기반 시일링 시스템 (200) 의 외측 플레넘 (204) 으로 피딩되는,불활성 가스 또는 시일링 가스 (182) 의 소스 (180) 를 포함한다. 예시적인 실시예에 따라, 불활성 가스 또는 시일링 가스 (182) 는 질소 가스 또는 아르곤 가스일 수 있다. 예시적인 실시예에 따라, 불활성 가스 소스 (180) 는 웨이퍼 캐비티 (150) 로부터 외측으로 연장하고 대면 플레이트 (136) 의 외주부 (137) 및 페데스탈 모듈 (140) 상부 표면 (142) 둘레에서 단차부 (135) 의 하부 표면 (134) 사이에 형성되는 좁은 갭 (240) 을 통해 방사상 내측으로 흐르도록 하나 이상의 도관들 (184) 을 통해 불활성 시일링 가스 (182) 를 피딩하도록 구성된다. 예시적인 실시예에 따라, 불활성 시일링 가스 (182) 는 프로세싱 동안 가스 시일링을 형성하도록 좁은 갭 (240) 내 웨이퍼 캐비티 (150) 로부터의 프로세스 가스들 또는 반응기 화학물질들 (192) (도 3) 과 연통한다. 도 3 및 도 4에 도시된 바와 같이, 불활성 시일링 가스 (182) 만이 반응기 화학물질들 (192) 과 좁은 갭 내 불활성 가스 (182) 사이에 가스 시일링을 형성하는 좁은 갭 (240) 으로 부분적으로 들어간다. 대안적으로, 도 5 및 도 6에 도시된 바와 같이, 불활성 가스 (182) 의 플로우는 웨이퍼 캐비티 (150) 의 외측 에지로 일 수 있고 샤워헤드 모듈 (130) 내 하나 이상의 배기 유출부들 (174) 을 통해 웨이퍼 캐비티 (150) 로부터 제거된다.2, the apparatus 100 also includes an inert gas or sealing gas 182, which is fed through the one or more conduits 184 to the outer plenum 204 of the gas-based sealing system 200, And a source 180 of a source region. According to an exemplary embodiment, the inert gas or sealing gas 182 may be nitrogen gas or argon gas. An inert gas source 180 extends outwardly from the wafer cavity 150 and extends around the outer periphery 137 of the faceplate 136 and the upper surface 142 of the pedestal module 140. In accordance with an exemplary embodiment, Is configured to feed the inert sealing gas 182 through one or more conduits 184 to flow radially inward through a narrow gap 240 formed between the lower surface 134 of the first and second channels 135, Inert gas 182 may contain process gases or reactor chemicals 192 (FIG. 3 (b)) from wafer cavity 150 in narrow gap 240 to form a gas seal during processing, according to an exemplary embodiment. ). As shown in Figures 3 and 4 only inert seal gas 182 is partially injected into the narrow gap 240 forming gas seal between the reactor chemicals 192 and the narrow gap inactive gas 182 ≪ / RTI > 5 and 6, the flow of the inert gas 182 may be at the outer edge of the wafer cavity 150 and the at least one exhaust outflows 174 in the showerhead module 130, Gt; 150 < / RTI >

예시적인 실시예에 따라, 환형 배기 통로 (176) 는 복수의 배기 도관들 (170) 중 하나 이상으로 유체로 연결된다. 예시적인 실시예에 따라, 환형 배기 통로 (176) 는 하나 이상의 유출부들 (미도시) 을 갖고 기판 (190) 주변부 둘레의 존으로부터 불활성 가스들 (182) 을 제거하도록 구성되고 불활성 가스들 (182) 은 좁은 갭 (240) 을 통해 방사상 내측으로 이동하거나 흐른다. 배기 통로 (176) 는 기판 페데스탈 (140) 의 외측 부분 (144) 내에 형성된다. 환형 배기 통로 (176) 는 또한 기판 페데스탈 (140) 아래로부터 불활성 가스들 (182) 을 제거하도록 구성될 수 있다. 176과 유사한 복수의 도관들을 사용한 다른 실시예들은 보다 많은 불활성 가스 (182) 를 인출하고 배기 통로들 (178) 및 페데스탈 모듈 (140) 아래 부분 내로 불활성 가스의 보다 높은 플로우를 인에이블하는 것을 도울 수 있다. 배기 통로들 (178) 은 또한 웨이퍼 캐비티 (150) 내로 시일링 가스의 보다 적은 확산 및 시일링 가스에 대한 보다 큰 압력 강하를 생성하는 것을 도울 수 있다.According to an exemplary embodiment, the annular exhaust passage 176 is fluidly connected to one or more of the plurality of exhaust conduits 170. In accordance with an exemplary embodiment, the annular exhaust passageway 176 is configured to remove inert gases 182 from the zone around the periphery of the substrate 190 with one or more outlets (not shown) Moves or flows radially inward through the narrow gap (240). An exhaust passage 176 is formed in the outer portion 144 of the substrate pedestal 140. The annular exhaust passage 176 may also be configured to remove inert gases 182 from below the substrate pedestal 140. Other embodiments using a plurality of conduits similar to 176 may help to draw more inert gas 182 and enable a higher flow of inert gas into the exhaust passages 178 and below the pedestal module 140 have. The exhaust passages 178 may also help to create less diffusion of the sealing gas into the wafer cavity 150 and greater pressure drop for the sealing gas.

도 3은 예시적인 실시예에 따른 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 3에 도시된 바와 같이, 외측 플레넘 (204) 은 대면 플레이트 (136) 의 외측 부분 (131) 내에 형성될 수 있다. 외측 플레넘 (204) 은 불활성 가스 소스 (180) 로부터 불활성 가스 (182) 를 수용하도록 구성되는 하나 이상의 도관들 (220) 을 포함할 수 있다. 불활성 가스 (182) 는 외측 플레넘 (204) 을 통해 하나 이상의 도관들 (220) 을 통해 하부 유출부 (228) 로 흐른다. 하부 유출부 (228) 는 좁은 갭 (240) 과 유체로 연통한다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 의 외측 에지 (152) 로부터 외측 플레넘 (204) 과 연통하는 대면 플레이트 (136) 의 외측 주변부 (141) 로의 거리는 무한하게 제어된 거리이다. 예를 들어, 캐비티 (150) 의 외측 에지 (152) 로부터 외측 플레넘 (204) 과 연통하는 대면 플레이트 (136) 의 외측 에지 (141) 로의 거리 (또는 폭) 는 약 5.0 ㎜ 내지 25.0 ㎜일 수 있다.3 is a cross-sectional view of a portion of a deposition chamber 120 of a chemical vapor deposition apparatus 100 having a gas-based sealing system 200 according to an exemplary embodiment. As shown in FIG. 3, the outer plenum 204 may be formed in the outer portion 131 of the facing plate 136. The outer plenum 204 may include one or more conduits 220 configured to receive an inert gas 182 from an inert gas source 180. The inert gas 182 flows through the outer plenum 204 through one or more conduits 220 to the lower outlet 228. The lower outlet 228 is in fluid communication with the narrow gap 240. According to an exemplary embodiment, the distance from the outer edge 152 of the wafer cavity 150 to the outer periphery 141 of the facing plate 136, which communicates with the outer plenum 204, is an infinitely controlled distance. For example, the distance (or width) from the outer edge 152 of the cavity 150 to the outer edge 141 of the facing plate 136 in communication with the outer plenum 204 may be between about 5.0 mm and 25.0 mm have.

예시적인 실시예에 따라, 외측 플레넘 (204) 은 외측 환형 리세스 (222) 일 수 있다. 외측 환형 리세스 (222) 는 하나 이상의 도관들 (220) 을 통해 웨이퍼 캐비티 (150) 의 외측 에지 상의 좁은 갭 (240) 과 유체로 연통하도록 구성된다. 외측 환형 리세스 (222) 는 상부 환형 리세스 (224) 및 하부 환형 리세스 (226) 를 갖도록 구성될 수 있고, 상부 환형 리세스 (224) 는 하부 환형 리세스 (226) 보다 큰 폭을 갖는다. 예시적인 실시예에 따라, 하부 유출부 (228) 는 좁은 갭 (240) 과 유체로 연통하는 하부 환형 리세스 (226) 의 하부 부분 상의 환형 유출부이다.According to an exemplary embodiment, the outer plenum 204 may be an outer annular recess 222. The outer annular recess 222 is configured to communicate fluidly with the narrow gap 240 on the outer edge of the wafer cavity 150 through the one or more conduits 220. The outer annular recess 222 can be configured to have an upper annular recess 224 and a lower annular recess 226 and the upper annular recess 224 has a greater width than the lower annular recess 226 . According to an exemplary embodiment, the lower outlet 228 is an annular outlet on the lower portion of the lower annular recess 226 in fluid communication with the narrow gap 240.

예시적인 실시예에 따라, 도 3에 도시된 바와 같이, 불활성 가스 (182) 는 유한하게 제어된 거리로 이격된 웨이퍼 캐비티 (150) 의 외측 에지에서 외측 플레넘 (204) 을 통해 피딩된다. 외측 플레넘 (204) 을 통해 흐르는 불활성 가스 (182) 의 플로우 레이트는 페클릿 수가 약 1.0 보다 크도록, 따라서 도 3에 도시된 바와 같이, 웨이퍼 캐비티 (150) 내에 반응기 가스 화학물질들 (192) 을 억제한다. 예를 들어, 페클릿 수가 1.0보다 크다면, 불활성 가스 (182) 및 반응기 가스 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내에서 평형을 확립할 수 있다. 그 결과, 반응기 가스 화학물질들 (192) 이 기판 페데스탈 모듈 (140) 및 웨이퍼 캐비티 (150) 외부 증착 챔버 (120) 의 오염된 부분들 밑으로 흐르는 것이 방지될 수 있다.3, the inert gas 182 is fed through the outer plenum 204 at the outer edge of the wafer cavity 150, which is spaced at a finitely controlled distance. The flow rate of the inert gas 182 flowing through the outer plenum 204 may be adjusted so that the peculiar number is greater than about 1.0 and thus the reactor gas chemicals 192 within the wafer cavity 150, . For example, if the peel number is greater than 1.0, the inert gas 182 and the reactor gas chemicals 192 can establish equilibrium within the inner portion 242 of the narrow gap 240. As a result, reactor gas chemistries 192 can be prevented from flowing below the contaminated portions of the substrate pedestal module 140 and the wafer cavity 150 external deposition chamber 120.

예시적인 실시예에 따라, 프로세스가 일정한 압력 프로세스이면, 페데스탈 모듈 (140) 아래로부터 압력과 조합하여 불활성 가스 (182) 의 단일 (또는 일정한) 플로우는 웨이퍼 캐비티 (150) 내 반응기 가스 화학물질들 (192) 과 좁은 갭 (240) 을 통해 방사상 내측으로 흐르는 불활성 가스 (180) 사이에 불활성 가스 시일링을 보장하기에 충분할 수 있다. 예를 들어, 예시적인 실시예에 따라, 가스 기반 시일링 시스템 (200) 은, 일반적으로 상대적으로 일정한 압력 모드에서 실행될 수 있는, ALD Si의 옥사이드들과 함께 사용될 수 있다. 이에 더하여, 가스 기반 시일링 시스템 (200) 은, 예를 들어, 불활성 가스 (182) 의 플로우 레이트 또는 페데스탈 모듈 (140) 아래의 압력 및/또는 둘의 조합을 가변함으로써 ALD 나이트라이드 프로세스 동안 상이한 프로세스들에 걸친 가스 시일링 및 증착 챔버 (120) 및 웨이퍼 캐비티 (150) 내 압력 레짐들을 제어하기 위한 수단으로서 역할을 할 수 있다.According to an exemplary embodiment, a single (or constant) flow of inert gas 182, in combination with pressure from under pedestal module 140, may be applied to the reactor gas chemistries 192 and the inert gas 180 flowing radially inward through the narrow gap 240. For example, in accordance with an exemplary embodiment, the gas-based sealing system 200 can be used with the oxides of ALD Si, which can generally be performed in a relatively constant pressure mode. In addition, the gas-based sealing system 200 can provide a different process during the ALD nitrid process, for example, by varying the flow rate of the inert gas 182 or the pressure under the pedestal module 140 and / And to control the pressure regimes in the deposition chamber 120 and the wafer cavity 150. The gas chambers 120,

예시적인 실시예에 따라, 개별적으로 개시된 바와 같이 또는 배기 도관들 (174, 176) 과 연관된 압력들과 조합하여, 시일링 가스 시스템 (200) 은 프로세싱 동안 웨이퍼 캐비티 (150) 로부터 반응기 화학물질들 (192) 의 플로우 및/또는 확산을 방지하는 것을 도울 수 있다. 이에 더하여, 개별적으로, 또는 배기 도관들 (174, 176) 및 배기 도관들 (174, 176) 와 연관된 압력과 조합하여, 시스템 (200) 은 또한 웨이퍼 캐비티 (150) 내로 그리고 기판 (190) 상에 걸쳐 불활성 가스 (182) 의 벌크를 방지할 수 있다. 웨이퍼 캐비티 (150) 를 격리하기 위해 좁은 갭 (240) 내로 불활성 가스 (182) 의 플로우 레이트는 배기 유출부들 (174) 에 의해 상성된 압력에 기초하여 조정될 수 있다. 예시적인 실시예에 따라, 예를 들어, 불활성 가스 또는 시일링 가스 (182) 는 외측 플레넘 (204) 을 통해 약 100 cc/분 내지 약 5.0 slm (standard liters per minute) 의 레이트로 피딩될 수 있고, 이는 웨이퍼 캐비티 (150) 를 격리하도록 사용될 수 있다.In accordance with an exemplary embodiment, the sealing gas system 200 may be operatively coupled to the reactor chemistry (e. G., ≪ RTI ID = 0.0 > 192 to prevent flow and / or spreading of the fluid. Additionally, in combination with the pressures associated with exhaust conduits 174, 176 and exhaust conduits 174, 176, respectively, the system 200 can also be coupled to the wafer cavity 150 and onto the substrate 190 It is possible to prevent the bulk of the inert gas 182 from being overheated. The flow rate of the inert gas 182 into the narrow gap 240 to isolate the wafer cavity 150 can be adjusted based on the pressure imposed by the exhaust outlets 174. [ According to an exemplary embodiment, for example, the inert gas or sealing gas 182 may be fed through the outer plenum 204 at a rate of about 100 cc / min to about 5.0 liters per minute Which may be used to isolate the wafer cavity 150.

예시적인 실시예에 따라, 하나 이상의 배기 캐비티들 (250) 은 웨이퍼 캐비티 (150) 을 둘러싸는 페데스탈 모듈 (140) 의 외측 부분에 위치될 수 있다. 하나 이상의 배기 캐비티들 (250) 은 좁은 갭 (240) 및 하부 유출부 (228) 와 유체로 연통할 수 있고, 웨이퍼 캐비티 (150) 로부터 불활성 가스 소스 또는 피드 (180) 로 압력 강하를 부가할 수 있다. 하나 이상의 배기 캐비티들 (250) (또는 환형 채널) 은 또한 다양한 프로세스 및 압력 레짐들에 걸쳐, 예를 들어, ALD 나이트라이드 프로세싱 동안, 가스 시일링을 인에이블하도록 부가된 제어 메커니즘을 제공할 수 있다. 예시적인 실시예에 따라, 하나 이상의 배기 캐비티들 (250) 은 증착 챔버 (120) 둘레에 동일하게 이격될 수 있다. 예시적인 실시예에서, 하나 이상의 배기 캐비티들 (250) 은 하부 유출부 (228) 보다 큰 폭이고 동심원인 환형 채널일 수 있다.In accordance with an exemplary embodiment, one or more exhaust cavities 250 may be located in the outer portion of the pedestal module 140 surrounding the wafer cavity 150. One or more of the exhaust cavities 250 may be in fluid communication with the narrow gap 240 and the bottom outlet 228 and may provide a pressure drop from the wafer cavity 150 to the inert gas source or feed 180 have. The one or more exhaust cavities 250 (or annular channels) may also provide a control mechanism that is added to enable gas sealing during various processes and pressure regimes, for example, during ALD nitride processing . According to an exemplary embodiment, one or more of the exhaust cavities 250 may be equally spaced around the deposition chamber 120. In one exemplary embodiment, the one or more exhaust cavities 250 may be annular channels that are wider and concentric than the lower outlet 228.

도 4는 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 4에 도시된 바와 같이, 반응기 화학물질들 (192) 의 플로우 레이트가 불활성 가스 (182) 의 플로우 레이트보다 크거나 거의 같다면, 반응기 화학물질들 (192) 의 플로우는 웨이퍼 캐비티 (150) 외부로 연장될 수도 있고, 이는 바람직하지 않을 수도 있다.4 is a cross-sectional view of a portion of a deposition chamber 120 of a chemical vapor deposition apparatus 100 having a gas-based sealing system 200. 4, if the flow rate of the reactor chemicals 192 is greater than or approximately equal to the flow rate of the inert gas 182, then the flow of the reactor chemistry 192 may be controlled to flow outside the wafer cavity 150 , Which may not be desirable.

도 4에 도시된 바와 같이, 환형 배기 통로 (176) 는 대면 플레이트 (136) 내 주 배기 통로 (174) 에 더하여 2차 배기 통로를 제공한다. 환형 배기 통로 (176) 는 기판 페데스탈 (140) 밑으로부터 그리고 기판 (190) 의 주변부를 둘러싸는 존으로부터 불활성 가스들 (182) 을 제거하도록 구성된다. 예시적인 실시예에 따라, 환형 배기 통로 (176) 는 하나 이상의 유출부들 (미도시) 을 갖고 기판 (190) 의 주변부를 둘러싸는 존으로부터의 불활성 가스들 (182) 및 좁은 갭 (240) 을 통해 방사상 내측으로 흐르거나 확산하는 불활성 가스들 (182) 을 제거하도록 구성된다.As shown in FIG. 4, the annular exhaust passage 176 provides a secondary exhaust passage in addition to the main exhaust passage 174 in the facing plate 136. An annular exhaust passage 176 is configured to remove the inert gases 182 from below the substrate pedestal 140 and from the zone surrounding the periphery of the substrate 190. According to an exemplary embodiment, the annular exhaust passageway 176 has at least one outlet (not shown), and through the narrow gaps 240 and the inert gases 182 from the zone surrounding the periphery of the substrate 190 And is configured to remove inert gases 182 that flow or diffuse radially inward.

도 5는 예시적인 실시예에 따른 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 외부 캐비티 (150) 로부터 불활성 가스 (182) 의 플로우는 반응기 화학물질들 (192) 의 플로우 레이트를 감소시킴으로써 또는 불활성 가스 (182) 의 플로우 레이트를 상승시킴으로써 생성될 수 있다. 예시적인 실시예에 따라,외측 플레넘 (204) 으로부터의 불활성 가스 (182) 는 웨이퍼 캐비티 (150) 내로 흐를 것이고 샤워헤드 모듈 (130) 내 하나 이상의 배기 유출부들 (174) 을 통해 제거될 수 있다.5 is a cross-sectional view of a portion of a deposition chamber 120 of a chemical vapor deposition apparatus 100 having a gas based sealing system 200 according to an exemplary embodiment. The flow of the inert gas 182 from the outer cavity 150 can be created by reducing the flow rate of the reactor chemicals 192 or by increasing the flow rate of the inert gas 182. An inert gas 182 from the outer plenum 204 will flow into the wafer cavity 150 and may be removed through one or more exhaust outlets 174 in the showerhead module 130 .

도 6은 예시적인 실시예에 따른 가스 기반 시일링 시스템 (300) 를 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 예시적인 실시예에 따라, 샤워헤드 모듈 (130) 의 중앙 플레넘 (202) 은 반응기 화학물질들 (192) 을 웨이퍼 캐비티 (150) 로 전달하는 복수의 유입부들 또는 쓰루홀들 (138) 을 포함한다. 웨이퍼 캐비티 (150) 는 또한 웨이퍼 캐비티 (150) 로부터 반응기 화학물질들 (192) 및 불활성 가스들 (182) 을 제거하는 동심 도관들 또는 배기 유출부들 (174) 을 포함한다. 동심 도관들 또는 배기 유출부들 (174) 은 백킹 플레이트 (139) 와 상부 플레이트 (310) 사이의 중간 플레넘 (208) 과 유체로 연통할 수 있다. 중간 플레넘 (208) 은 복수의 배기 도관들 (170) 중 하나 이상과 유체로 연통한다.6 is a cross-sectional view of a portion of a deposition chamber 120 of a chemical vapor deposition apparatus 100 having a gas-based sealing system 300 in accordance with an exemplary embodiment. According to an exemplary embodiment, the central plenum 202 of the showerhead module 130 includes a plurality of inlets or through holes 138 for transferring reactor chemicals 192 to the wafer cavity 150 do. The wafer cavity 150 also includes concentric conduits or exhaust outlets 174 that remove the reactor chemicals 192 and inert gases 182 from the wafer cavity 150. Concentric conduits or exhaust outflows 174 may be in fluid communication with the intermediate plenum 208 between the backing plate 139 and the top plate 310. The intermediate plenum 208 is in fluid communication with one or more of the plurality of exhaust conduits 170.

샤워헤드 모듈 (130) 은 또한 대면 플레이트 (136) 의 외주부 (137) 둘레에 불활성 가스 (182) 를 전달하도록 구성되는 수직 가스 통로 (370) 을 포함할 수 있다. 예시적인 실시예에 따라, 외측 플레넘 (206) 은 대면 플레이트 (136) 의 외주부 (137) 와 격리 링 (214) 의 내측 주변부 또는 에지 (212) 사이에 형성될 수 있다.The showerhead module 130 may also include a vertical gas passageway 370 configured to deliver an inert gas 182 around the outer periphery 137 of the facing plate 136. The outer plenum 206 may be formed between the outer periphery 137 of the facing plate 136 and the inner periphery or edge 212 of the isolation ring 214, according to an exemplary embodiment.

도 6에 도시된 바와 같이, 시스템 (300) 은 상부 플레이트 (310) 내 내측 채널 (360) 내에 형성된 수직 가스 통로 (370) 및 백킹 플레이트 (139) 의 외측 부분 (320) 을 포함한다. 수직 가스 통로 (370) 는 불활성 가스 소스 또는 피드 (180) 로부터 불활성 가스 (182) 를 수용하도록 구성되는 하나 이상의 도관들 (312, 322) 을 포함한다. 예시적인 실시예에 따라, 불활성 가스 (182) 는 상부 플레이트 (310) 및 백킹 플레이트 (139) 의 외측 부분 (320) 을 통해 하나 이상의 도관들 (312, 322) 을 통해 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 로 그리고 웨이퍼 캐비티 (150) 의 외측 에지로 흐른다.The system 300 includes a vertical gas passageway 370 formed in the inner channel 360 in the top plate 310 and an outer portion 320 of the backing plate 139 as shown in FIG. The vertical gas passageway 370 includes one or more conduits 312, 322 configured to receive an inert gas source or an inert gas 182 from a feed 180. Inert gas 182 may be passed through one or more conduits 312, 322 through the top plate 310 and the outer portion 320 of the backing plate 139 to provide one or more recesses and / Or channels 330,340, 350 and to the outer edge of the wafer cavity 150. [

예시적인 실시예에 따라, 하나 이상의 도관들 (312) 은 상부 환형 리세스 (314) 및 하부 외측 환형 리세스 (316) 를 포함할 수 있다. 예시적인 실시예에 따라, 상부 리세스 (314) 는 하부 리세스 (316) 보다 큰 폭을 갖는다. 이에 더하여, 하나 이상의 도관들 (322) 은 상부 플레이트 (310) 및 백킹 플레이트 (139) 의 외측 부분 (320) 내일 수 있다. 하나 이상의 도관들 (322) 은 상부 플레이트 (310) 상의 유출부 (318) 와 유체로 연통하는 유입부 (326) 및 좁은 갭 (240) 과 유체로 연통하는 유출부 (328) 를 갖는 환형 리세스를 형성할 수 있다. 예시적인 실시예에 따라, 외측 부분 (320) 내 유출부 (328) 는 좁은 갭 (240) 의 외측 에지 (243) 으로 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 의 외측 주변부 둘레로 불활성 가스 (182) 의 플로우를 가이드하는 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 과 유체로 연통할 수 있다.In accordance with an exemplary embodiment, one or more conduits 312 may include an upper annular recess 314 and a lower outer annular recess 316. According to an exemplary embodiment, the upper recess 314 has a greater width than the lower recess 316. [ In addition, one or more of the conduits 322 may be within the top plate 310 and the outer portion 320 of the backing plate 139. The one or more conduits 322 may include an inlet 326 in fluid communication with the outlet 318 on the top plate 310 and an outlet 328 in fluid communication with the narrow gap 240. [ Can be formed. According to an exemplary embodiment, the outlet 328 in the outer portion 320 is positioned at an outer edge 243 of the narrow gap 240 around the outer periphery of the facing plate 136 of the showerhead module 130, One or more recesses and / or channels 330, 340, 350 that guide the flow of fluid 182.

예시적인 실시예에 따라, 불활성 가스 (182) 는 수직 가스 통로 (370) 를 통해 외측 플레넘 (206) 으로, 그리고 웨이퍼 캐비티 (150) 를 향해 좁은 갭 (240) 을 통해 적어도 부분적으로 방사상 내측으로 피딩된다. 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 을 통해 흐르는 불활성 가스 (182) 의 플로우 레이트는 페클릿 수가 1.0보다 크도록 할 수 있고, 따라서 웨이퍼 캐비티 (150) 내에 반응 가스 화학물질들 (192) 을 억제한다. 예시적인 실시예에 따라, 페클릿 수가 1.0보다 크면, 불활성 가스 (182) 및 반응 가스 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내에 평형을 확립하고, 이는 반응 가스 화학물질들 (192) 이 페데스탈 모듈 (140) 밑으로 흐르는 것 및 웨이퍼 캐비티 (150) 외부의 증착 챔버 (120) 의 일부를 오염시키는 것을 방지한다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 로의 반응 가스 화학물질들 (192) 의 플로우를 억제함으로써, 시스템 (200) 은 프로세스 가스 (192) 의 사용을 감소시킬 수 있다. 이에 더하여, 시스템 (200) 은 또한 프로세싱 동안 프로세스 가스 (192) 를 사용한 웨이퍼 캐비티 (150) 의 충진 시간을 감소시킬 수 있다.In accordance with an exemplary embodiment, the inert gas 182 is directed through the vertical gas passageway 370 to the outer plenum 206 and into the wafer cavity 150 at least partially radially inward through the narrow gap 240 Lt; / RTI > The flow rate of the inert gas 182 flowing through the one or more recesses and / or channels 330, 340, 350 may be such that the peel number is greater than 1.0 and thus the reactant gas chemistry Substances 192 are suppressed. The inert gas 182 and the reactive gas chemicals 192 establish an equilibrium within the inner portion 242 of the narrow gap 240, Prevents the materials 192 from flowing under the pedestal module 140 and contaminating a portion of the deposition chamber 120 outside the wafer cavity 150. By inhibiting the flow of reactive gas chemicals 192 to the wafer cavity 150, the system 200 can reduce the use of the process gas 192, according to an exemplary embodiment. In addition, the system 200 can also reduce the filling time of the wafer cavity 150 using the process gas 192 during processing.

도 7은 예시적인 실시예에 따른 가스 기반 시일링 시스템 (400) 의 개략도이다. 도 7에 도시된 바와 같이, 시스템 (400) 은 웨이퍼 캐비티 (150) 로 불활성 또는 시일링 가스 (182) 및 프로세스 가스 (192) 를 각각 전달하도록 구성된, 불활성 또는 시일링 가스의 소스 (180) 및 프로세스 가스의 소스 (19) 를 포함한다. 시스템 (400) 은 또한 웨이퍼 캐비티 또는 캐비티 압력 (414), 및 하부 챔버 압력 (416) 을 각각 제어하는 웨이퍼 캐비티 또는 캐비티 압력 밸브 (410) 및 하부 챔버 압력 밸브 (412) 를 포함할 수 있다.7 is a schematic diagram of a gas based sealing system 400 in accordance with an exemplary embodiment. 7, the system 400 includes a source 180 of inert or sealing gas, configured to deliver an inert or sealing gas 182 and a process gas 192 to the wafer cavity 150, respectively, And a source 19 of process gas. The system 400 may also include a wafer cavity or cavity pressure valve 410 and a lower chamber pressure valve 412 that control the wafer cavity or cavity pressure 414 and the lower chamber pressure 416, respectively.

도 8은 예시적인 실시예에 따른 가스 기반 시일링 시스템 (400) 에 대한 압력 및 밸브 각도 대 시간을 도시하는 차트 (500) 이다. 예시적인 실시예에 따라, 도 8에 도시된 바와 같이, 헬륨 형태의 프로세스 가스 (192) 는 0 내지 약 20 SLM (standard liters per minute) 의 플로우 레이트들로 웨이퍼 캐비티 (150) 로 공급된다. 질소 가스 (N2) 형태의 불활성 또는 시일링 가스 (182) 는 약 2 SLM으로 캐비티로 제공된다. 예시적인 실시예에 따라, 캐비티 챔버 (414) 및 하부 챔버 압력 (416) 은 대략 10 Torr였다. 도 8에 도시된 바와 같이, 최대 약 20 SLM의 헬륨 가스 (192) 및 2 SLM의 질소 가스 (182) 의 동작 조건들에서, 헬륨 가스 (192) 는 RGA (Residual Gas Analyzer) 측정값들로 증명된 바와 같이 퍼지 채널을 통해 누설되지 않는다.8 is a chart 500 illustrating pressure versus valve angle versus time for a gas based sealing system 400 in accordance with an exemplary embodiment. According to an exemplary embodiment, the helium-type process gas 192 is supplied to the wafer cavity 150 at flow rates of between about 0 and about 20 standard liters per minute (SLM), as shown in FIG. Inert or sealing gas 182 in the form of nitrogen gas (N 2 ) is provided as a cavity at about 2 SLM. According to an exemplary embodiment, the cavity chamber 414 and the lower chamber pressure 416 were approximately 10 Torr. 8, in operating conditions of helium gas 192 at a maximum of about 20 SLM and nitrogen gas 182 at 2 SLM, the helium gas 192 is evidenced by RGA (Residual Gas Analyzer) measurements It does not leak through the purge channel as shown.

또한, 프로세싱 장치 내에서 반도체 기판을 프로세싱하기 위한 방법이 본 명세서에서 기술된다. 이 방법은 프로세스 가스 소스로부터 프로세스 가스를 증착 챔버 내로 공급하는 단계 및 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 단계를 포함한다. 이 방법은 바람직하게는 기판을 플라즈마 프로세싱하는 단계를 포함하며, 이 단계에서 RF 에너지가 RF 생성기를 사용하여서 프로세스 가스에 인가되며 이로써 증착 챔버 내에서 플라즈마를 생성한다.Also described herein are methods for processing semiconductor substrates in a processing apparatus. The method includes supplying a process gas from a process gas source into the deposition chamber and processing the semiconductor substrate within the plasma processing chamber. The method preferably includes plasma processing the substrate, wherein RF energy is applied to the process gas using an RF generator, thereby creating a plasma within the deposition chamber.

일 실시예에 따라, 페클릿 수는 반도체 기판의 외측 주변부를 따라 100보다 클 수 있다. 바람직하게, 전구체 가스들은 최소 유입부 공간 및 축대칭 플로우를 사용하여 반응기 캐비티 내로 중앙으로 주입되는 한편, 시일링 가스는 반응기 캐비티의 외측 주변부 둘레로 원주형으로 주입된다. 전구체 가스들은 반도체 상에 막을 증착하도록 반응하고 부산물 가스들이 반응기 캐비티의 외측 주변부 둘레로 원주형으로 분포된 배기 유출부들을 향해 방사상 외측으로 흐른다. 동시에, 시일링 가스는 반응기 캐비티의 외측 주변부 둘레에 원주형으로 분포된 유입부들을 통해 방사상 내측으로 흐른다. 높은 페클릿 수를 획득하기 위해, 가스 전구체들은 다음의 식에 따라 제어된다:According to one embodiment, the peak number may be greater than 100 along the outer periphery of the semiconductor substrate. Preferably, the precursor gases are injected centrally into the reactor cavity using minimum inlet space and axisymmetric flow, while the sealing gas is injected circumferentially around the outer periphery of the reactor cavity. The precursor gases react to deposit a film on the semiconductor and the by-product gases flow radially outwardly toward the exhaust outlets circumferentially distributed around the outer periphery of the reactor cavity. At the same time, the sealing gas flows radially inward through the inlets distributed circumferentially around the outer periphery of the reactor cavity. In order to obtain a high peak count, the gas precursors are controlled according to the following equation:

C2(Pvs-Pwc) =

Figure pat00001
wc >> 0
Figure pat00002
Pvs >> Pwc.C 2 (P vs -P wc ) =
Figure pat00001
wc >> 0
Figure pat00002
P vs >> P wc .

도 9는 샤워헤드 모듈 (400) 이 가스 유출부들 (404) 을 갖는 대면 플레이트 (402), 중앙 가스 통로 (408) 를 갖는 백킹 플레이트 (406), 및 가스 통로들 (412) 을 통해 공급된 가스를 사용하여 불활성 가스 시일링을 제공하도록 시일링 가스 통로들 (412) 을 갖는 격리 링 (410) 을 포함하는 실시예를 예시한다. 프로세스 가스는 대면 플레이트 (402) 의 외측 부분 둘레에 원주형으로 분포된 주 배기 통로들 (414) 을 통해 인출된다. 도 9 및 이하의 식에서,

Figure pat00003
2
Figure pat00004
vs는 ㎏/s의 질량 유량 레이트를 나타내고, C2, C3 및 C4는 ℓ/초의 가스 전도율을 나타내고 그리고 Seff는 ℓ/초의 효과적인 펌핑 속도를 나타낸다. 이하에 나타낸 바와 같이, 고 페클릿 수를 획득하기 위해
Figure pat00005
wc는 효과적인 펌핑 속도를 압도할만큼 크지 않아야 하고,
Figure pat00006
vs는 커야 하고, C2는 C3보다 커야 하고, Seff는 커야 하고 Pch는 클 수 있다 (그러나 희석과의 문제를 생성한다) 는 것이 바람직하다:Figure 9 shows the showerhead module 400 having a faceplate 402 with gas outlets 404, a backing plate 406 with a central gas passage 408, Illustrate an embodiment that includes an isolation ring 410 having sealing gas passages 412 to provide an inert gas seal using an inert gas. The process gas is drawn through the main exhaust passages 414 distributed circumferentially around the outer portion of the facing plate 402. [ 9 and in the following formulas,
Figure pat00003
2 and
Figure pat00004
vs represents the mass flow rate in kg / s, C 2 , C 3 and C 4 represent the gas conductivity in l / sec and S eff represents the effective pumping rate in t / sec. As shown below, in order to obtain a high peak number
Figure pat00005
wc should not be large enough to overcome the effective pumping rate,
Figure pat00006
vs should be large, C 2 should be greater than C 3 , S eff should be large and P ch may be large (but producing a dilution problem):

C2/C3(C3Pch +

Figure pat00007
vs) -
Figure pat00008
wc/Seff >> 0 여기서 Seff = SC4/S+C4.C 2 / C 3 (C 3 P ch +
Figure pat00007
vs ) -
Figure pat00008
wc / S eff >> 0 where S eff = SC 4 / S + C 4 .

웨이퍼 프로세싱 동안, 반응기 캐비티 및 주 챔버 내 압력들이 조절되어 시일링 가스 플로우 레이트가 일정하게 유지된다. 반응기 캐비티 압력이 주 챔버 압력과 관련하여 ± 1 Torr로 유지되면, 전구체 가스들을 반응기 캐비티 내에 담는 것이 가능하다. 가상 가스 시일링 장치를 사용하여, 불활성 가스 시일링을 사용하여 반응기 캐비티 내에 목표된 압력을 유지하는 것이 가능하다.During wafer processing, the pressure in the reactor cavity and the main chamber is adjusted to maintain a constant sealing gas flow rate. If the reactor cavity pressure is maintained at 占 1 Torr with respect to the main chamber pressure, it is possible to contain precursor gases in the reactor cavity. Using a virtual gas sealing device, it is possible to maintain the desired pressure in the reactor cavity using an inert gas seal.

도 10은 샤워헤드 모듈 (500) 이 가스 유출부들 (504) 을 갖는 대면 플레이트 (502), 중앙 가스 통로 (508) 를 갖는 백킹 플레이트 (506), 및 가스 통로들 (512) 을 통해 공급된 가스를 사용하여 불활성 가스 시일링을 제공하도록 반응 캐비티 둘레에 원주형으로 분포된 시일링 가스 통로들 (512) 을 갖는 격리 링 (510) 을 포함하는 실시예를 예시한다. 프로세스 가스는 대면 플레이트 (502) 의 외측 부분 둘레에 원주형으로 분포된 주 배기 가스 통로들 (514) 및 가스 통로들 (512) 과 주 배기 통로들 (514) 사이의 위치에서 격리 링 (510) 둘레에 원주형으로 분포된 2차 배기 가스 통로들을 통해 인출된다. 2차 배기 통로들 (516) 은 플로우 전도율 C5 및 C6로 나타낸 플로우 경로들을 통해 가스를 제거하고, 2차 배기 가스 통로 C5 는 다음 식에 따른 페클릿 수의 추가 상승을 제공할 수 있다:Figure 10 shows that the showerhead module 500 includes a facing plate 502 having gas outlets 504, a backing plate 506 having a central gas passage 508, Illustrate an embodiment that includes an isolation ring 510 having sealing gas passages 512 circumferentially distributed about the reaction cavity to provide an inert gas seal using the seal ring. The process gas is circulated through the isolation ring 510 at the position between the main exhaust gas passages 514 and the gas passages 512 and the main exhaust passages 514 distributed circumferentially around the outer portion of the facing plate 502, And is drawn out through the secondary exhaust gas passages circumferentially distributed. Secondary exhaust passages 516 remove gas through flow paths denoted by flow conductivities C 5 and C 6 and secondary exhaust passage C 5 can provide an additional rise in the number of peckets according to the following equation :

C2/C3(C3Pch +

Figure pat00009
vs) +
Figure pat00010
wc(C1C4 + C4C5/C1C5 + C1C4 +C4C5) >> 0 여기서 Seff = SC6/S+C6.C 2 / C 3 (C 3 P ch +
Figure pat00009
vs ) +
Figure pat00010
wc (C 1 C 4 + C 4 C 5 / C 1 C 5 + C 1 C 4 + C 4 C 5 ) >> 0 where S eff = SC 6 / S + C 6 .

도 10에 도시된 바와 같이, 시일링 가스는 통로들 (512) 로부터 위치 Pvs에서 페데스탈 모듈 (미도시) 과 샤워헤드 모듈 (500) 사이의 작은 갭 내로 주입되고, 시일링 가스는 경로 C2를 따라 방사상 내측으로 그리고 경로 C3를 따라 방사상 외측으로 흐른다. 반응된 전구체 가스들 및 내측으로 흐르는 시일링 가스는 C4에 위치된 1차 배기 경로를 통해 반응기 캐비티 (150) 로부터 펌핑 아웃된다. 이에 더하여, 일부 시일링 가스는 C5에서 2차 배기 통로 (배기 통로들 (516)) 를 통해 펌펑 아웃된다. 시일링 가스의 질량 유량 레이트들은

Figure pat00011
vs (좁은 갭 내로 흐르는 시일링 가스),
Figure pat00012
2 (반응기 캐비티 (150) 를 향해 방사상 내측으로 흐르는 시일링 가스),
Figure pat00013
3 (방사상 외측으로 흐르고 주 챔버에 연결된 진공 소스의 진공 압력 Pch에 의해 제거되는 시일링 가스),
Figure pat00014
1 (2차 배기 유출부들의 방사상 내측으로 흐르는 시일링 가스), 및
Figure pat00015
4 (1차 배기 유출부들로부터 펌핑된 시일링 가스 및 프로세스 가스들) 로 나타낸다. C5를 일정하고 높게 유지함으로써, 페클릿 수는 단일 스테이지 가상 가스 시일링보다 높게 이루어질 수 있다. Seff 및 C5를 크게 하는 조건을 제공하도록 2차 배기 가스 통로들 (2차 배기) 이 시일링 가스 주입 지점과 반응기 캐비티 사이에 위치된다. 2차 배기 경로는 일정한 배기를 보장하고 C5가 일정한 조건을 제공하도록 압력 제어 쓰로틀 밸브의 다운스트림에 연결되는 것이 바람직하다. 도 11은 어떻게 프로세스 가스들 PG가 방사상 외측으로 흐르고, 시일링 가스 SG가 방사상 내측으로 흐르고, 시일링 가스 SG의 일부가 2차 배기 가스 통로들로부터 흐르고 불활성 시일링 가스 및 프로세스 가스의 일부가 주 배기 가스 통로들을 통해 흐르는지를 예시한다.10, the sealing gas is injected from the passages 512 into the small gap between the pedestal module (not shown) and the showerhead module 500 at the position P vs , and the sealing gas passes through the path C 2 And radially outward along path C 3 . The reacted precursor gases and the inwardly flowing sealing gas are pumped out of the reactor cavity 150 through the primary exhaust path located at C 4 . In addition, some of the sealing gas is fumed out through the secondary exhaust passages (exhaust passages 516) at C 5 . The mass flow rates of the sealing gas
Figure pat00011
vs (sealing gas flowing into the narrow gap),
Figure pat00012
2 (a sealing gas that flows radially inward toward the reactor cavity 150),
Figure pat00013
3 (a sealing gas that flows radially outward and is removed by the vacuum pressure Pch of the vacuum source connected to the main chamber)
Figure pat00014
1 (sealing gas flowing radially inward of the secondary exhaust outlets), and
Figure pat00015
4 (the sealing gas and process gases pumped from the primary exhaust outlets). By keeping C 5 constant and high, the peckle number can be made higher than the single stage virtual gas sealing. Secondary exhaust passages (secondary exhaust) are positioned between the sealing gas injection point and the reactor cavity to provide conditions to increase S eff and C 5 . The secondary exhaust path is preferably connected downstream of the pressure-controlled throttle valve to ensure a constant exhaust and C 5 to provide a constant condition. 11 shows how the process gases PG flow radially outward, the sealing gas SG flows radially inward, a portion of the sealing gas SG flows from the secondary exhaust gas passages, and a portion of the inert sealing gas and process gas And flows through the exhaust gas passages.

도 12는 가스 유입부들 (604) 을 갖는 대면 플레이트 (602), 중앙 가스 통로 (608) 를 갖는 백킹 플레이트 (606), 내측 링 (612) 및 외측 링 (614) 을 갖는 격리 링 (610) 을 포함하는 샤워헤드 모듈 (600) 의 절단도를 예시한다. 내측 링 (612) 및 외측 링 (614) 은 내측 링 (612) 의 하부 부분 둘레의 시일링 (613) 이 내측 링 및 외측 링의 마주보는 표면들 사이에 환형 플레넘을 제공하도록 함께 피팅된다 (fit). 내측 링 (612) 은 내측 표면 (618) 의 상부 부분 둘레에 원주형으로 분포된 시일링 가스 유입부들 (616), 유입부들 (616) 로부터 방사상 외측으로 연장하는 수평 통로들 (620), 수평 통로들 (620) 로부터 하향 연장하는 수직 통로들 (622) 및 내측 링 (612) 의 하부 표면 (626) 둘레에 원형으로 분포된 시일링 가스 유출부들 (624) 을 포함한다.Figure 12 shows a side view of a separator ring 610 having a facing plate 602 with gas inlets 604, a backing plate 606 with a central gas passage 608, an inner ring 612 and an outer ring 614 And a showerhead module 600 including the showerhead module 600. The inner ring 612 and the outer ring 614 are fused together to provide an annular plenum between the opposing surfaces of the inner ring and the outer ring of the seal ring 613 around the lower portion of the inner ring 612 ). The inner ring 612 includes sealing gas inflows 616 circumferentially distributed about the upper portion of the inner surface 618, horizontal passages 620 extending radially outward from the inflows 616, Vertical passageways 622 extending downwardly from the inner ring 620 and sealing gas outlets 624 circularly distributed about the lower surface 626 of the inner ring 612.

내측 링 (612) 은 내측 표면 (618) 의 하부 부분 둘레에 원주형으로 분포된 방사상으로 연장하는 슬롯들을 포함하는 1차 배기 유출부들 (627) 및 하부 표면 (626) 둘레에 원주방향으로 분포된 2차 배기 유출부들 (628) 을 포함한다. 1차 배기 가스 유출부들 (627) 은 1차 배기 가스 유출부들 (627) 로부터 상향 연장하는 수직 통로들 (630) 및 시일링 가스 유입부들 (616) 아래의 위치에서 내측 표면 (618) 둘레에 원주형으로 분포된 1차 배기 가스 유출부들 (632) 을 갖는 내측으로 연장하는 수평 통로들에 연결된다. 2차 배기 가스 유출부들 (628) 은 수직 통로들 (미도시) 및 내측 링 (612) 의 외측 표면 (619) 둘레에 원주형으로 분포된 2차 배기 가스 유출부들 (629) 을 갖는 수평 통로들에 연결된다. 시일링 가스 유출부들 (624) 은 격리 링 (610) 아래에 가스 시일링을 생성하도록 시일링 가스를 전달하고 시일링 가스 중 일부는 웨이퍼 캐비티 (150) 내에서 반도체 기판 프로세싱 동안 2차 배기 가스 유출부들 (628) 을 통해 인출된다.The inner ring 612 includes primary exhaust outlets 627 that include radially extending slots circumferentially distributed about the lower portion of the inner surface 618 and a plurality of circumferentially distributed And secondary exhaust outflows 628. The primary exhaust gas outlets 627 are spaced circumferentially around the inner surface 618 at locations below the vertical passages 630 and the sealing gas inlets 616 extending upward from the primary exhaust gas outlets 627, And is connected to inwardly extending horizontal passages having primary exhaust gas outlets 632 distributed in the form The secondary exhaust gas outlets 628 include horizontal passages (not shown) having secondary exhaust gas outlets 629 circumferentially distributed about the outer surfaces 619 of the vertical rings (not shown) and the inner ring 612 Lt; / RTI > The sealing gas outlets 624 deliver a sealing gas to create a gas seal under the isolation ring 610 and some of the sealing gas is in the wafer cavity 150 during secondary substrate processing Are pulled out through the portions 628.

도 13은 GDP (606) 의 외측 부분의 시일링 가스 공급 플레넘 (650) 으로부터 방사상으로 연장하는 시일링 가스 통로들 (652) 로 공급될 수 있도록 내측 링 (612) 가 대면 플레이트 (602) 및 백킹 플레이트 (gas distribution plate 또는 GDP) (606) 의 외측 주변부에 어떻게 피팅하는지를 예시한다. 시일링 가스 통로들 (652) 은 상부 및 하부 가스 시일링들 (654, 656) 사이에 위치된 환형 플레넘 (658) 내로 개방된다. 환형 플레넘 (658) 은 내측 링 (612) 의 하부 표면 (626) 내 시일링 가스 유출부들 (624) 을 통해 시일링 가스를 전달하도록 내측 링 (612) 의 내측 표면 (618) 내 시일링 가스 유입부들 (616) 과 유체로 연통한다.13 shows an inner ring 612 that can be supplied to the sealing plate 602 and the sealing plate 602 so as to be supplied to the sealing gas passages 652 extending radially from the sealing gas supply plenum 650 of the outer portion of the GDP 606. [ And how it fits to the outer periphery of the backing plate (gas distribution plate or GDP) 606. The sealing gas passages 652 open into the annular plenum 658 located between the upper and lower gas seals 654, 656. The annular plenum 658 is positioned within the inner surface 618 of the inner ring 612 to transfer the sealing gas through the sealing gas outlets 624 in the lower surface 626 of the inner ring 612. [ And is in fluid communication with the inflows (616).

GDP (606) 는 GDP (606) 의 외측 주변부의 방사상으로 연장하는 1차 배기 유출부들 (682) 에 연결된 1차 배기 가스 플레넘 (680) 을 포함한다. 유출부들 (682) 은 하부 시일링 (656) 과 환형 시일링 (686) 사이의 환형 배기 플레넘 (684) 내로 개방된다. 환형 배기 플레넘 (684) 은 내측 링 (612) 의 내측 표면 (618) 상의 1차 배기 가스 유출부들 (632) 과 연통한다. 1차 배기 가스 유출부들 (632) 은 1차 가스로 하여금 웨이퍼 캐비티 (150) 로부터 배기되게 하도록 수직 통로들 (630) 및 슬롯들 (627) 과 연결된다.The GDP 606 includes a primary exhaust plenum 680 connected to the radially extending primary exhaust outlets 682 at the outer periphery of the GDP 606. The outflows 682 open into an annular exhaust plenum 684 between the lower seal ring 656 and the annular seal ring 686. The annular exhaust plenum 684 communicates with the primary exhaust gas outlets 632 on the inner surface 618 of the inner ring 612. The primary exhaust gas outlets 632 are connected to the vertical passages 630 and slots 627 to allow primary gas to be evacuated from the wafer cavity 150.

외측 링 (614) 은 내측 링 (612) 의 외측 표면 (619) 과 외측 링 (614) 의 내측 표면 (615) 사이의 플레넘과 함께 내측 링 (612) 을 둘러싼다. 2차 배기 유출부들 (628) 은 내측 링 (612) 과 외측 링 (614) 사이의 플레넘 내로 2차 배기 가스 유출부들 (629) 을 통해 인출될 2차 배기 가스를 제공한다. GDP는 1차 배기 가스 플레넘 (680) 에 연결된 쓰로틀 밸브 펌핑 장치를 바이패스하는 동안 2차 배기 가스로 하여금 인출되게 하는 상부 표면 내 적어도 하나의 개구부 (670) 를 포함한다. 바람직하게, 2 개의 마주보는 개구부들 (670) 이 가스 플로우의 방위각 균일도를 위해 GDP 내에 제공된다.The outer ring 614 surrounds the inner ring 612 with the plenum between the outer surface 619 of the inner ring 612 and the inner surface 615 of the outer ring 614. The secondary exhaust outlets 628 provide a secondary exhaust gas to be drawn through the secondary exhaust gas outlets 629 into the plenum between the inner ring 612 and the outer ring 614. The GDP includes at least one opening 670 in the top surface that causes the secondary exhaust gas to be drawn out while bypassing the throttle valve pumping device connected to the primary exhaust plenum 680. [ Preferably, two opposing openings 670 are provided in the GDP for azimuthal uniformity of the gas flow.

도 14는 2차 배기 가스 제거를 위해 2 개의 개구부들 (670) 에 연결된 GDP (606) 의 상부 표면 상에 2 개의 가스 시일링 연결부들 (630, 632) 을 예시한다. 가스 시일링 연결부들 (630, 632) 은 배기 펌프와 유체로 연통하여 1차 배기 유출부들에 연결된 쓰로틀 밸브를 바이패스하는 단일 튜브 (638) 에 연결되는 2 개의 각각의 튜빙 섹션들 (634, 636) 에 부착된다. 따라서, 가스 시일링을 생성하는 시일링 가스의 일부는 1차 배기 가스와 독립적으로 인출될 수 있다.14 illustrates two gas sealing connections 630 and 632 on the upper surface of the GDP 606 connected to the two openings 670 for secondary exhaust gas removal. Gas sealing connections 630 and 632 include two respective tubing sections 634 and 636 connected in fluid communication with the exhaust pump to a single tube 638 bypassing a throttle valve connected to the primary exhaust outlets . Therefore, a part of the sealing gas which produces the gas sealing can be drawn out independently of the primary exhaust gas.

또한, 단어들 "일반적으로", "상대적으로" 및 "실질적으로"가 기하학적 형상들과 관련하여서 사용되는 경우에, 해당 기하학적 형상의 정밀성이 요구되기보다는, 해당 형상에 대한 허용범위 (latitude) 가 본 개시의 범위 내에 있음이 의도된다. 단어들 "일반적으로", "상대적으로" 및 "실질적으로"가 기하학적 용어들과 함께 사용되는 경우에, 이 용어들은 그 용어에 대한 엄격한 정의를 만족하는 특징부들뿐만 아니라 이 엄격한 정의와 매우 근사한 특징부들도 포함함을 의도한다.In addition, when the words " generally ", " relatively ", and " substantially " are used in connection with geometric shapes, the latitude of the geometric shapes And is intended to be within the scope of the present disclosure. When the words " generally ", " relative ", and " substantially " are used in conjunction with geometric terms, they are intended to encompass not only those features that satisfy the strict definition for the term, It is intended to include the parts.

등온 증착 챔버를 포함하는 플라즈마 프로세싱 장치가 본 발명의 특정 실시예들을 참조하여 상세히 기술되었지만, 다양한 수정 및 변경이 첨부된 청구항들의 범위 내에서 가능하고 그 균등 사항들도 가능함은 본 기술 분야의 당업자에게 자명하다.Although a plasma processing apparatus including an isothermal deposition chamber has been described in detail with reference to specific embodiments of the present invention, it is to be understood that various modifications and variations are possible within the scope of the appended claims and equivalents thereof are possible to those skilled in the art It is obvious.

Claims (20)

가스 시일링을 갖는 화학적 증착 챔버에 있어서,
대면 플레이트 밑의 웨이퍼 캐비티 내에 반도체 기판을 지지하도록 구성된 샤워헤드 모듈 및 페데스탈 모듈;
상기 웨이퍼 캐비티로 프로세스 가스를 전달하도록 구성된 대면 플레이트 내 가스 유입부들;
상기 웨이퍼 캐비티로부터 반응 가스 화학물질들 및 불활성 가스들을 제거하도록 구성된 1차 배기 가스 유출부들;
상기 웨이퍼 캐비티의 외측 주변부의 환형 단차부 및 상기 환형 단차부와 상기 페데스탈 모듈 사이의 갭에 가스 시일링을 형성하기 위해 불활성 시일링 가스를 전달하도록 구성된 불활성 가스 피드부; 및
상기 1차 배기 가스 유출부들의 방사상 외측에 위치된 2차 배기 가스 유출부들로서, 상기 2차 배기 가스 유출부들은 상기 갭을 통해 방사상 내측으로 흐르는 상기 불활성 가스 중 적어도 일부를 제거하도록 구성되는, 상기 2차 배기 가스 유출부들을 포함하는, 화학적 증착 챔버.
In a chemical vapor deposition chamber having gas sealing,
A pedestal module and a showerhead module configured to support a semiconductor substrate within a wafer cavity below the facing plate;
Gas inlets in opposing plates configured to transfer process gas to the wafer cavity;
Primary exhaust gas outlets configured to remove reactive gas chemicals and inert gases from the wafer cavity;
An inert gas feed portion configured to deliver an inert sealing gas to form an annular stepped portion of the outer periphery of the wafer cavity and a gap between the annular stepped portion and the pedestal module to form a gas seal; And
Wherein the secondary exhaust gas outlets are configured to remove at least some of the inert gas flowing radially inwardly through the gap, wherein the secondary exhaust gas outlets are located radially outside of the primary exhaust gas outlets, And a secondary exhaust gas outlet.
제 1 항에 있어서,
상기 가스 유입부들은 상기 대면 플레이트의 내측 부분에 위치되고 그리고 상기 1차 배기 가스 유출부들은 상기 대면 플레이트의 외측 부분에 위치되는, 화학적 증착 챔버.
The method according to claim 1,
Wherein the gas inlets are located in an inner portion of the face plate and the primary exhaust outlets are located in an outer portion of the face plate.
제 1 항에 있어서,
상기 1차 배기 가스 유출부들 및 상기 2차 배기 가스 유출부들은 상기 환형 단차부의 하부 표면에 위치되는, 화학적 증착 챔버.
The method according to claim 1,
Wherein the primary exhaust gas outlets and the secondary exhaust gas outlets are located on a lower surface of the annular step.
제 1 항에 있어서,
상기 대면 플레이트를 둘러싸는 격리 링을 더 포함하고,
상기 환형 단차부는 상기 격리 링의 하부 부분을 포함하는, 화학적 증착 챔버.
The method according to claim 1,
Further comprising a isolation ring surrounding the facing plate,
Wherein the annular step includes a lower portion of the isolation ring.
제 1 항에 있어서,
상기 1차 배기 가스 유출부들은 진공 압력 소스에 연결된 압력 제어 쓰로틀 밸브와 유체 연통하는, 화학적 증착 챔버.
The method according to claim 1,
Wherein the primary exhaust outlets are in fluid communication with a pressure control throttle valve connected to a vacuum pressure source.
제 5 항에 있어서,
상기 2차 배기 가스 유출부들은 일정한 진공 압력 소스와 유체로 연통하는, 화학적 증착 챔버.
6. The method of claim 5,
Wherein the secondary exhaust gas outlets are in fluid communication with a constant vacuum pressure source.
제 1 항에 있어서,
상기 갭은 상기 웨이퍼 캐비티의 외측 에지로부터 상기 단차부의 외측 에지까지 약 5.0 ㎜ 내지 25.0 ㎜의 폭을 갖는, 화학적 증착 챔버.
The method according to claim 1,
Wherein the gap has a width of about 5.0 mm to about 25.0 mm from an outer edge of the wafer cavity to an outer edge of the step.
제 1 항에 있어서,
상기 1차 배기 가스 유출부들은 상기 대면 플레이트를 둘러싸는 격리 링 내에 있고, 상기 격리 링은 상기 격리 링과 상기 샤워헤드 모듈의 백킹 플레이트 (backing plate) 의 외측 주변부 사이의 환형 플레넘과 연통하는 1차 배기 가스 통로들을 포함하는, 화학적 증착 챔버.
The method according to claim 1,
The primary exhaust gas outflows are in a quarantine ring surrounding the faceplate and the quarantine ring is in communication with an annular plenum between the isolation ring and the outer periphery of the backing plate of the showerhead module. Car exhaust passageways.
제 1 항에 있어서,
상기 2차 배기 가스 유출부들은 상기 대면 플레이트를 둘러싸는 격리 링 내에 있고, 상기 격리 링은 내측 링 및 외측 링을 포함하고, 상기 내측 링의 외측 표면과 상기 외측 링의 내측 표면 사이의 환형 플레넘과 연통하는 2차 배기 가스 통로들, 화학적 증착 챔버.
The method according to claim 1,
Wherein the secondary exhaust gas outflows are in a quarantine ring surrounding the facing plate and the quarantine ring includes an inner ring and an outer ring and the annular plenum between the outer surface of the inner ring and the inner surface of the outer ring Secondary exhaust gas passages in communication with the chemical vapor deposition chamber.
제 1 항에 있어서,
상기 불활성 가스 피드부는 상기 샤워헤드 모듈의 백킹 플레이트 내 환형 플레넘을 포함하고, 상기 백킹 플레이트는 상기 환형 플레넘으로부터 방사상 외측으로 연장하고 상기 백킹 플레이트의 외측 주변부 상의 시일링 가스 유출부들과 유체로 연통하는 시일링 가스 통로들을 포함하는, 화학적 증착 챔버.
The method according to claim 1,
Wherein the inert gas feed portion comprises an annular plenum in the backing plate of the showerhead module and the backing plate extends radially outward from the annular plenum and is in fluid communication with the sealing gas outlets on the outer periphery of the backing plate And a sealing gas passageways.
제 1 항에 있어서,
상기 페데스탈 모듈은 웨이퍼 캐비티 내에 반도체 기판을 배치하도록 수직으로 상향으로 이동가능하고 상기 기판 페데스탈 상으로 상기 반도체 기판을 로딩하고 언로딩하기 위한 위치로 하향으로 이동가능한, 화학적 증착 챔버.
The method according to claim 1,
Wherein the pedestal module is vertically movable upwardly to place a semiconductor substrate in a wafer cavity and is downwardly movable to a position for loading and unloading the semiconductor substrate onto the substrate pedestal.
제 11 항에 있어서,
적어도 하나의 배기 통로가 상기 페데스탈 모듈 내에 위치되고, 상기 적어도 하나의 배기 통로는 상기 웨이퍼 캐비티의 방사상 외측으로 위치되고 상기 갭 내로의 상기 불활성 가스 피드 중 적어도 일부를 제거하도록 구성되는, 화학적 증착 챔버.
12. The method of claim 11,
Wherein at least one exhaust passageway is located within the pedestal module and the at least one exhaust passageway is positioned radially outwardly of the wafer cavity and configured to remove at least a portion of the inert gas feed into the gap.
제 12 항에 있어서,
상기 적어도 하나의 배기 통로는 환형 채널을 포함하는, 화학적 증착 챔버.
13. The method of claim 12,
Wherein the at least one exhaust passage comprises an annular channel.
제 4 항에 있어서,
(a) 상기 격리 링은 상기 격리 링의 내측 표면의 불활성 가스 피드부 유입부들, 불활성 가스 피드부 유입부들로부터 방사상 외측으로 연장되는 불활성 가스 피드부 통로들, 및 상기 단차부의 상기 하부 표면으로 수직으로 하향으로 연장하는 상기 불활성 가스 피드부 통로들을 포함하고, (b) 상기 1차 배기 가스 유출부들은 상기 격리 링의 상기 내측 표면의 하부 부분에 위치되고, 1차 배기 가스 통로들은 상기 1차 배기 가스 유출부들로부터 상향으로 연장하고, 그리고 상기 1차 배기 가스 통로들은 상기 불활성 가스 피드부 유입부들 아래의 위치의 상기 내측 표면의 개구부들로 수평으로 연장하고, 그리고 (c) 상기 2차 배기 가스 유출부들은 상기 격리 링의 하부 표면에 위치되고, 2차 배기 가스 통로들은 상기 2차 배기 가스 유출부들로부터 상기 격리 링의 외측 표면의 개구부들로 상향으로 연장하는, 화학적 증착 챔버.
5. The method of claim 4,
(a) the isolation ring includes inert gas feed portion inlets of the inner surface of the isolation ring, inert gas feed portion passages extending radially outwardly from the inert gas feed portion inlets, and (B) the primary exhaust gas outlets are located in a lower portion of the inner surface of the isolation ring, and the primary exhaust gas passages are located in the lower portion of the primary exhaust gas And the primary exhaust gas passages extend horizontally into openings of the inner surface at a location below the inert gas feed portion inflows, and (c) the secondary exhaust gas outlets Is located on the lower surface of the isolation ring, and secondary exhaust gas passages extend from the secondary exhaust gas outlets , Chemical vapor deposition chamber that extends upwardly into the opening of the side surface.
화학적 증착 챔버의 웨이퍼 캐비티로부터 반응 가스 화학물질들이 탈출하는 것을 억제하는 (containing) 방법에 있어서,
(a) 페데스탈 모듈 상에 반도체 기판을 지지하는 단계;
(b) 샤워헤드 모듈의 대면 플레이트의 가스 유입부들을 통해 프로세스 가스를 흘리는 단계;
(c) 상기 웨이퍼 캐비티로부터 1차 배기 가스 유출부들을 통해 가스들을 인출하는 단계;
(d) 상기 샤워헤드 모듈의 단차부의 하부 표면 내 시일링 가스 유출부들을 통해 불활성 가스를 흘림으로써 상기 샤워헤드 모듈의 상기 단차부와 상기 페데스탈 모듈 사이의 갭에 가스 시일링을 유지하는 단계; 및
(e) 2차 배기 가스 유출부들을 통해 상기 갭을 통해 방사상 내측으로 흐르는 상기 불활성 가스의 적어도 일부를 인출하는 단계를 포함하는, 방법.
A method of containing reactive gas chemicals from a wafer cavity of a chemical deposition chamber,
(a) supporting a semiconductor substrate on a pedestal module;
(b) flowing a process gas through the gas inlet portions of the face plate of the showerhead module;
(c) withdrawing gases from the wafer cavity through the primary exhaust gas outlets;
(d) maintaining a gas seal in a gap between the stepped portion of the showerhead module and the pedestal module by flowing an inert gas through the sealing gas outlets in the lower surface of the step of the showerhead module; And
(e) withdrawing at least a portion of the inert gas flowing radially inwardly through the gap through the secondary exhaust gas outlets.
제 15 항에 있어서,
상기 1차 배기 가스 유출부들은 압력 제어 쓰로틀 밸브와 유체로 연통하고 그리고 상기 2차 배기 가스 유출부들은 상기 압력 제어 쓰로틀 밸브의 진공 소스 다운스트림과 유체로 연통하는, 방법.
16. The method of claim 15,
Wherein the primary exhaust outlets are in fluid communication with a pressure control throttle valve and the secondary exhaust outlets are in fluid communication with a vacuum source downstream of the pressure control throttle valve.
제 16 항에 있어서,
상기 불활성 가스는 일정한 플로우 레이트로 상기 갭으로 공급되는, 방법.
17. The method of claim 16,
Wherein the inert gas is supplied to the gap at a constant flow rate.
제 15 항에 있어서,
약 10보다 큰 페클릿 수 (Peclet number) 로 상기 갭 내로 상기 불활성 시일링 가스를 흘리는 단계를 포함하는, 방법.
16. The method of claim 15,
And flowing said inert sealing gas into said gap with a Peclet number greater than about 10.
제 15 항에 있어서,
다음의 프로세스들,
CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), PDL (pulsed layer deposition), 및/또는 PEPDL (plasma enhanced pulsed deposition) 중 적어도 하나를 통해 상기 반도체 기판 상에 층을 증착하는 단계를 포함하는, 방법.
16. The method of claim 15,
The following processes,
(PDP), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), pulsed layer deposition (PDL) ≪ / RTI > depositing a layer on the semiconductor substrate through at least one of the first and second regions.
제 15 항에 있어서,
약 100 cc/분 내지 약 5.0 slm (standard liters per minute) 으로 상기 갭으로 상기 불활성 시일링 가스를 피딩하는 단계를 포함하는, 방법.
16. The method of claim 15,
And feeding said inert sealing gas into said gap at about 100 cc / min to about 5.0 liters per minute.
KR1020170172903A 2016-12-20 2017-12-15 Chemical deposition chamber having gas seal KR20180071960A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230144640A KR20230151975A (en) 2016-12-20 2023-10-26 Chemical deposition chamber having gas seal

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/385,089 US10781516B2 (en) 2013-06-28 2016-12-20 Chemical deposition chamber having gas seal
US15/385,089 2016-12-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230144640A Division KR20230151975A (en) 2016-12-20 2023-10-26 Chemical deposition chamber having gas seal

Publications (1)

Publication Number Publication Date
KR20180071960A true KR20180071960A (en) 2018-06-28

Family

ID=62780285

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170172903A KR20180071960A (en) 2016-12-20 2017-12-15 Chemical deposition chamber having gas seal
KR1020230144640A KR20230151975A (en) 2016-12-20 2023-10-26 Chemical deposition chamber having gas seal

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230144640A KR20230151975A (en) 2016-12-20 2023-10-26 Chemical deposition chamber having gas seal

Country Status (4)

Country Link
JP (1) JP2018110221A (en)
KR (2) KR20180071960A (en)
CN (1) CN108300979A (en)
TW (2) TWI800497B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202019103479U1 (en) * 2019-06-24 2019-08-27 SLM Solutions Group AG sealing system
CN113249707A (en) * 2021-04-21 2021-08-13 拓荆科技股份有限公司 Thin film deposition device and thin film deposition method
CN115354295A (en) * 2022-10-24 2022-11-18 新美光(苏州)半导体科技有限公司 Thin film preparation device and method for reducing thin film defects in thin film preparation

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal

Also Published As

Publication number Publication date
CN108300979A (en) 2018-07-20
JP2018110221A (en) 2018-07-12
TW201837225A (en) 2018-10-16
TW202405232A (en) 2024-02-01
TWI800497B (en) 2023-05-01
KR20230151975A (en) 2023-11-02

Similar Documents

Publication Publication Date Title
KR102263328B1 (en) Chemical deposition chamber having gas seal
US10781516B2 (en) Chemical deposition chamber having gas seal
KR102358027B1 (en) Chemical deposition apparatus having conductance control
JP7320563B2 (en) High temperature substrate pedestal module and its components
CN108206151B (en) Substrate processing apparatus
KR20230151975A (en) Chemical deposition chamber having gas seal
JP2017224816A (en) Shower head curtain gas method and shower head curtain gas system for membrane profile adjustment
WO2001004937A2 (en) Method and apparatus for directing constituents through a processing chamber
JP2009503875A (en) Gas manifold valve cluster
CN109906498B (en) Integrated direct dielectric and metal deposition
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20190338420A1 (en) Pressure skew system for controlling center-to-edge pressure change
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TW202201602A (en) Substrate processing device
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20230033058A1 (en) Reactor with inductively coupled plasma source
WO2024076479A1 (en) Adjustable pedestal
WO2022203763A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent