KR20180038412A - 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버 - Google Patents

순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버 Download PDF

Info

Publication number
KR20180038412A
KR20180038412A KR1020177027489A KR20177027489A KR20180038412A KR 20180038412 A KR20180038412 A KR 20180038412A KR 1020177027489 A KR1020177027489 A KR 1020177027489A KR 20177027489 A KR20177027489 A KR 20177027489A KR 20180038412 A KR20180038412 A KR 20180038412A
Authority
KR
South Korea
Prior art keywords
plasma
module
substrate
processing chamber
source
Prior art date
Application number
KR1020177027489A
Other languages
English (en)
Other versions
KR102451502B1 (ko
Inventor
톤 큐. 트란
수남 박
정훈 김
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227034180A priority Critical patent/KR102600919B1/ko
Publication of KR20180038412A publication Critical patent/KR20180038412A/ko
Application granted granted Critical
Publication of KR102451502B1 publication Critical patent/KR102451502B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 명세서에서는 기판 에칭을 위한 방법 및 장치가 설명된다. 본 명세서에서 설명되는 처리 챔버는 소스 모듈, 프로세스 모듈, 유동 모듈, 및 배기 모듈을 포함한다. RF 소스는 챔버에 결합될 수 있고, 원격 플라즈마는 소스 모듈 내에서 발생될 수 있고, 직접 플라즈마는 프로세스 모듈 내에서 발생될 수 있다. 설명되는 순환적 에칭 프로세스들은 기판을 에칭하기 위해 라디칼 플라즈마와 직접 플라즈마를 교대로 사용할 수 있다.

Description

순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
본 개시내용의 실시예들은 반도체 기판들을 처리하기 위한 장치 및 방법에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 순환적 에칭 프로세스들을 수행하도록 구성된 라디칼 플라즈마 소스 및 직접 플라즈마 소스를 갖는 처리 챔버에 관한 것이다.
평판 디스플레이 및 집적 회로와 같은 전자 디바이스들은, 층들이 기판 상에 퇴적되고 퇴적된 재료가 원하는 패턴들로 에칭되는 일련의 프로세스들에 의해 통상적으로 제조된다. 프로세스들은 통상적으로 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 증강된 CVD(PECVD), 및 다른 플라즈마 처리를 포함한다. 구체적으로, 플라즈마 프로세스는 프로세스 가스 혼합물을 진공 챔버에 공급하는 단계, 및 프로세스 가스를 플라즈마 상태로 여기시키기 위해 방사 주파수 전력[RF(radial frequency) 전력]을 인가하는 단계를 포함한다. 플라즈마는 가스 혼합물을, 원하는 퇴적 또는 에칭 프로세스들을 수행하는 이온 종들(ion species)로 분해한다.
플라즈마 프로세스들 동안 겪게 되는 하나의 문제점은 처리 동안 기판 표면에 걸쳐 균일한 플라즈마 밀도를 달성하는 것에 관련된 어려움이며, 이것은 기판의 중심 영역과 에치 영역들 사이의 불균일한 처리를 야기한다. 균일한 플라즈마 밀도를 달성하는 데에 있어서의 어려움은 물리적 프로세스 챔버 설계에서의 비대칭으로 인한 자연적인 전기 전류, 가스 유동, 및 열 분포에서의 왜곡(skew)에 의한 것일 수 있다. 그러한 왜곡은 불균일한 플라즈마 밀도를 야기할 뿐만 아니라, 다른 처리 변수들을 이용하여 플라즈마 균일성을 제어하는 것을 어렵게 한다. 따라서, 다양한 처리 동작들을 만족시키기 위해 복수의 처리 챔버가 필요할 수 있다.
추가로, 일부 기판 처리 시스템들에서, 플라즈마는 하나 이상의 기판이 처리되고 있는 것과 동일한 위치에서 발생되고; 다른 예들에서, 플라즈마는 하나의 위치에서 발생되어 기판(들)이 처리되는 다른 위치로 이동된다. 생성되는 플라즈마들은 종종 고도로 활성(energetic) 및/또는 부식성인 종들, 및/또는 고도로 활성인 전자들을 포함하며, 그에 의해 플라즈마를 생성하는 장비는 때로는 활성 종들 및/또는 전자들과의 접촉으로 인해 열화된다. 예를 들어, 고도로 활성인 종들 및/또는 전자들에 노출되는 재료들은 에칭 및/또는 스퍼터링되어, 여기저기 돌아다닐 수 있는 에칭 및/또는 스퍼터링된 재료를 발생시킬 수 있고, 챔버 컴포넌트들의 다양한 표면들 상에서 반응하거나 퇴적될 수 있으며, 그에 의해 손상을 발생시키거나 증가된 챔버 유지보수 주기들을 요구한다.
기존의 건식 에칭 챔버는 고 종횡비 구조물 에칭을 위한 고 이온 에너지를 이용한 이방성 에칭을 위해 구현된다. 그러나, 고 이온 에너지 충격으로 인해, 기판은 플라즈마에 의해 손상될 수 있고, 이는 디바이스 전류 누설을 야기한다. 또한, 부산물들의 재퇴적은 이방성 에칭을 어렵게 한다.
기술 노드들이 진보함에 따라, 점점 더 작고 선택성있는 에칭 능력들에 대한 필요가 매우 크다. 그러므로, 진보된 기술 노드들을 위해 구현될 수 있는 가변 프로세스 용적, 개선된 유동 전도도(flow conductance), 및 개선된 프로세스 균일성을 가능하게 하는 프로세스 챔버가 필요하다. 추가로, 개선된 재료 선택성을 제공하는 에칭 방법들이 필요하다.
일 실시예에서, 처리 챔버 장치가 제공된다. 장치는 프로세스 영역을 정의하고 내부에 직접 플라즈마를 발생시키도록 구성된 챔버 바디를 포함하고, 정전 척을 포함하는 기판 지지체 어셈블리는 프로세스 영역 내에 배치될 수 있다. 플레이트 스택을 포함하는 소스 모듈은 챔버 바디에 결합될 수 있고, 플레이트 스택은 프로세스 영역을 더 정의하고 내부에 원격 플라즈마를 발생시키도록 구성될 수 있다. 유동 모듈은 챔버 바디에 결합될 수 있고, 대칭형 유동 밸브 및 대칭형 터보 분자 펌프(turbo molecular pump)를 포함하는 배기 모듈이 유동 모듈에 결합될 수 있다. 챔버 바디, 소스 모듈, 유동 모듈, 및 배기 모듈은 기판을 대칭적으로 처리하도록 구성될 수 있다.
다른 실시예에서, 처리 챔버 장치가 제공된다. 장치는 프로세스 영역을 정의하는 챔버 바디를 포함하고, 정전 척을 포함하는 기판 지지체 어셈블리는 프로세스 영역 내에 배치될 수 있다. 플레이트 스택을 포함하는 소스 모듈은 또한 챔버 바디에 결합될 수 있다. 플레이트 스택은 제1 확산기; 페이스 플레이트; 세라믹 링; 제2 확산기; 가스 분산 디바이스; 및 플라즈마 차단 스크린을 포함할 수 있다. 유동 모듈은 챔버 바디에 결합될 수 있고, 배기 모듈은 유동 모듈에 결합될 수 있다.
또 다른 실시예에서, 기판을 처리하는 방법이 제공된다. 방법은 처리 챔버의 처리 영역 내에 직접 플라즈마를 발생시키는 단계; 및 기판의 층을 변경시키기 위해, 처리 영역 내의 기판 지지체 어셈블리 상에 배치된 기판을 직접 플라즈마에 의해 발생되는 이온들에 노출시키는 단계를 포함한다. 원격 플라즈마는 처리 챔버에 결합된 소스 모듈 내에서 발생될 수 있고, 변경된 층을 기판으로부터 제거하기 위해, 기판의 변경된 층이 원격 플라즈마에 의해 발생된 라디칼들에 노출될 수 있다. 기판을 이온에 노출시키는 단계 및 기판을 라디칼들에 노출시키는 단계가 반복될 수 있다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 첨부 도면들은 예시적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 되며, 동등한 효과의 다른 실시예들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본 개시내용의 일 실시예에 따른 플라즈마 처리 챔버의 개략적인 단면도이다.
도 2는 본 개시내용의 일 실시예에 따른 플라즈마 처리 시스템의 주요 구성요소들을 개략적으로 단면도로 도시한다.
도 3은 본 개시내용의 실시예들에 따라 도 2에 도시된 플라즈마 처리의 확대된 부분을 개략적으로 도시한다.
도 4는 본 명세서에 설명된 실시예들에 따른 처리 챔버를 개략적으로 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에 유익하게 통합될 수 있을 것으로 예상된다.
본 개시내용은 일반적으로 원격 플라즈마 소스 및 직접 플라즈마 소스를 갖는 챔버에 관한 것이다. 원격 플라즈마 소스는 라디칼들을 발생시키도록 구성될 수 있고, 직접 플라즈마 소스는 이온들을 발생시키도록 구성될 수 있다. 추가로, 챔버는 기판의 플라즈마 처리 동안의 개선된 대칭성을 제공하기 위한 다양한 장치를 포함한다. 추가로, 순환적 에칭 프로세스들을 위한 방법들이 개시된다.
도 1은 본 개시내용의 일 실시예에 따른 플라즈마 프로세스 챔버(100)의 개략적인 단면도이다. 플라즈마 프로세스 챔버(100)는 플라즈마 에칭 챔버, 플라즈마 증강된 화학적 기상 증착 챔버, 물리적 기상 증착 챔버, 플라즈마 트리트먼트 챔버, 이온 주입 챔버(ion implantation chamber), 또는 다른 적절한 진공 처리 챔버일 수 있다.
플라즈마 프로세스 챔버(100)는 복수의 모듈로부터 조립될 수 있다. 모듈러 설계는 플라즈마 프로세스 챔버(100)가 다양한 프로세스 요구조건들을 만족시키는 것을 가능하게 한다. 도 1에 도시된 바와 같이, 플라즈마 프로세스 챔버(100)는 소스 모듈(102), 프로세스 모듈(104), 유동 모듈(106), 및 배기 모듈(108)을 포함할 수 있다. 소스 모듈(102), 프로세스 모듈(104), 및 유동 모듈(106)은 집합적으로 프로세스 영역(112)을 둘러싼다. 소스 모듈(102)의 더 상세한 설명은 도 2, 및 거기에 설명된 소스 모듈을 참조할 수 있다. 특정 실시예들에서, 프로세스 모듈(104), 유동 모듈(106), 및 배기 모듈(108)은 집합적으로 챔버 모듈(110)로서 고려될 수 있다.
동작 동안, 기판(116)은 기판 지지체 어셈블리(118) 상에 위치될 수 있고, 프로세스 영역(112) 내에 발생된 플라즈마와 같은 프로세스 환경에 노출될 수 있다. 플라즈마 프로세스 챔버(100) 내에서 수행될 수 있는 예시적인 프로세스는 에칭, 화학적 기상 증착, 물리적 기상 증착, 주입(implantation), 플라즈마 어닐링, 플라즈마 트리트먼트, 저감(abatement), 또는 다른 플라즈마 프로세스들을 포함할 수 있다. 유동 모듈(106)에 의해 정의되는 배출 채널들(evacuation channels)(114)을 통한 배기 모듈(108)로부터의 흡입에 의해, 프로세스 영역(112) 내에서 진공이 유지될 수 있다.
대칭적인 전기 전류, 가스 유동, 및 열 유동을 제공하여 균일한 프로세스 조건들을 확립하기 위해, 프로세스 영역(112) 및 배출 채널들(114)은 중심 축(111)에 대해 실질적으로 대칭적이다.
일 실시예에서, 도 1에 도시된 바와 같이, 소스 모듈(102)은 하나 이상의 플라즈마를 발생시키도록 구성된 용량 결합형 플라즈마 소스일 수 있고, 그러한 플라즈마들 중 적어도 하나는 원격 플라즈마로 고려될 수 있고, 그러한 플라즈마들 중 하나는 직접 플라즈마로 고려될 수 있다. 소스 모듈(102)은 분리기(122)에 의해 프로세스 모듈(104)에 의해 지지되고 프로세스 모듈로부터 분리되는 전극(즉, 애노드)로서 기능할 수 있는 플레이트 스택(101)을 포함할 수 있다. 플레이트 스택(101)은 적층 배향으로 배열된 다양한 샤워헤드들, 확산기들, 및 스크린/차단기 플레이트들을 포함할 수 있다. 플레이트 스택(101)은 가스 유입 튜브(126)를 통해 가스 소스(132)에 연결될 수 있다. 플레이트 스택(101) 및 가스 유입 튜브(126)는 알루미늄 또는 스테인레스 스틸과 같은 무선 주파수(RF) 전도성 재료로 전부 제조될 수 있다. 플레이트 스택(101)은 전도성 가스 유입 튜브(126)를 통해 RF 전원(124)에 결합될 수 있다. RF 정합 네트워크(125)는 또한 RF 전원(124)에 결합될 수 있다. RF 전력 및 처리 가스들 둘 다가 대칭적으로 제공되도록, 전도성 가스 유입 튜브(126)는 플라즈마 프로세스 챔버(100)의 중심 축(111)과 동축일 수 있다.
용량성 플라즈마 소스가 위에 설명되어 있긴 하지만, 소스 모듈(102)은 프로세스 요구조건에 따른 임의의 적합한 가스/플라즈마 소스일 수 있다. 예를 들어, 소스 모듈(102)은 유도 결합형 플라즈마 소스, 원격 플라즈마 소스, 또는 마이크로웨이브 플라즈마 소스일 수 있다.
프로세스 모듈(104)은 소스 모듈(102)에 결합된다. 프로세스 모듈(104)은 프로세스 영역(112)을 둘러싸는 챔버 바디(140)를 포함할 수 있다. 챔버 바디(140)는 알루미늄 또는 스테인레스 스틸과 같이, 처리 환경들에 저항성이 있는 전도체 재료로 제조될 수 있다. 기판 지지체 어셈블리(118)는 챔버 바디(140) 내에서 중심에 배치될 수 있고, 프로세스 영역(112) 내의 기판(116)을 중심 축(111)에 대해 대칭으로 지지하도록 위치될 수 있다.
기판(116)의 통행을 허용하기 위해, 슬릿 밸브 개구(142)가 챔버 바디(140)를 관통하여 형성될 수 있다. 슬릿 밸브 개구(142)를 선택적으로 개방 및 폐쇄하기 위해, 슬릿 밸브(144)가 챔버 바디(140)의 외부에 배치될 수 있다.
일 실시예에서, 상부 라이너 어셈블리(146)가 챔버 바디(140)의 상측 부분 내에 배치되어, 챔버 바디(140)를 프로세스 환경으로부터 차폐할 수 있다. 상부 라이너 어셈블리(146)는 챔버 바디(140) 내에 형성된 슬릿 밸브 개구(142)에 대응하는 개구(148)를 포함할 수 있다. 일 실시예에서, 상부 라이너 어셈블리(146)는 슬릿 밸브 개구(142)에 의해 유발되는 챔버 바디(140)의 비대칭을 보상하기 위해 중심 축(111)에 대해 대칭으로 형성된 둘 이상의 개구(148)를 포함할 수 있고, 그에 따라 플라즈마 프로세스 챔버(100) 내의 프로세스 영역(112) 내에서 대칭을 발생시킨다. 예를 들어, 상부 라이너 어셈블리(146)는 서로로부터 120도 떨어져서 형성된 3개의 동일한 개구(148)를 갖는 원통형 벽일 수 있다. 상부 라이너 어셈블리(146)는 알루미늄, 스테인레스 스틸, 및/또는 이트리아(예를 들어, 이트리아 코팅된 알루미늄)와 같은 전도성의 프로세스 호환가능한 재료로 구성될 수 있다.
일 실시예에서, 챔버 바디(140) 및 상부 라이너 어셈블리(146)에 대한 온도 제어를 제공하여, 플라즈마 프로세스 챔버(100) 내의 열 대칭, 및 프로세스 영역(112) 내에 제공되는 플라즈마의 대칭을 증강시키기 위해, 냉각 채널들(150)이 챔버 바디(140) 내에 형성될 수 있다.
유동 모듈(106)이 프로세스 모듈(104)에 부착된다. 유동 모듈(106)은 프로세스 모듈(104) 내에 정의된 프로세스 영역(112)과 배기 모듈(108) 사이의 유동 경로들을 제공한다. 유동 모듈(106)은 또한 플라즈마 프로세스 챔버(100) 외부의 분위기 환경(atmospheric environment)과 기판 지지체 어셈블리(118) 사이의 인터페이스를 제공한다.
유동 모듈(106)은 높이(107)를 갖는다. 높이(107)는 프로세스 요구조건들에 의해 지정되는 가변 용적의 정도 또는 수직 이동의 양에 따라 선택될 수 있다. 따라서, 특정 프로세스를 위한 프로세스 챔버를 구축할 때, 프로세스 요구조건들을 충족시키도록 적절한 높이를 갖는 유동 모듈이 선택될 수 있다. 다른 프로세스를 위한 프로세스 챔버를 구성할 때, 유동 모듈은 다른 높이를 갖는 다른 유동 모듈로 교체될 수 있다.
유동 모듈(106)은 외측 벽(160), 내측 벽(162), 내측 벽(162)과 외측 벽(160) 사이를 연결하는 방사상 벽들(radial walls)(164)의 둘 이상의 쌍, 및 내측 벽(162) 및 방사상 벽들(164)의 둘 이상의 쌍에 부착된 최하부 벽(166)을 포함한다. 외측 벽(160)은 방사상 벽들(164)의 각각의 쌍의 사이에 형성된 둘 이상의 관통 홀(170)을 포함할 수 있다. 섀시(154)는 내측 벽(162)과 방사상 벽들(164)의 둘 이상의 쌍 위에 밀봉 배치될 수 있다. 관통 홀들(170)은 내측 벽(162)에 의해 정의되는 분위기 용적(168)을 외부 환경과 연결하고, 그에 의해 전기 연결, 가스 연결, 냉각 유체 연결과 같은 유틸리티 연결들을 수용한다. 섀시(154)는 기판 지지체 어셈블리(118)를 수용하기 위한 중심 개구(158)를 포함할 수 있다.
유동 모듈(106)의 외측 벽(160)은 프로세스 모듈(104)의 챔버 바디(140)에 일치하는 형상을 갖는다. 일 실시예에서, 외측 벽(160)은 챔버 바디(140) 상의 플랜지(flange)에 대응하는 플랜지를 포함할 수 있다. 유동 모듈(106)을 프로세스 모듈(104)에 결합하도록 플랜지들을 고정하기 위해 복수의 볼트가 이용될 수 있다. 일 실시예에서, 챔버 바디(140)의 플랜지와 외측 벽(160)의 플랜지 사이에 밀봉부(152)가 배치되어 진공 밀봉을 형성할 수 있다. 밀봉부(152)는 o 링, 또는 다른 타입의 밀봉부일 수 있다. 일 실시예에서, RF 접지 개스킷(172)이 유동 모듈(106)과 프로세스 모듈(104) 사이에 배치되어, 균일하고 대칭적인 RF 접지 복귀 경로를 위한 견고한 접촉(solid contact)을 제공할 수 있다.
내측 벽(162), 최하부 벽(166), 방사상 벽들(164), 및 섀시(154)는 외측 벽(160) 내부의 용적을 배출 채널들(114) 및 분위기 용적(168)으로 분할한다. 배출 채널들(114)은 프로세스 모듈(104)의 프로세스 영역(112)과 연결된다. 배출 채널들(114)과 분위기 용적(168) 사이의 진공 밀봉을 제공하기 위해, 홈들(154b, 164b) 내에 밀봉부(156)가 배치될 수 있다. 밀봉부(156)는 o 링, 또는 탄성중합체 밀봉부와 같은 다른 타입의 밀봉부일 수 있다.
외측 벽(160) 및 내측 벽(162)은 동심 배열된 원통형 벽들일 수 있다. 조립 시에, 외측 벽(160)과 내측 벽(162)의 중심 축은 플라즈마 프로세스 챔버(100)의 중심 축(111)과 일치할 수 있다. 방사상 벽들(164)의 둘 이상의 쌍이 내측 벽(162)과 외측 벽(160) 사이에 배열되어, 그 사이의 공간을 배출 채널들(114) 및 관통홀들(170)로 분할한다. 일 실시예에서, 방사상 벽들(164)의 둘 이상의 쌍은 배출 채널들(114)이 중심 축(111)에 대해 대칭이도록 배열된다. 유동 모듈(106)은 서로로부터 120도 떨어져서 배치된 방사상 벽들(164)의 3개의 쌍을 포함하여, 중심 축(111)에 대해 대칭인 3개의 배출 채널(114)을 형성할 수 있다. 배출 채널들(114)의 대칭 배열은 프로세스 영역(112)으로부터의 가스들의 대칭적 제거를 촉진하여, 기판(116)에 걸친 가스들의 대칭 유동을 야기한다. 추가로, 배출 채널들(114) 및 방사상 벽들(164)의 대칭 배치는 플라즈마 프로세스 챔버(100) 내에서의 열 분포 및 전기 전도도에 있어서의 대칭을 촉진한다.
배기 모듈(108)은 대칭형 유동 밸브(180), 및 대칭형 유동 밸브(180)에 부착된 진공 펌프(182)를 포함한다. 특정 실시예들에서, 진공 펌프(182)는 대칭형 터보 분자 펌프(symmetric turbo molecular pump)일 수 있다. 플라즈마 프로세스 챔버(100) 내에 대칭적이고 균일한 유동을 제공하기 위해, 대칭형 유동 밸브(180)는 배출 채널들(114)에 연결된다.
기판(116)을 중심 축(111)에 대해 대칭적으로 위치시키기 위해, 기판 지지체 어셈블리(118)는 중심 축(111)을 따라 위치된다. 기판 지지체 어셈블리(118)는 섀시(154)에 의해 지지된다. 기판 지지체 어셈블리(118)는 지지 플레이트(174), 프로세스 영역(112) 내에 배치된 베이스 플레이트(176), 및 섀시(154)의 중심 개구(158)를 통해 배치된 속이 빈 샤프트(178)를 포함한다. 벨로우즈(184)는 베이스 플레이트(176)와 섀시(154) 사이에 연결되고, 속이 빈 샤프트(178)를 둘러쌀 수 있다. 벨로우즈(184)는 기판 지지체 어셈블리(118)가 중심 축(111)을 따라 수직으로 이동하는 것을 허용하고, 유동 모듈(106) 내의 분위기 용적(168)과 프로세스 모듈(104) 내의 프로세스 영역(112) 사이에 진공 밀봉을 제공한다.
지지 플레이트(174)는 척킹 전극(186)을 갖는 정전 척(ESC)일 수 있다. 척킹 전극(186)은 단극성 4-구역 ESC(monopolar 4-zone ESC), 양극성 4-구역 ESC(bipolar 4-zone ESC), 또는 고온 ESC(high temperature ESC)일 수 있다. 양극성 ESC는 기판(116)의 척킹 해제(de-chucking) 동안의 RF 접지를 위해 플라즈마에의 노출을 필요로 하지 않을 수 있음이 예상된다. 4-구역 ESC는, 개선된 온도 균일성을 제공하기 위하여, 처리 동안 척킹 전극(186) 상에 배치되는 기판들의 중심-대-에지 가열 프로파일들을 변조하기 위해 4개의 동심 가열 구역[즉, 가열 요소(188)]을 이용할 수 있다. 고온 ESC는 약 600℃까지의 온도에서의 이용에 적합할 수 있다. 척킹 전극(186)에 의해 발생되는 온도들은 높은 재료 선택성을 유지하기 위해 에칭되는 기판 층들의 부산물들의 승화(sublimation)에 적합할 수 있다.
지지 플레이트(174)는 또한 처리 동안 기판(116)을 가열하기 위한 가열 요소(188)를 포함할 수 있다. 베이스 플레이트(176)는 내부에 형성된 냉각 채널들(190)을 포함할 수 있다. 척킹 전극(186)은 속이 빈 샤프트(178), 분위기 용적(168), 및 관통 홀들(170) 중 하나를 통해 바이어스 전원(187)에 연결될 수 있다. 가열 요소(188)는 속이 빈 샤프트(178), 분위기 용적(168), 및 관통 홀들(170) 중 하나를 통해 가열 전원(189)에 연결될 수 있다. 냉각 채널들(190)은 속이 빈 샤프트(178), 분위기 용적(168), 및 관통 홀들(170) 중 하나를 통해 냉각 유체 소스(191)에 연결될 수 있다.
일 실시예에서, 액츄에이터 어셈블리(192)는 기판 지지체 어셈블리(118)를 수직으로 이동시키기 위해 속이 빈 샤프트(178)에 결합될 수 있다. 액츄에이터 어셈블리(192)는 기판 지지체 어셈블리(118)가 프로세스 영역(112) 내에서 이동하고 기판(116)의 처리 위치를 변경하는 것을 가능하게 할 수 있다. 예를 들어, 액츄에이터 어셈블리(192)는 기판 지지체 어셈블리(118)를 플레이트 스택(101)으로부터 약 0.5 인치 내지 약 6 인치의 거리에 위치시킬 수 있다. 종래의 플라즈마 처리 장치에 비교할 때, 플레이트 스택(101)과 기판 지지체 어셈블리(118) 사이의 감소된 거리는 예컨대 20 mTorr 미만, 예를 들어 약 1 mTorr의 저압 레짐(low pressure regime)에서 증가된 용량 결합형 플라즈마(CCP) 발생 윈도우를 제공한다. 따라서, CCP의 항복 전압을 감소시킴으로써 저압 CCP 방전을 가능하게 하기 위해, RF 전극[즉, 플레이트 스택(101)]과 RF 접지 사이의 갭이 증가될 수 있다. CCP를 발생시키는 실시예들에서, 기판 지지체 어셈블리(118)는 또한 원하는 구현에 따라, 접지 개스킷(172)을 통한 접지 또는 전극(즉, 캐소드)로서 기능할 수 있다. 액츄에이터 어셈블리(192)는 분위기 용적(168) 내에 배치될 수 있다. 리프트 핀 액츄에이터들(194)은 리프트 핀들(196)을 이동시키기 위해 분위기 용적(168) 내에 배치될 수 있다.
플라즈마 스크린(198)은 플라즈마를 프로세스 영역(112) 내에 국한(confine)시키기 위해 프로세스 영역(112)과 배출 채널들(114) 사이에 배치될 수 있다. 기판 지지체 라이너(199)는 기판 지지체 어셈블리(118)를 프로세스 화학물질로부터 차폐하기 위해 기판 지지체 어셈블리(118) 주위에 배치될 수 있다.
동작 동안, 가스 소스(132)로부터의 하나 이상의 처리 가스는 플레이트 스택(101)을 통해 프로세스 영역(112)에 들어갈 수 있다. 저압 레짐에서의 이용에 적합한 프로세스 가스들은 H2, He, Ar, O2, NF3, NH3, N2, N2O, H2O, SiF4, SiH4, SiCl4, 및 다양한 플루오로카본 프리커서들을 포함한다. 프로세스 영역(112) 내에서 직접 플라즈마(이온 발생)를 점화하고 유지하기 위해, RF 전력이 플레이트 스택(101)과 기판 지지체 어셈블리(118) 사이에 인가될 수 있다. RF 전력은 또한 가스 유입 튜브(126)를 통해 플레이트 스택(101)에 인가될 수 있고, 원격 플라즈마(라디칼 생성)는 플레이트 스택(101) 내에서 발생될 수 있다. 이온 에너지 및 라디칼 밀도를 제어하기 위해 동기식 또는 스텝식 RF 펄싱이 이용될 수 있다. 제로 DC 바이어스가 이용될 수 있고, 감소된 입자 발생을 가능하게 할 수 있는 감소된 이온 충격을 제공할 수 있다. 플라즈마 밀도 및 이온 에너지를 변조하기 위해, 복수의 RF 주파수(즉, 400 kHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz)가 이용될 수 있다. 이온 에너지 분포를 제어하기 위해, 재단된(tailored) 파형 및 위상 각도 변조가 이용될 수 있다. RF 전원(124) 및 RF 정합 네트워크(125)는 안정적인 플라즈마 방전을 유지하면서 약 5W 정도로 낮은 전력에서 동작하도록 구성될 수 있음이 예상된다.
기판 지지체 어셈블리(118) 상에 배치된 기판(116)은 직접 플라즈마 및 원격 플라즈마 둘 다에 의해 처리된다. 예를 들어, 프로세스 영역(112) 내에서 발생되는 직접 플라즈마는 기판(116)의 표면 상의 재료들을 이온들에 노출시킴으로써 재료들을 변경하기 위해 이용될 수 있다. 변경 재료들(modified materials)을 기판(116) 상의 비변경 재료(non-modified material)에 비해 높은 선택성을 갖고서 제거하기 위해, 플레이트 스택(101) 내의 원격 플라즈마로부터 발생된 라디칼들이 원격 플라즈마로부터 추출될 수 있다. 따라서, 높은 선택성의 재료 제거 프로세스가 달성될 수 있고, 이는 개선된 최상부 평탄화(top flattening)를 제공하고, 에칭된 피쳐들의 풋팅(footings) 및 보우잉(bowings)을 감소 또는 제거한다. 제거 프로세스는 원하는 피쳐 프로파일이 달성될 때까지 이온 변경 및 라디칼 제거 프로세스들이 순차적 프로세스로 반복되는 순환적 프로세스일 수 있다.
입자 발생 및 금속 오염 성능을 감소시키고 프로세스 안정성을 개선하기 위해, 인-시튜 챔버 시즈닝 프로세스들(in-situ chamber seasoning processes)이 또한 구현될 수 있다. SiF4, SiH4, 및 SiCl4와 같은 실리콘 소스 화학물질들은 챔버 표면들 상에 실리콘 산화물 층을 형성하기 위해 CCP 또는 유도 결합형 플라즈마 하에서 해리된 산소와 반응할 수 있다. 챔버 시즈닝 프로세스는 앞에서 언급된 순환적 에칭 프로세스에서의 개선된 안정성을 제공할 수 있다.
플라즈마를 형성하기 위해 이용되는 하나 이상의 처리 가스는 프로세스 영역(112) 또는 플레이트 스택(101)에 지속적으로 공급될 수 있고, 진공 펌프(182)는 기판(116)에 인접하여 대칭의 균일한 플라즈마 프로파일을 발생시키기 위해 대칭형 유동 밸브(180) 및 유동 모듈(106)을 통해 동작한다. 본 개시내용의 실시예들은 프로세스 영역(112)과 배출 채널들(114)을 별개의 모듈들에 정의함으로써, 단순화된 챔버 구조물을 갖는 균일하고 대칭적인 프로세스 환경을 제공하고, 그에 의해 고도의 재료 선택성을 갖는 플라즈마 손상 없는 에칭 프로세스들을 가능하게 하고 제조 비용을 감소시킨다.
도 2는 실시예에 따른 소스 모듈(102)의 상세한 도면을 단면도의 형태로 개략적으로 도시한다. 소스 모듈(102)은 도 1에 도시된 플라즈마 소스 모듈(102)의 일례이고, 다양한 다른 플라즈마 소스 모듈 설계들이 유리하게 구현될 수 있음이 예상된다. 아래에 논의되는 바와 같이, 소스 모듈(102)은 플라즈마를 또한 발생시킬 수 있는 플라즈마 소스(210) 및 프로세스 영역(112)을 포함한다. 도 2의 배향에서, 가스 및/또는 플라즈마 생성물 유동의 대체적인 방향은 하향이고, 이러한 방향은 본 명세서에서 "다운스트림"이라고 지칭될 수 있는 한편, 도 2의 배향에서의 반대 방향인 상향은 "업스트림"이라고 지칭될 수 있다. 또한, 도 2에 도시된 장치의 중요한 부분들은 중심 축(201)에 대해 원통 대칭일 수 있고, 연관된 방향들은 반경 방향(207) 및 방위각 방향(203)으로 정의될 수 있다. 본 명세서에서는 방향들에 대한 이러한 규약이 이용될 수 있지만, 본 기술분야의 통상의 기술자는 본 명세서에 설명된 원리들 중 다수가 원통 대칭 시스템들에 국한되지 않음을 이해할 것이다.
도 2에 도시된 바와 같이, 플라즈마 소스(210)는 가스들, 및/또는 업스트림 원격 플라즈마 소스에 의해 이온화된 가스들을 플라즈마 소스 가스들(212)로서 RF 전극(215)을 통해 도입한다. 가스 매니폴드(202)는 RF 전극(215)에 결합될 수 있고/있거나 RF 전극에 인접하여 배치될 수 있다. 프로세스 가스들은 가스 소스(132)로부터 가스 매니폴드(202)에 제공될 수 있다. 가스 소스(132)로부터의 프로세스 가스들은 피드-스루 부재(208)를 통해 가스 매니폴드(202)에 들어갈 수 있다. 일 실시예에서, 피드-스루 부재(208)는 폴리테트라플루오로에틸렌과 같은 폴리머 재료로 형성될 수 있다. 유동 중심맞춤 인서트(flow centering insert)(204)는 가스 유입 튜브(126) 내에서 가스 매니폴드(202)에 인접하여 배치될 수 있다. 유동 중심맞춤 인서트(204)는 내부에 형성된 개구(206)를 갖는 링-유사 장치(ring like apparatus)일 수 있다. 개구(206)는 인서트(204)의 중심을 통해 형성될 수 있고, 개구(206)는 단일 애퍼쳐일 수 있거나 복수의 애퍼쳐일 수 있다. 단일 애퍼쳐 실시예에서, 개구(206)의 직경은 약 0.125 인치일 수 있다. 유동 중심맞춤 인서트(204)는 플라즈마 소스 모듈(102)의 플레이트 스택(101) 내에서의 프로세스 가스들의 동심 유동 분포를 개선할 수 있다.
RF 전극(215)은 소스 가스들의 유동을 재지향시켜서 가스 유동이 플라즈마 소스(210)에 걸쳐 균일(도 2의 도면에서 좌측으로부터 우측까지 균일)하게 하는 역할을 하는 페이스 플레이트(225) 및 제1 가스 확산기(220)에 전기적으로 결합될 수 있다. 본 명세서의 확산기들 또는 스크린들 전부는 전극들로서 특징지어질 수 있음에 유의해야 하는데, 왜냐하면 임의의 그러한 확산기들 또는 스크린들은 특정 전위에 연계될 수 있기 때문이다. 절연체(230)는 페이스 플레이트(225)를 포함하는 RF 전극(215)을 전기 접지로 유지되는 제2 확산기(235)로부터 전기적으로 절연한다. 제2 확산기(235)는 RF 전극(215)의 페이스 플레이트(225)의 반대를 향하는 제2 전극의 역할을 한다.
페이스 플레이트(225), 제2 확산기(235), 및 절연체(230)의 표면들은 제1 플라즈마 발생 공동을 정의하고, 그러한 제1 플라즈마 발생 공동에서, 제1 플라즈마(245)(즉, 원격 플라즈마)는 플라즈마 소스 가스들(212)이 존재하고 RF 에너지가 RF 전극(215)을 통해 페이스 플레이트(225)에 제공될 때 생성될 수 있다. RF 전극(215), 페이스 플레이트(225), 및 제2 확산기(235)는 임의의 전도체로 형성될 수 있고, 실시예들에서는, 알루미늄(또는 공지된 "6061" 합금 타입과 같은 알루미늄 합금)으로 형성된다.
제1 플라즈마(245)에 직접 면하는 페이스 플레이트(225) 및 제2 확산기(235)의 표면들은 플라즈마(245) 내에 발생되는 활성 플라즈마 생성물들에 의한 충격에 대한 저항성을 위해, 예를 들어 이트리아(Y2O3) 또는 알루미나(Al2O3)의 세라믹 층들로 코팅될 수 있다. 세라믹 코팅은 전자 빔 코팅 프로세스, 양극산화 프로세스(anodization process), 및/또는 비-공극 양극산화 프로세스(non-pore anodization process)에 의해 형성될 수 있다. 다른 적절한 코팅들은 예를 들어 농축된 HNO3 용액에의 노출에 의한 표면 산화 프로세스들, 및 니켈 도금 코팅들을 포함한다. 플라즈마에 반드시 직접 노출되지는 않지만 플라즈마들에 의해 발생되는 반응성 가스들 및/또는 라디칼들에 노출되는 페이스 플레이트(225) 및 제2 확산기(235)의 다른 표면들은 화학적 저항성을 위해 세라믹 층들(예를 들어, 이트리아, 알루미나)로, 또는 적절한 패시베이션 층(예를 들어, 양극산화된 층, 또는 화학적으로 발생된 알루미나 층)으로 코팅될 수 있다. 절연체(230)는 임의의 절연체일 수 있고, 특정 실시예들에서는 세라믹 재료로 형성된다.
제1 플라즈마(245) 내에서 발생된 플라즈마 생성물들은 플라즈마 생성물들의 균일한 분산을 촉진하는 것을 다시 돕고 전자 온도 제어에 도움을 줄 수 있는 제2 확산기(235)를 통과한다. 제2 확산기(235)를 통과하면, 플라즈마 생성물들은 균일성을 촉진하는 가스 분산 디바이스(260)를 통과한다. 가스 분산 디바이스(260)는 또한 전기 접지로 유지된다. 가스 분산 디바이스(260)를 완전하게 관통하는 애퍼쳐들은 제2 확산기(235) 내의 애퍼쳐들의 직경의 적어도 3배인 직경을 갖는다. 또한, 가스 분산 디바이스(260)는 플라즈마 생성물들이 프로세스 영역(112)에 진입할 때, 하나 이상의 추가의 가스[155(2)]를 플라즈마 생성물들에 도입하기 위해 이용될 수 있는 추가 가스 채널들(250)을 포함한다[즉, 가스들[155(2)]은 가스 분산 디바이스(260) 중 제2 확산기(235)로부터 멀리 떨어진 쪽으로부터만 나온다]. 가스 분산 디바이스(260)는 또한 알루미늄 또는 알루미늄 합금으로 이루어질 수 있고, 위에서 논의된 페이스 플레이트(225) 및 제2 확산기(235)와 마찬가지로, 화학적 저항성을 위해 패시베이션 층으로 적어도 코팅될 수 있거나, 세라믹 층으로 코팅될 수 있다.
가열 요소(262)는 플라즈마 소스 모듈(102)의 플레이트 스택(101) 내에 배치될 수 있다. 가열 요소(262)는 저항성 가열기 또는 그와 유사한 것과 같은 나선 형상 가열기일 수 있다. 가열 요소(262)는 도시된 바와 같이 가스 분산 디바이스(260) 내에 형성된 홈 내에 배치될 수 있거나, 제2 확산기(235) 내에 형성된 홈 내에 배치될 수 있다. 대안적으로, 가열 요소(262)는 플라즈마 차단 스크린(270)을 향하여 가스 분산 디바이스(260) 내에 형성된 홈 내에 배치될 수 있다. 다른 실시예에서, 가열 요소(262)는 가스 분산 디바이스(260)를 향하여 플라즈마 차단 스크린(270) 내에 형성된 홈 내에 배치될 수 있다. 가열 요소(262)는 플레이트 스택(101)에 걸친 대칭적 열 분포를 개선하고, 제1 플라즈마(245) 및/또는 플라즈마 생성물들(즉, 라디칼들)의 유지를 용이하게 하도록 구성될 수 있다.
제1 플라즈마(245)로부터의 가스들[155(1), 155(2)] 및/또는 플라즈마 생성물들은 플레넘 공동(265)에 들어간 다음, 플라즈마 차단 스크린(270)을 통해 프로세스 영역(112)으로 간다. SPI 샤워헤드라고도 알려져 있는 플라즈마 차단 스크린(270)은 약 0.01 인치 내지 약 1.0 인치 범위의 두께를 가질 수 있고, 업스트림 소스들로부터의 가스들 및 플라즈마 생성물들이 프로세스 영역(112) 내로 통과하는 것을 허용하도록 구성된 다수의 작은 애퍼쳐들이 내부에 형성될 수 있다. 플라즈마 차단 스크린(270)의 애퍼쳐들은 일반적으로 고 종횡비 홀들이고, 애퍼쳐들의 홀 직경은 약 0.01 인치 내지 약 0.25 인치일 수 있다. 아래에 상세하게 논의되는 바와 같이, 플라즈마 차단 스크린(270)은 업스트림 컴포넌트들로부터의 플라즈마 생성물들 및 다운스트림 플라즈마들을 실질적으로 차단한다. 실시예들에서, 플라즈마 차단 스크린(270)은 유리하게는 중심 영역 내에서 제곱 인치 당 적어도 10개의 애퍼쳐를 형성할 수 있고, 특정 실시예들에서는 제곱 인치 당 30개 이상의 애퍼쳐를 형성할 수 있다.
가스 분산 디바이스(260)와 마찬가지로, 플라즈마 차단 스크린(270)은 또한 전기 접지로 유지된다. 위에서 논의된 페이스 플레이트(225) 및 제2 확산기(235)와 마찬가지로, 플라즈마에 직접 노출되는 플라즈마 차단 스크린(270)의 표면들은 유리하게는 세라믹(예를 들어, 알루미나 또는 이트리아)으로 코팅되는 한편, 플라즈마에 직접 노출되지 않는 표면들은 또한 세라믹으로 코팅될 수 있고, 유리하게는 반응성 가스들 및 활성화된 종들에 대한 화학적 저항성을 위해 패시베이션 층으로 적어도 코팅된다. 일 실시예에서, 코팅이 손상되거나 감소된 효율로 동작하는 경우의 코팅의 효율적인 교체를 가능하게 하고, 결함(defectivity)을 감소시키기 위해, 실리콘 재료를 포함하는 분리가능한 코팅이 플라즈마 차단 스크린(270) 상에 배치될 수 있다.
위에서 설명된 바와 같이 생성된 가스들 및/또는 플라즈마 생성물들 전부가 프로세스 영역(112) 내에서 기판(116)과 반응하고, 제2 플라즈마(275)(즉, 직접 플라즈마)가 프로세스 영역(112) 내에서 발생될 수 있다. 플라즈마가 프로세스 영역(112) 내에 요구되는 경우, 제2 확산기(235)는 전기 접지로 유지되므로, 제2 플라즈마(275)를 생성하기 위한 RF 전력은 기판 지지체 어셈블리(118)에 적용된다. 또한, 기판(116)의 방향성(이방성) 에칭을 용이하게 하기 위해, 제2 플라즈마(275) 내에서 발생된 이온들을 조종하도록 DC 바이어스가 기판 지지체 어셈블리(118)에 인가될 수 있다. 일 실시예에서, 바이어스의 인가로 인해 혜택을 받지 않는 다양한 다른 처리 파라미터들이 이용되는 경우에는 바이어싱이 불필요할 수 있으므로, 0 DC 바이어스가 또한 이용될 수 있다. 플라즈마를 선택된 시간들에서는 프로세스 영역(112) 내에서 발생시키고 다른 시간들에서는 발생시키지 않기 위해, 기판 지지체 어셈블리(118)는 RF 및/또는 DC 바이어스 소스들과 스위칭가능하게 연결될 수 있다. 기판 지지체 어셈블리(118)는 페이스 플레이트(225)와 제2 확산기(235) 사이에 제1 플라즈마(245)를 발생시키기 위해 이용되는 것과 동일한 RF 전력 공급부[전력 공급부(124)]와 연결될 수 있거나, 다른 RF 전력 공급부(도시되지 않음)와 연결될 수 있다.
플라즈마 차단 스크린(270)의 사용, RF 전력 및/또는 DC 바이어스를 기판 홀더(135)에 제공함으로써 플라즈마를 발생시킬지, 아니면 그러한 플라즈마를 발생시키지 않을지를 선택하는 능력, 및 본 명세서에 설명된 다른 특징들은 플라즈마 소스 모듈(102)을 이용할 때의 응용 유연성을 제공한다. 예를 들어, 제1 시간에서, 플라즈마 소스 모듈(102)[챔버(100) 상에 구현되고 챔버와 협력함]은 플라즈마가 프로세스 영역(112) 내에서 발생되지 않는 모드에서 작동될 수 있다. 제1 시간에서, 플라즈마 소스 모듈(102)의 업스트림 부분들에 의해 제공되는 가스들 및/또는 플라즈마 생성물들은 등방성 에칭을 제공할 수 있고, 기판 지지체 어셈블리(118)는 DC 접지로 유지될 수 있다[그러나, 기판(116)의 정전 척킹을 제공하기 위해 기판 지지체 어셈블리(118)의 공간 부분들에 걸쳐 DC 오프셋이 제공될 수 있다]. 제2 시간에서, 플라즈마 소스 모듈(102)은 플라즈마가 프로세스 영역(112) 내에서 발생되는 모드로 작동될 수 있고, 그 플라즈마 생성물들은 플라즈마 차단 스크린(270)과 기판 지지체 어셈블리(118) 사이의 DC 바이어스에 의해 조종될 수 있다. 제2 시간에서, DC 바이어스에 의해 조종되는 플라즈마 생성물들은 예를 들어 기판(116) 상의 광범위한 표면 퇴적물들을 제거하는 한편 측벽들은 남겨두기 위해, 또는 기판(116) 내의 깊은 트렌치들 내의 재료들을 없애기 위해 이방성 에칭을 제공할 수 있다. 상술한 설명은 바이어싱을 포함하지만, 본 명세서에 설명된 실시예들은 특정 실시예들에서 DC 바이어스를 이용하지 않을 수 있다는 점에 유의해야 한다. 플라즈마 차단 스크린(270)의 피쳐들은 도 3에 상세하게 도시된, 도 2에 A로 표시된 부분의 확대도에 더 상세하게 도시되어 있다.
도 3은 도 2에 표시된 영역 A를 개략적으로 도시한다. 도 2에서와 같이, 기판(116)은 프로세스 영역(112) 내의 기판 지지체 어셈블리(118) 상에 도시된다. 가스들(155) 및/또는 이전에 형성된 플라즈마 생성물들은 플라즈마 차단 스크린(270)을 통해 프로세스 영역(112) 내로 유동되고, 거기에서 제2 플라즈마(275)가 형성된다. 앞에서 언급된 바와 같이, 플라즈마 차단 스크린(270)은 전기 접지로 유지된다. RF 에너지, 및 선택적인 DC 바이어스는 제2 플라즈마(275)를 위한 에너지를 제공하기 위해 기판 지지체 어셈블리에 인가된다. 프로세스 영역(112) 내에 반응성 종들 및 이온 충격 소스들 둘 다가 존재하는 것으로 인해, 프로세스 영역(112)의 내부 표면들은 그러한 소스들의 공격들에 저항할 수 있는 재료들(일반적으로 세라믹이지만 그에 한정되지 않음)을 구비한다. 또한, 제2 플라즈마(275) 내로의 RF 전력 전달을 최대화하기 위해, 재료들은 DC 관점 및 AC 관점 모두에서 전기장 분포를 관리하도록 선택될 수 있다.
예를 들어, 기판 지지체 어셈블리(118)는 알루미나 또는 알루미늄 질화물로 코팅될 수 있고, 플라즈마 차단 스크린(270)은 알루미나 또는 이트리아로 코팅될 수 있다. 기판 지지체 어셈블리(118)의 에지에서 횡방향 전기장들을 감소시키기 위해, 선택적인 세라믹 스페이서(350) 및/또는 선택적인 세라믹 펌핑 라이너(370)가 이용될 수 있다. 세라믹 스페이서(350) 및 세라믹 펌핑 라이너(370)는 프로세스 영역(112)의 주변부 주위로는 연장되지만 프로세스 영역(112)의 중심 영역은 가로지르지 않도록 링 형상이며, 유리하게는 고순도 알루미나, 실리콘 질화물, 및/또는 실리콘 탄화물과 같은 저 손실 탄젠트 재료들(low loss tangent materials)로 제조된다. 0.1 내지 0.0001 범위 내의 손실 탄젠트들을 갖는 재료들은 유용한 결과들을 제공하는 한편, 0.005 내지 0.001 범위 내의 손실 탄젠트들을 갖는 재료들은 적당한 비용으로 높은 성능 범위를 나타낸다.
플라즈마 차단 스크린(270) 및 세라믹 스페이서(350) 둘 다의 일부분들은 도시된 바와 같이 접지된 리프트 플레이트(390)의 일부분의 최정상에 배치될 수 있고, 그로부터 기계적 지지를 얻는다. 리프트 플레이트(390)는 플라즈마 차단 스크린(270), 세라믹 스페이서(350), 및 다른 상부 구조물들과 기계적으로 연결될 수 있고, 그에 의해 그러한 구조물들 전부를 조립 및/또는 유지보수 목적으로 기판 지지체 어셈블리(118)의 부근으로부터 들어올리는 것을 가능하게 한다. 플라즈마 차단 스크린(270)은 리프트 플레이트(390)와의 접촉을 통해 전기 접지된다. 세라믹 스페이서(350)가 프로세스 영역(112)의 주변부 주위에서 방위각 방향으로 플라즈마 차단 스크린(270)과 리프트 플레이트(390)의 지속적인 접촉을 차단하지 않을 것을 보장하기 위해, 세라믹 스페이서(350)의 두께는 플라즈마 차단 스크린(270)과 세라믹 스페이서(350) 사이에 갭(360)을 남기도록 제어된다.
저 손실 탄젠트 유전체 재료들의 세라믹 펌핑 라이너(370) 및 세라믹 스페이서(350)를 형성하는 것은 (예를 들어, 그러한 항목들을 세라믹 코팅을 갖는 알루미늄으로 제조하는 것과 비교하여) 비교적 비용이 많이 들지만, 기판 지지체 어셈블리(118)의 에지들에서의 전기장 효과들을 감소시키고, 제2 플라즈마(275)가 프로세스 영역(112) 내에서 발생될 때 반사되는 RF 전력을 감소시킨다. 세라믹 스페이서(350) 및 세라믹 펌핑 라이너(370)를 대용하면, 동일한 위치들에서 이용되는 등가의 알루미늄 부품들과 비교하여 이온 충격 관련 오염이 감소된다. 따라서, 세라믹 스페이서(350) 및 세라믹 펌핑 라이너(370)의 이용은 플라즈마 및 프로세스 안정성을 촉진하고, 오염을 감소시킨다.
기판 지지체 어셈블리(118)/기판(116)과 플라즈마 차단 스크린(270) 사이의 전기장들은 강하고 방향이 균일한 것이 유리한데, 왜냐하면 전기장들은 이방성 에칭에 관여하는 이온들을 조종하기 때문이다. 즉, 수직 트렌치들의 저부에서 재료를 없애기 위해, 이온들을 조종하는 전기장들은 그에 대응하여 수직이도록 구성된다. 세라믹 스페이서(350) 및 세라믹 펌핑 라이너(370)를 통해, 기판 지지체 어셈블리(118)와 접지된 리프트 플레이트(390) 사이에 약한 전기장이 존재한다. 이러한 전기장들은 기판 지지체 어셈블리(118)와 리프트 플레이트(390) 사이에 삽입된 세라믹 펌핑 라이너(370)와 세라믹 스페이서(350)의 유전체 재료들에 의해 약해진다. 기판 지지체 어셈블리(118)의 에지들에서의 옆을 향하는(sideways) 전기장들을 약하게 하는 것은 2개의 이점을 갖는다: (1) 전기장 방향성, 및 그에 따른 에칭 방향성이 기판(116)의 에지들 밖에서 유지되고, (2) 약한 전기장들은 높은 전기장들에 비해 더 적은 스퍼터링 손상을 발생시킨다.
도 4는 본 명세서에 설명된 실시예들에 따른 처리 챔버(100)를 개략적으로 도시한다. 챔버(100)는 플라즈마 소스 모듈(102) 및 챔버 모듈(110)을 포함한다. 플라즈마 소스 모듈(102)은 도 2에 관련하여 설명된 것과 같은 라디칼 플라즈마 소스일 수 있다. 일 실시예에서, 제1 플라즈마(245)는 챔버(100)의 플라즈마 소스 모듈(102) 내에서 발생될 수 있다. 챔버(100)는 또한 다른 컴포넌트들 중에서도 특히, 도 1과 관련하여 설명된 직접 플라즈마 소스일 수 있는 챔버 모듈(110)을 포함한다. 일 실시예에서, 제2 플라즈마(275)는 챔버 모듈(110)의 프로세스 모듈(104) 내에서 발생될 수 있다. 플라즈마 소스 모듈(102) 내에서 발생된 제1 플라즈마(245)로부터의 라디칼들은 챔버 모듈(110)에 전달될 수 있고, 챔버 모듈(110) 내에서 발생된 제2 플라즈마(275)에 의해 발생된 이온들과 함께 이용될 수 있음이 예상된다. 도시된 바와 같이, 기판(116)은 챔버 모듈(110) 내에 위치될 수 있고, 제1 플라즈마 라디칼들 및 제2 플라즈마 이온 중 하나 또는 둘 다를 이용하는 순환적 에칭 프로세스들이 수행될 수 있다.
본 명세서에 설명된 장치에 의해 가능해지는 방법들은 순환적 에칭 프로세스들을 포함한다. 일 실시예에서, 제1 동작에서 기판 상의 하나 이상의 재료 층을 변경하기 위해, 이온들을 포함하는 직접 플라즈마[즉, 제2 플라즈마(275)]가 이용될 수 있다. 제2 동작에서, 변경된 재료 층들은 원격 플라즈마[즉, 제1 플라즈마(245)]에 의해 발생되는 라디칼들에 의해 제거될 수 있다. 제1 및 제2 동작은 원하는 에칭 프로파일이 달성될 때까지 1회 이상 반복될 수 있다.
상술한 것은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 처리 챔버 장치로서,
    프로세스 영역을 정의하고, 내부에 직접 플라즈마를 발생시키도록 구성된 챔버 바디;
    상기 프로세스 영역 내에 배치된 정전 척을 포함하는 기판 지지체 어셈블리;
    상기 챔버 바디에 결합된 플레이트 스택을 포함하는 소스 모듈 - 상기 플레이트 스택은 상기 프로세스 영역을 더 정의하고, 내부에 원격 플라즈마를 발생시키도록 구성됨 - ;
    상기 챔버 바디에 결합된 유동 모듈; 및
    상기 유동 모듈에 결합된, 대칭형 유동 밸브, 및 대칭형 터보 분자 펌프(turbo molecular pump)를 포함하는 배기 모듈
    을 포함하고, 상기 챔버 바디, 상기 소스 모듈, 상기 유동 모듈, 및 상기 배기 모듈은 기판을 대칭적으로 처리하도록 구성되는 처리 챔버 장치.
  2. 제1항에 있어서, 상기 소스 모듈은,
    RF 전원;
    RF 전극;
    가스 소스;
    가스 매니폴드; 및
    가스 유입 튜브
    를 더 포함하는, 처리 챔버 장치.
  3. 제2항에 있어서, 유동 중심맞춤 인서트(flow centering insert)는 상기 가스 유입 튜브 내에서 상기 가스 매니폴드에 인접하여 배치되는, 처리 챔버 장치.
  4. 제1항에 있어서, 상기 플레이트 스택은,
    제1 확산기;
    페이스 플레이트;
    세라믹 링;
    제2 확산기;
    가스 분산 디바이스; 및
    플라즈마 차단 스크린
    을 포함하는, 처리 챔버 장치.
  5. 제4항에 있어서, 원격 플라즈마는 상기 페이스 플레이트와 상기 제2 확산기 사이에서 발생되는, 처리 챔버 장치.
  6. 제4항에 있어서, 상기 플라즈마 차단 스크린은 직접 플라즈마 생성물들이 상기 플레이트 스택으로 유동하는 것을 방지하도록 구성되는, 처리 챔버 장치.
  7. 제4항에 있어서, 상기 제1 확산기, 상기 페이스 플레이트, 상기 세라믹 링, 상기 제2 확산기, 상기 가스 분산 디바이스, 및 상기 플라즈마 차단 스크린 각각은 이트리아 또는 알루미나를 포함하는 세라믹 코팅으로 코팅되는, 처리 챔버 장치.
  8. 처리 챔버 장치로서,
    프로세스 영역을 정의하는 챔버 바디;
    상기 프로세스 영역 내에 배치된 정전 척을 포함하는 기판 지지체 어셈블리;
    상기 챔버 바디에 결합된 플레이트 스택을 포함하는 소스 모듈 - 상기 플레이트 스택은,
    제1 확산기;
    페이스 플레이트;
    세라믹 링;
    제2 확산기;
    가스 분산 디바이스; 및
    플라즈마 차단 스크린
    을 포함함 - ;
    상기 챔버 바디에 결합된 유동 모듈; 및
    상기 유동 모듈에 결합된 배기 모듈
    을 포함하는 처리 챔버 장치.
  9. 제8항에 있어서, 상기 소스 모듈은 RF 소스 및 가스 소스를 더 포함하는, 처리 챔버 장치.
  10. 제9항에 있어서, 상기 RF 소스는 상기 플레이트 스택 내에서 제1 플라즈마를 발생시키도록 구성되는, 처리 챔버 장치.
  11. 제10항에 있어서, 상기 RF 소스는 상기 프로세스 영역 내에서 제2 플라즈마를 발생시키도록 구성되는, 처리 챔버 장치.
  12. 제9항에 있어서, 상기 가스 소스는 하나 이상의 프로세스 가스를 상기 플레이트 스택 또는 상기 프로세스 영역에 전달하도록 구성되는, 처리 챔버 장치.
  13. 제12항에 있어서, 상기 프로세스 가스들은 H2, He, Ar, O2, NF3, NH3, N2, N2O, H2O, SiF4, SiH4, SiCl4, 플루오로카본 프리커서들, 및 그들의 조합들로 이루어진 그룹으로부터 선택되는, 처리 챔버 장치.
  14. 제8항에 있어서, 상기 배기 모듈은 대칭형 유동 밸브 및 터보 분자 펌프를 포함하는, 처리 챔버 장치.
  15. 기판을 처리하는 방법으로서,
    처리 챔버의 처리 영역 내에 직접 플라즈마를 발생시키는 단계;
    상기 기판의 층을 변경(modify)시키기 위해, 상기 처리 영역 내의 기판 지지체 어셈블리 상에 배치된 기판을 상기 직접 플라즈마에 의해 발생되는 이온들에 노출시키는 단계;
    상기 처리 챔버에 결합된 소스 모듈 내에 원격 플라즈마를 발생시키는 단계;
    변경된 층을 상기 기판으로부터 제거하기 위해, 상기 기판의 상기 변경된 층을 상기 원격 플라즈마에 의해 발생된 라디칼들에 노출시키는 단계; 및
    상기 기판을 이온들에 노출시키는 단계 및 상기 기판을 라디칼들에 노출시키는 단계를 반복하는 단계
    를 포함하는 방법.
KR1020177027489A 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버 KR102451502B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227034180A KR102600919B1 (ko) 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562214902P 2015-09-04 2015-09-04
US62/214,902 2015-09-04
US14/994,425 2016-01-13
US14/994,425 US11004661B2 (en) 2015-09-04 2016-01-13 Process chamber for cyclic and selective material removal and etching
PCT/US2016/045202 WO2017039920A1 (en) 2015-09-04 2016-08-02 Process chamber for cyclic and selective material removal and etching

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227034180A Division KR102600919B1 (ko) 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버

Publications (2)

Publication Number Publication Date
KR20180038412A true KR20180038412A (ko) 2018-04-16
KR102451502B1 KR102451502B1 (ko) 2022-10-06

Family

ID=58188937

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177027489A KR102451502B1 (ko) 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버
KR1020227034180A KR102600919B1 (ko) 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227034180A KR102600919B1 (ko) 2015-09-04 2016-08-02 순환적 선택적 재료 제거 및 에칭을 위한 프로세스 챔버

Country Status (6)

Country Link
US (2) US11004661B2 (ko)
JP (3) JP6854768B2 (ko)
KR (2) KR102451502B1 (ko)
CN (1) CN107408486B (ko)
TW (3) TWI751637B (ko)
WO (1) WO2017039920A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220082241A (ko) * 2020-12-10 2022-06-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
KR101945378B1 (ko) 2017-06-27 2019-02-07 주식회사 포스코 합금 코팅 강판 및 이의 제조방법
WO2020028064A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. On stack overlay improvement for 3d nand
JP7240958B2 (ja) 2018-09-06 2023-03-16 東京エレクトロン株式会社 プラズマ処理装置
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
US11199267B2 (en) 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
US20210159052A1 (en) 2019-11-27 2021-05-27 Applied Materials, Inc. Processing Chamber With Multiple Plasma Units
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
JP7378317B2 (ja) * 2020-02-26 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130016269A (ko) * 2010-03-10 2013-02-14 어플라이드 머티어리얼스, 인코포레이티드 주기적인 산화 및 에칭을 위한 장치 및 방법
CN103094045A (zh) * 2011-10-05 2013-05-08 应用材料公司 对称等离子体处理室
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御
US20170062184A1 (en) * 2015-08-27 2017-03-02 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02120833U (ko) * 1989-03-17 1990-09-28
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
WO2000070117A1 (en) 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100658356B1 (ko) 2005-07-01 2006-12-15 엘지전자 주식회사 플라즈마 디스플레이 패널의 구동장치 및 그 구동방법
US20070193575A1 (en) 2006-02-21 2007-08-23 Horng-Yi Jan Container having a heat concentration assembly securely formed on a bottom of the container
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR100690961B1 (ko) 2006-06-30 2007-03-09 삼성전자주식회사 이동통신단말기의 문자 입력 방법 및 그 장치
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
AT507069B1 (de) 2008-12-23 2010-02-15 Siemens Vai Metals Tech Gmbh Verfahren und vorrichtung zur kontrolle von vibrationen eines metallurgischen gefässes
US8282042B2 (en) 2009-06-22 2012-10-09 The Boeing Company Skin panel joint for improved airflow
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130007307A (ko) 2011-06-30 2013-01-18 삼성디스플레이 주식회사 유기 발광 표시 장치
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
WO2015023435A1 (en) 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
USD948658S1 (en) 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130016269A (ko) * 2010-03-10 2013-02-14 어플라이드 머티어리얼스, 인코포레이티드 주기적인 산화 및 에칭을 위한 장치 및 방법
CN103094045A (zh) * 2011-10-05 2013-05-08 应用材料公司 对称等离子体处理室
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御
US20170062184A1 (en) * 2015-08-27 2017-03-02 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220082241A (ko) * 2020-12-10 2022-06-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
JP7175339B2 (ja) 2022-11-18
US11004661B2 (en) 2021-05-11
KR102451502B1 (ko) 2022-10-06
JP7425160B2 (ja) 2024-01-30
US11728139B2 (en) 2023-08-15
JP6854768B2 (ja) 2021-04-07
TW202116116A (zh) 2021-04-16
TWI704845B (zh) 2020-09-11
US20170069466A1 (en) 2017-03-09
US20210217591A1 (en) 2021-07-15
TWI751637B (zh) 2022-01-01
KR102600919B1 (ko) 2023-11-13
JP2018533192A (ja) 2018-11-08
JP2023027054A (ja) 2023-03-01
CN107408486B (zh) 2020-07-03
CN107408486A (zh) 2017-11-28
JP2021108378A (ja) 2021-07-29
WO2017039920A1 (en) 2017-03-09
TW202211733A (zh) 2022-03-16
TW201722212A (zh) 2017-06-16
KR20220138422A (ko) 2022-10-12

Similar Documents

Publication Publication Date Title
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
US11049755B2 (en) Semiconductor substrate supports with embedded RF shield
JP2018082150A (ja) 改善したプロファイルを有するデュアルチャネルシャワーヘッド
US11114284B2 (en) Plasma reactor with electrode array in ceiling
US10446418B2 (en) Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
US10854432B2 (en) Rotary plasma electrical feedthrough
KR20050008066A (ko) 반도체 소자 제조용 플라즈마 반응기

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right