KR20180035980A - Focus ring of plasma etcher - Google Patents

Focus ring of plasma etcher Download PDF

Info

Publication number
KR20180035980A
KR20180035980A KR1020160125544A KR20160125544A KR20180035980A KR 20180035980 A KR20180035980 A KR 20180035980A KR 1020160125544 A KR1020160125544 A KR 1020160125544A KR 20160125544 A KR20160125544 A KR 20160125544A KR 20180035980 A KR20180035980 A KR 20180035980A
Authority
KR
South Korea
Prior art keywords
focus ring
plasma
substrate
present
etching
Prior art date
Application number
KR1020160125544A
Other languages
Korean (ko)
Inventor
염근영
박성우
이호석
양경채
Original Assignee
성균관대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 성균관대학교산학협력단 filed Critical 성균관대학교산학협력단
Priority to KR1020160125544A priority Critical patent/KR20180035980A/en
Publication of KR20180035980A publication Critical patent/KR20180035980A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

The present invention relates to a focus ring of a plasma etcher. According to the present invention, the focus ring of the plasma etcher is formed around a substrate to induce plasma to be concentrated on an upper part of the substrate. The focus ring is formed of materials having different dielectric constants, thereby functioning as the focus ring as well as preventing the focus ring from being etched by the plasma.

Description

플라즈마 식각장치의 포커스 링{FOCUS RING OF PLASMA ETCHER}FIELD OF THE INVENTION [0001] The present invention relates to a focus ring of a plasma etching apparatus,

본 발명은 플라즈마 식각장치의 포커스 링에 관한 것으로서, 보다 상세하게는 플라즈마 식각장치의 기판 주위에 형성되어 플라즈마가 기판의 상부 전면적에 집중되도록 유도하며 포커스 링의 식각을 감소시키는 플라즈마 식각장치의 포커스 링에 관한 것이다.The present invention relates to a focus ring of a plasma etching apparatus, and more particularly, to a focus ring of a plasma etching apparatus which is formed around a substrate of a plasma etching apparatus to induce plasma to be concentrated on an upper surface of a substrate, .

플라즈마 식각장치에 사용되는 포커스 링은 플라즈마의 확산을 방지하고, 식각시에 기판의 상부에 플라즈마를 한정시키도록 하여 기판의 가장자리를 포함하여 전면적에 대해서 균일한 식각 특성을 얻을 수 있도록 한다. The focus ring used in the plasma etching apparatus prevents diffusion of the plasma and confines the plasma at the top of the substrate at the time of etching so as to obtain the uniform etching property over the entire surface including the edge of the substrate.

포커스 링은 기판을 지지하며 전극을 형성하는 기판 지지대의 상부에서 기판을 둘러싸도록 형성되는데, 플라즈마의 유동을 기판의 표면상으로 유도시켜 플라즈마를 이용한 식각 공정이 진행될 때 포커스 링도 함께 식각될 수가 있다. 이러한 식각 부산물은 기판, 포커스 링, 정전기 척(ESC)를 오염시켜 설비의 수율, 가동율 및 신뢰도를 저하시키는 문제점을 발생하였다. 또한, 포커스 링의 식각에 따른 교체 비용이 증가하는 문제점도 발생하였다.The focus ring is formed to surround the substrate at the top of the substrate support supporting the substrate and inducing the flow of the plasma onto the surface of the substrate so that the focus ring can also be etched together with the plasma as the etching process proceeds . Such etch byproducts contaminate the substrate, focus ring, and electrostatic chuck (ESC), resulting in lower yield, availability, and reliability of the equipment. Further, there is a problem that the replacement cost due to the etching of the focus ring increases.

따라서, 본 발명의 목적은 이와 같은 종래의 문제점을 해결하기 위한 것으로서, 서로 다른 유전율을 가지는 물질로 포커스 링을 형성하여 플라즈마 시스(plasma sheath)의 전압 특성을 변화시켜 포커스 링에 입사하는 플라즈마의 거동을 조절할 수 있도록 하여 포커스 링의 식각을 억제할 수 있는 플라즈마 식각장치의 포커스 링을 제공함에 있다.SUMMARY OF THE INVENTION Accordingly, it is an object of the present invention to solve the above-mentioned problems of the prior art, and it is an object of the present invention to provide a plasma processing apparatus and a plasma processing method thereof, in which a focus ring is formed of a material having a different dielectric constant to change a voltage characteristic of a plasma sheath, And the focus ring can be controlled so that the focus ring can be prevented from being etched.

본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and other matters not mentioned can be clearly understood by those skilled in the art from the following description.

상기 목적은, 본 발명에 따라, 기판의 주위에 형성되어 상기 플라즈마가 상기 기판의 상부에 집중되도록 유도하는 플라즈마 식각장치의 포커스 링에 있어서, 상기 포커스 링은 유전율이 다른 물질로 형성되는 플라즈마 식각장치의 포커스 링에 의해 달성될 수가 있다. According to the present invention, there is provided a focus ring of a plasma etching apparatus formed around a substrate to induce the plasma to be concentrated on an upper portion of the substrate, wherein the focus ring is formed of a material having a different dielectric constant, As shown in FIG.

여기서, 상기 포커스 링의 외부는 유전율이 높은 물질로, 상기 포커스 링의 내부는 유전율이 낮은 물질로 형성되는 것이 바람직하다. Here, the outer portion of the focus ring may be formed of a material having a high dielectric constant, and the inner portion of the focus ring may be formed of a material having a low dielectric constant.

여기서, 상기 포커스 링의 내부는 에어갭으로 형성될 수가 있다. Here, the inside of the focus ring may be formed of an air gap.

상기한 바와 같은 본 발명의 플라즈마 식각장치의 포커스 링에 따르면 서로 다른 유전율을 갖는 물질을 이용하여 포커스 링을 형성하여 플라즈마 시스(plasma sheath)의 전압 특성을 변화시켜 포커스 링에 입사하는 플라즈마의 거동을 조절할 수 있도록 하여 포커스 링의 식각을 억제할 수 있어서 포커스 링의 수명을 향상시킬 수 있다는 장점이 있다. According to the focus ring of the plasma etching apparatus of the present invention described above, the focus ring is formed by using materials having different dielectric constants to change the voltage characteristics of the plasma sheath, So that it is possible to suppress the etching of the focus ring, thereby improving the life of the focus ring.

또한, 포커스 링의 식각시 발생하는 파티클에 의한 설비의 수율, 가동율 및 신뢰도가 낮아지는 문제점을 해결할 수 있다는 장점도 있다. Also, there is an advantage that the problem of lowering the yield, operating rate, and reliability of equipment due to particles generated when the focus ring is etched can be solved.

도 1은 본 발명의 일 실시예에 따른 플라즈마 식각장치를 개략적으로 도시한 단면도이다.
도 2의 (a)는 직육면체 형상의 포커스 링 구조체를 도 2의 (b)는 하면에 음각의 홈이 형성된 포커스 링 구조체를 도 2의 (c)는 내부에 관통하는 사각홀이 형성된 포커스 링 구조체를 각각 도시하는 상부 사시도 및 하부 사시도이다.
도 3은 도 2의 포커스 링 구조체에 따른 식각의 상태를 보여주는 사진이다.
도 4는 식각 시간을 늘린 경우 도 2의 (b)와 (c)의 경우 포커스 링에 증착이 일어남을 보여주는 사진이다.
1 is a cross-sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention.
Fig. 2 (a) shows a rectangular ring-shaped focus ring structure, Fig. 2 (b) shows a focus ring structure in which a recessed groove is formed on the lower surface, Respectively. As shown in FIG.
3 is a photograph showing the state of the etching according to the focus ring structure of FIG.
FIG. 4 is a photograph showing deposition in the focus ring in the case of FIGS. 2 (b) and 2 (c) when the etching time is increased.

실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.The details of the embodiments are included in the detailed description and the drawings.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다 BRIEF DESCRIPTION OF THE DRAWINGS The advantages and features of the present invention, and the manner of achieving them, will be apparent from and elucidated with reference to the embodiments described hereinafter in conjunction with the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. To fully disclose the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims. Like reference numerals refer to like elements throughout the specification.

이하, 본 발명의 실시예들에 의하여 플라즈마 식각장치의 포커스 링을 설명하기 위한 도면들을 참고하여 본 발명에 대해 설명하도록 한다.Hereinafter, the present invention will be described with reference to the drawings for explaining a focus ring of a plasma etching apparatus according to embodiments of the present invention.

도 1은 본 발명의 일 실시예에 따른 플라즈마 식각장치를 개략적으로 도시한 단면도이고, 도 2의 (a)는 직육면체 형상의 포커스 링 구조체를 도 2의 (b)는 하면에 음각의 홈이 형성된 포커스 링 구조체를 도 2의 (c)는 내부에 관통하는 사각홀이 형성된 포커스 링 구조체를 각각 도시하는 상부 사시도 및 하부 사시도이고, 도 3은 도 2의 포커스 링 구조체에 따른 식각의 상태를 보여주는 사진이고, 도 4는 식각 시간을 늘린 경우 도 2의 (b)와 (c)의 경우 포커스 링에 증착이 일어남을 보여주는 사진이다. FIG. 1 is a cross-sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention. FIG. 2 (a) is a rectangular ring-shaped focus ring structure, 2 (c) is a top perspective view and a bottom perspective view respectively showing a focus ring structure in which a square hole passing through the focus ring structure is formed, Fig. 3 is a photograph showing a state of the etching according to the focus ring structure of Fig. 2 And FIG. 4 is a photograph showing deposition in the focus ring in case of FIGS. 2 (b) and 2 (c) when the etching time is increased.

본 발명의 포커스 링이 사용되는 플라즈마 식각장치(100)는 챔버(110)의 하부에서 정전척으로 기판(S)을 지지하며 동시에 플라즈마 생성을 위한 전극의 역할을 하는 하부 전극(120)과 챔버(110)의 상부에 형성되는 상부 전극(130) 사이에 공급되는 고주파 전원에 의해 챔버(110) 내부로 공급되는 기체로부터 플라즈마를 발생시킨다. The plasma etching apparatus 100 in which the focus ring of the present invention is used includes a lower electrode 120 that supports the substrate S in an electrostatic chuck at a lower portion of the chamber 110 and serves as an electrode for generating plasma, The plasma is generated from the gas supplied into the chamber 110 by the high frequency power supplied between the upper electrode 130 formed on the upper portion of the chamber 110.

챔버(110)의 바닥부에는 배기관(140)이 형성될 수가 있고, 배기관(140)에는 진공 펌프(미도시)가 연결되어 형성될 수가 있다. 진공 펌프는 플라즈마 발생시 챔버(110) 내부를 감압시킴과 동시에 플라즈마에 의한 공정이 끝난 이후에 챔버(110) 내부의 미처리 가스를 흡입하여 배기관(140)을 통해 배기시키도록 할 수가 있다.  An exhaust pipe 140 may be formed at the bottom of the chamber 110 and a vacuum pump may be connected to the exhaust pipe 140. The vacuum pump decompresses the inside of the chamber 110 at the time of plasma generation, and at the same time, it can suck the untreated gas inside the chamber 110 and exhaust the exhaust gas through the exhaust pipe 140 after the plasma process.

이때, 기판(S)의 외주면을 둘러싸도록 기판(S)의 주위에는 포커스 링(150)이 형성될 수가 있다. 포커스 링(150)은 식각 시에 기판(S)의 상부에 플라즈마를 한정시키는 역할을 하며 기판(S)의 가장자리를 포함하여 전면적에 대해서 균일한 식각 특성을 갖도록 한다. At this time, the focus ring 150 may be formed around the substrate S so as to surround the outer circumferential surface of the substrate S. The focus ring 150 serves to confine the plasma on the substrate S at the time of etching and includes the edge of the substrate S so as to have a uniform etching property with respect to the entire surface.

본 발명에서 포커스 링(150)은 도 1에 도시되어 있는 것과 같이 유전율이 상이한 두 물질을 이용하여 제작함으로써, 포커스 링(150)의 상부에 형성되는 플라즈마 시스(plasma sheath)의 전압 특성을 변화시켜 포커스 링(150) 쪽으로 입사하는 이온, 라디칼을 포함하는 플라즈마의 거동을 조절할 수 있도록 하여, 포커스 링(150)을 향하는 플라즈마를 최소화하여 포커스 링(150)의 식각을 억제시킬 수가 있다. In the present invention, the focus ring 150 is manufactured by using two materials having different dielectric constants as shown in FIG. 1, thereby changing the voltage characteristics of the plasma sheath formed on the focus ring 150 It is possible to control the behavior of the plasma including the ions and radicals incident on the focus ring 150 to minimize the plasma toward the focus ring 150 and suppress the etching of the focus ring 150. [

이때, 포커스 링의 외부(152)는 유전율이 높은 물질로 형성되고, 포커스 링의 내부(154)는 포커스 링의 외부(152)와 비교하여 유전율이 낮은 물질로 형성되는 것이 바람직하다. 이와 같은 구조에 의해 포커스 링(150)의 커패시턴스(capacitance)를 감소시키고, 플라즈마 시스에 걸리는 전압이 감소하는 효과가 나타날 수가 있으므로, 플라즈마에 의한 포커스 링(150)의 식각을 감소시켜 포커스 링(150)의 수명을 연장시킬 수가 있다. At this time, it is preferable that the outer portion 152 of the focus ring is formed of a material having a high dielectric constant, and the inner portion 154 of the focus ring is formed of a material having a lower dielectric constant than the outer portion 152 of the focus ring. Such a structure can reduce the capacitance of the focus ring 150 and reduce the voltage applied to the plasma sheath. Therefore, the etching of the focus ring 150 by the plasma is reduced, and the focus ring 150 ) Can be prolonged.

유전율이 높은 물질로 기존의 포커스 링(150)의 구성 물질인 Al2O3, SiC, BeO, BN, Y2O3, SiO2, AlN, CaO, BaTiO3 등의 물질을 사용할 수가 있고, 유전율이 낮은 물질로 테프론(Teflon)을 사용할 수가 있다. 또는 내부에 에어갭(air gap)을 형성할 수도 있다. Materials such as Al 2 O 3 , SiC, BeO, BN, Y 2 O 3 , SiO 2 , AlN, CaO and BaTiO 3 which are the constituent materials of the conventional focus ring 150 can be used. Teflon can be used for this low material. Or an air gap may be formed therein.

유전율이 낮은 물질을 사용할 경우 커패시턴스가 낮아지나, 보통 유전율이 낮은 물질은 내식각성(etch resistance)이 낮아서 포커스 링(150)으로서의 역할을 하기가 어렵다. 따라서, 본 발명에서는 유전율이 높은 물질과 유전율이 낮은 물질을 함께 사용하여 포커스 링(150)을 형성하도록 함으로써 상기와 같은 문제점을 해결하고자 한다. 보다 바람직하게는 포커스 링의 외부(152)는 유전율이 높은 물질을 사용하고 내부(154)는 유전율이 낮은 물질 또는 에어갭(air gap)을 형성하도록 함으로써, 기존의 포커스 링(150)으로서의 기능을 유지함과 동시에 포커스 링(150)의 식각을 억제할 수가 있다. When a material having a low dielectric constant is used, the capacitance is low. However, a material having a low dielectric constant generally has a low etch resistance, and thus it is difficult to serve as the focus ring 150. Accordingly, in the present invention, the focus ring 150 is formed by using a material having a high dielectric constant and a material having a low dielectric constant together to solve the above problems. More preferably, the outer portion 152 of the focus ring is made of a material having a high dielectric constant and the inner portion 154 is formed of a material having a low dielectric constant or an air gap to function as a conventional focus ring 150 The etching of the focus ring 150 can be suppressed.

전술한 바와 같이 본 발명에 따라 포커스 링(150)의 형상 또는 유전율이 상이한 물질을 이용하면 플라즈마에 의한 포커스 링(150)의 식각을 억제시킬 수가 있는데, 이를 검증하기 위해 다음과 같은 실험을 하였다. As described above, the etching of the focus ring 150 by plasma can be suppressed by using a material having a different shape or permittivity of the focus ring 150 according to the present invention.

유전율이 높은 A12O3를 사용하여 도 2와 같이 세가지 형상의 포커스 링 구조체를 설계하여, 각각의 구조체 위에 SiO2가 증착된 유리 기판(0.1cm 두께)를 올려두고 Ar/CF4 가스를 사용하여 식각을 진행하여, 포커스 링 구조체에 따른 특성을 비교하였다. 도 2의 형상을 가지는 Al2O3 구조체로 Ar/CF4 가스를 사용하여 같은 시간 동안 식각을 진행하였을 때, 일반적인 도 2의 (a) 포커스 링 구조체의 경우에는 72nm/min 식각 되었지만, 에어갭이 형성된 도 2의 (b) 및 (c)의 포커스 링 구조체의 경우에는 식각이 진행되지 않음을 도 3을 참고로 알 수 있다. As shown in Fig. 2, three types of focus ring structures were designed by using A1 2 O 3 having a high dielectric constant, and a glass substrate (0.1 cm thick) on which SiO 2 was deposited was placed on each structure, and Ar / CF 4 gas And the characteristics were compared according to the focus ring structure. When etching was performed for the same time using Ar / CF 4 gas as the Al 2 O 3 structure having the shape of FIG. 2, the focus ring structure of FIG. 2 (a) was etched at 72 nm / min, It can be seen from FIG. 3 that the etching does not proceed in the case of the focus ring structure of FIGS. 2 (b) and 2 (c).

또한, 식각 시간을 늘린 경우 에어갭이 형성된 도 2의 (b) 및 (c)의 포커스 링 구조체의 경우에는 플라즈마 시스에 걸리는 전압이 감소하여 오히려 증착이 일어나는 것을 도 4를 참고로 알 수가 있다. In addition, in the case of the focus ring structure of FIGS. 2 (b) and 2 (c) in which the air gap is formed when the etching time is increased, the voltage applied to the plasma sheath decreases and deposition is rather performed.

따라서, 본 발명에서와 같이 유전율이 상이한 두 물질을 사용하거나 에어갭을 형성하여 포커스 링(150)을 형성하는 경우, 포커스 링(150) 상부의 플라즈마 시스에 걸리는 전압을 감소시켜 포커스 링(150)의 식각을 억제할 수 있음을 알 수가 있다. Accordingly, when the focus ring 150 is formed by using two materials having different dielectric constants or by forming an air gap as in the present invention, the voltage applied to the plasma sheath on the focus ring 150 is reduced, Can be suppressed.

본 발명의 권리범위는 상술한 실시예에 한정되는 것이 아니라 첨부된 특허청구범위 내에서 다양한 형태의 실시예로 구현될 수 있다. 특허청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 누구든지 변형 가능한 다양한 범위까지 본 발명의 청구범위 기재의 범위 내에 있는 것으로 본다.The scope of the present invention is not limited to the above-described embodiments, but may be embodied in various forms of embodiments within the scope of the appended claims. It will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the appended claims.

100: 플라즈마 식각장치 110: 챔버
120: 하부 전극 130: 상부 전극
140: 배기관 150: 포커스 링
152: 포커스 링 외부 154: 포커스 링 내부
S: 기판
100: plasma etching apparatus 110: chamber
120: lower electrode 130: upper electrode
140: Exhaust pipe 150: Focus ring
152: focus ring outer 154: focus ring inner
S: substrate

Claims (3)

기판의 주위에 형성되어 상기 플라즈마가 상기 기판의 상부에 집중되도록 유도하는 플라즈마 식각장치의 포커스 링에 있어서,
상기 포커스 링은 유전율이 다른 물질로 형성되는 플라즈마 식각장치의 포커스 링.
A focus ring of a plasma etching apparatus formed around a substrate to induce the plasma to be concentrated on an upper portion of the substrate,
Wherein the focus ring is formed of a material having a different dielectric constant.
제 1 항에 있어서,
상기 포커스 링의 외부는 유전율이 높은 물질로, 상기 포커스 링의 내부는 유전율이 낮은 물질로 형성되는 플라즈마 식각장치의 포커스 링.
The method according to claim 1,
Wherein the focus ring is formed of a material having a high dielectric constant and the inside of the focus ring is formed of a material having a low dielectric constant.
제 2 항에 있어서,
상기 포커스 링의 내부는 에어갭으로 형성되는 플라즈마 식각장치의 포커스 링.
3. The method of claim 2,
Wherein the focus ring is formed by an air gap inside the focus ring.
KR1020160125544A 2016-09-29 2016-09-29 Focus ring of plasma etcher KR20180035980A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160125544A KR20180035980A (en) 2016-09-29 2016-09-29 Focus ring of plasma etcher

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160125544A KR20180035980A (en) 2016-09-29 2016-09-29 Focus ring of plasma etcher

Publications (1)

Publication Number Publication Date
KR20180035980A true KR20180035980A (en) 2018-04-09

Family

ID=61978194

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160125544A KR20180035980A (en) 2016-09-29 2016-09-29 Focus ring of plasma etcher

Country Status (1)

Country Link
KR (1) KR20180035980A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210249232A1 (en) * 2020-02-10 2021-08-12 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for etching

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210249232A1 (en) * 2020-02-10 2021-08-12 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for etching

Similar Documents

Publication Publication Date Title
TWI747837B (en) Edge ring assembly for plasma processing chamber and system for plasma processing
EP1573795B1 (en) A system and method for controlling plasma with an adjustable coupling to ground circuit
KR100893956B1 (en) Focus ring for semiconductor treatment and plasma treatment device
KR101874919B1 (en) Showerhead having a detachable gas distribution plate
KR101847866B1 (en) Etching method of multilayered film
TWI703660B (en) System and method for controlling plasma density
EP1474264B1 (en) A plasma processing apparatus and method
TWI538567B (en) Substrate processing device
CN101908460B (en) Plasma processing apparatus and plasma processing method
KR100938635B1 (en) Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR102103852B1 (en) Electrostatic chuck and semiconductor-liquid crystal manufacturing apparatus
KR20170024613A (en) Showerhead having a detachable high resistivity gas distribution plate
US20190006156A1 (en) Plasma Processing Apparatus
KR102424818B1 (en) Plasma processing apparatus and focus ring
KR20230065355A (en) Electrostatically clamped edge ring
US20070227666A1 (en) Plasma processing apparatus
KR102353796B1 (en) Electrostatic chuck, placing table, plasma processing apparatus, and method of manufacturing electrostatic chuck
US8034213B2 (en) Plasma processing apparatus and plasma processing method
CN111211080B (en) Electrostatic chuck and plasma processing apparatus including the same
CN111180303A (en) Plasma processing apparatus
KR20180035980A (en) Focus ring of plasma etcher
US10079133B2 (en) Plasma processing device
KR20140004724U (en) High performance and long lasting edge ring for process of silicon substrates
CN109564844B (en) Process chamber with adjustable showerhead and adjustable liner
JP2012151414A (en) Plasma processing apparatus