KR20180016308A - 플라즈마 처리에 의한 불화 알루미늄 경감 - Google Patents

플라즈마 처리에 의한 불화 알루미늄 경감 Download PDF

Info

Publication number
KR20180016308A
KR20180016308A KR1020170098590A KR20170098590A KR20180016308A KR 20180016308 A KR20180016308 A KR 20180016308A KR 1020170098590 A KR1020170098590 A KR 1020170098590A KR 20170098590 A KR20170098590 A KR 20170098590A KR 20180016308 A KR20180016308 A KR 20180016308A
Authority
KR
South Korea
Prior art keywords
substrate
processing chamber
cleaning
fluorine
gas mixture
Prior art date
Application number
KR1020170098590A
Other languages
English (en)
Other versions
KR102007019B1 (ko
Inventor
비벡 바라트 샤
아눕 쿠마르 싱
바스카르 쿠마르
가네쉬 발라수브라마니안
복헌 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180016308A publication Critical patent/KR20180016308A/ko
Application granted granted Critical
Publication of KR102007019B1 publication Critical patent/KR102007019B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • B08B9/0865Cleaning containers, e.g. tanks by burning-out
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서 설명되는 구현예들은 일반적으로, 반도체 기판-프로세싱 챔버의 하나 또는 그 초과의 내부 표면들로부터, 원치 않는 증착 축적물(buildup)을 인-시츄(in-situ) 제거하기 위한 장치 및 방법들에 관한 것이다. 일 구현예에서, 방법은, 불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계를 포함한다. 방법은, 반응성 불소 종을 기판-프로세싱 챔버의 프로세싱 용적 내로 전달하는 단계를 더 포함한다. 프로세싱 용적은 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 포함하며, 그러한 내부 표면들은 내부 표면들 상에 형성된 원치 않는 증착물들(deposits)을 갖는다. 방법은, 불화 알루미늄을 형성하기 위해, 반응성 불소 종이, 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 증착물들과 반응하는 것을 허용하는 단계를 더 포함한다. 방법은, 프로세싱 용적에 반응성 질소 종을 형성하기 위해, 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계를 더 포함한다. 방법은, 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 반응성 질소 종이 불화 알루미늄과 반응하는 것을 허용하는 단계를 더 포함한다.

Description

플라스마 처리에 의한 불화 알루미늄 경감{ALUMINUM FLUORIDE MITIGATION BY PLASMA TREATMENT}
[0001] 본원에서 설명되는 구현예들은 일반적으로, 반도체 기판-프로세싱 챔버의 하나 또는 그 초과의 내부 표면들로부터, 원치 않는 증착 축적물(buildup)을 인-시츄(in-situ) 제거하기 위한 장치 및 방법들에 관한 것이다.
[0002] 증착 프로세스들 동안에, PECVD(plasma-enhanced chemical vapor deposition) 프로세싱 챔버들의 챔버 부분들 및 벽들과 같은 내부 표면들 상에서 재료들의 원치 않는 증착이 일어날 수 있다. 그러한 원치 않는 증착은 챔버 내에서 입자들 및 플레이크들(flakes)을 생성할 수 있어서, 프로세스 조건들의 드리프트(drift)를 초래하고, 더 중요하게는, 프로세스 재현성(reproducibility) 및 균일성에 영향을 미친다.
[0003] 생산을 위한 소유 비용을 감소시키고 막 품질을 유지하면서 높은 챔버 가용성(availability)을 달성하기 위해, 챔버 세정이 전형적으로, 벽 및 프로세스 키트들, 예컨대, 샤워헤드, 등을 포함하여 프로세싱 챔버의 내부 표면들로부터 재료 잔류물을 제거하는 데에 사용된다. 불행하게도, 대부분의 현재의 챔버 세정 프로세스들은 프로세싱 챔버의 내부 표면들에 악영향을 미쳐서, 부가적인 입자들 및 플레이크들의 생성을 초래한다.
[0004] 따라서, 기판-프로세싱 챔버들의 표면들에 악영향을 미치지 않으면서 기판-프로세싱 챔버들로부터 원치 않는 증착물들(deposits)을 제거하기 위한 방법들에 대한 필요성이 존재한다.
[0005] 본원에서 설명되는 구현예들은 일반적으로, 반도체 기판-프로세싱 챔버의 하나 또는 그 초과의 내부 표면들로부터, 원치 않는 증착 축적물(buildup)을 인-시츄(in-situ) 제거하기 위한 장치 및 방법들에 관한 것이다. 일 구현예에서, 기판-프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계를 포함한다. 방법은, 반응성 불소 종을 기판-프로세싱 챔버의 프로세싱 용적 내로 전달하는 단계를 더 포함한다. 프로세싱 용적은 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 포함하며, 그러한 내부 표면들은 내부 표면들 상에 형성된 원치 않는 증착물들(deposits)을 갖는다. 방법은, 불화 알루미늄을 형성하기 위해, 반응성 불소 종이, 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 증착물들과 반응하는 것을 허용하는 단계를 더 포함한다. 방법은, 프로세싱 용적에 반응성 질소 종을 형성하기 위해, 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계를 더 포함한다. 방법은, 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 반응성 질소 종이 불화 알루미늄과 반응하는 것을 허용하는 단계를 더 포함한다.
[0006] 다른 구현예에서, 기판-프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 불소-함유 세정 가스 혼합물을, 기판-프로세싱 챔버와 유체적으로 커플링되는 원격 플라즈마 소스 내로 유동시키는 단계를 포함한다. 기판-프로세싱 챔버는 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 갖는 프로세싱 용적을 가지며, 하나 또는 그 초과의 알루미늄-함유 내부 표면들은 하나 또는 그 초과의 알루미늄-함유 내부 표면들 상에 형성된 원치 않는 증착물들을 갖는다. 방법은, 불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계, 및 반응성 불소 종을 프로세싱 용적 내로 운반하는 단계를 더 포함한다. 방법은, 불화 알루미늄을 형성하기 위해, 반응성 불소 종이, 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 증착물들과 반응하는 것을 허용하는 단계를 더 포함한다. 방법은, 질소-함유 세정 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계, 및 반응성 질소 종을 형성하기 위해 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계를 더 포함한다. 방법은, 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 반응성 질소 종이 불화 알루미늄과 반응하는 것을 허용하는 단계를 더 포함한다.
[0007] 또 다른 구현예에서, 기판-프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 불소-함유 세정 가스 혼합물을, 기판-프로세싱 챔버와 유체적으로 커플링되는 원격 플라즈마 소스 내로 유동시키는 단계를 포함한다. 기판-프로세싱 챔버는 가열기 페데스탈(heater pedestal)을 포함하는 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 갖는 프로세싱 용적을 가지며, 가열기 페데스탈은 가열기 페데스탈 상에 형성된 원치 않는 유전체 증착물들을 갖는다. 방법은, 기판-프로세싱 챔버를 섭씨 약 300도 내지 섭씨 약 650도의 범위 내의 온도로 가열하는 단계를 더 포함한다. 방법은, 불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계, 및 반응성 불소 종을 프로세싱 용적 내로 운반하는 단계를 더 포함한다. 방법은, 불화 알루미늄을 형성하기 위해, 반응성 불소 종이, 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 유전체 증착물들과 반응하는 것을 허용하는 단계를 더 포함한다. 방법은, 질소-함유 세정 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계, 및 반응성 질소 종을 형성하기 위해 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계를 더 포함한다. 방법은, 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 반응성 질소 종이 불화 알루미늄과 반응하는 것을 허용하는 단계를 더 포함한다.
[0008] 본 개시물의 상기-열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 구현예들의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 구현예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시물의 단지 전형적인 구현예들을 도시하는 것이므로 본 개시물의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시물이, 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0009] 도 1은, 본원에서 설명되는 구현예들의 실시에 사용될 수 있는 증착 프로세싱 시스템의 개략적인 예시를 도시하고;
[0010] 도 2a는, 본원에서 설명되는 구현예들에 따른 증착 프로세싱 시스템을 세정하는 데에 사용될 수 있는 방법의 일 구현예를 도시하는 프로세스 흐름도를 도시하며; 그리고
[0011] 도 2b는, 본원에서 설명되는 구현예들에 따른, 도 2a의 프로세스 흐름도의 계속된 부분인 프로세스 흐름도를 도시한다.
[0012] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내기 위해 동일한 참조번호들이 사용되었다. 일 구현예의 엘리먼트들 및 피처들(features)이, 추가적인 언급 없이 다른 구현예들에 유익하게 통합될 수 있다는 점이 고려된다.
[0013] 이하의 개시물은 기판-프로세싱 챔버로부터 불화 알루미늄을 제거하는 기술들을 설명한다. 본 개시물의 다양한 구현예들의 완전한 이해를 제공하기 위해, 이하의 설명 및 도 1, 2a 및 2b에서 특정 세부 사항들이 설명된다. 다양한 구현예들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 흔히 플라즈마 증착 및 불화 알루미늄 제거와 연관된 잘-알려진 구조들 및 시스템들을 설명하는 다른 세부 사항들은 이하의 개시물에서 설명되지 않는다.
[0014] 도면들에 도시된 많은 세부 사항들, 치수들, 각도들, 및 다른 피처들은 단지 특정 구현예들의 예시일 뿐이다. 따라서, 다른 구현예들은, 본 개시물의 사상 또는 범위로부터 벗어나지 않고 다른 세부 사항들, 컴포넌트들, 치수들, 각도들, 및 피처들을 가질 수 있다. 부가적으로, 본 개시물의 추가적인 구현예들은, 이하에서 설명되는 몇몇 세부 사항들 없이 실시될 수 있다.
[0015] 본원에서 설명되는 구현예들은 이하에서, 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 설명될 것이다. 적합한 시스템들의 예들은, DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GTTM 시스템들, 및 PRODUCER® SETM 시스템들을 포함하며, 이 시스템들은 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명되는 구현예들로부터 이익을 향유하도록 이루어질 수 있다. 부가적으로, 본원에서 설명되는 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에서 설명되는 장치 설명은 예시적이며, 본원에서 설명되는 구현예들의 범위를 제한하는 것으로 해석되거나 이해되어서는 안된다.
[0016] 증착 프로세스들 동안에, PECVD(plasma-enhanced chemical vapor deposition) 프로세싱 챔버들의 챔버 부분들 및 벽들과 같은 내부 알루미늄-함유 표면들 상에서 재료들(예컨대, 유전체 재료들)의 원치 않는 증착이 일어날 수 있다. 그러한 원치 않는 증착은 챔버 내에서 입자들 및 플레이크들(flakes)을 생성할 수 있으며, 프로세스 조건들의 드리프트(drift)를 초래하고, 더 중요하게는, 프로세스 재현성(reproducibility) 및 균일성에 영향을 미친다.
[0017] 생산을 위한 소유 비용을 감소시키고 막 품질을 유지하면서 높은 챔버 가용성을 달성하기 위해, 챔버 세정이 전형적으로, 벽 및 프로세스 키트들, 예컨대, 샤워헤드, 등을 포함하여 프로세싱 챔버의 알루미늄-함유 내부 표면들로부터 재료 잔류물을 제거하는 데에 사용된다. 현재, 원치 않는 증착물들을 제거하기 위해 반응성 불소-종(예컨대, 불소 라디칼들)이 사용된다. 불행하게도, 대부분의 현재의 챔버 세정 프로세스들은 프로세싱 챔버의 내부 표면들에 악영향을 미쳐서, 부가적인 입자들 및 플레이크들의 생성을 초래한다. 예컨대, 불소 라디칼 세정 동안, 불소 라디칼들은 가열기의 알루미늄-함유 표면들(예컨대, 질화 알루미늄 표면)에 부착되고, 질화 알루미늄을 불화 알루미늄으로 변환시킨다. 일반적으로, 불화 알루미늄 증착물들은 섭씨 약 480도에서 승화되기(sublime) 시작한다. 그런 다음에, 불화 알루미늄이 페이스플레이트(faceplate)/샤워헤드 상에 응축되어 층을 형성한다. 불화 알루미늄 증착물들은 프로세스 동안에 플레이킹되어 웨이퍼 상에 떨어질 수 있어서 수율에 악영향을 미친다. 따라서, 웨이퍼 상의 불화 알루미늄 입자들(결함들)이 수율에 상당한 영향을 주기 때문에, 불화 알루미늄 입자들은 매우 엄격한 규격을 갖는다. 게다가, 입자들에 대한 규격을 계속 엄격하게 하여, 가열기 또는 페이스플레이트 상에 어떠한 불화 알루미늄도 갖지 않는 것이 더 좋다.
[0018] 본 개시물의 구현예들은, 플라즈마 환경에서, 가열기 상의 불화 알루미늄을 다른 화합물들로 변환시킨다. 불화 알루미늄은, 고온 웨이퍼 프로세싱에서 문제들을 일으키지 않을, 질화 알루미늄 또는 산화 알루미늄과 같은 비-휘발성 화합물들로 변환된다.
[0019] 도 1은, 본원에서 설명되는 구현예들에 따른, 원치 않는 증착의 인-시츄 제거를 수행할 수 있는 기판-프로세싱 시스템(132)의 개략적인 예시를 도시한다. 기판-프로세싱 시스템(132)은, 가스 패널(130) 및 제어기(110)에 커플링된 기판-프로세싱 챔버(100)를 포함한다. 기판-프로세싱 챔버(100)는 일반적으로, 프로세싱 용적(126)을 정의하는, 정상부 벽(124), 측벽(101), 및 바닥부 벽(122)을 포함한다. 지지 페데스탈(150)이 기판-프로세싱 챔버(100)의 프로세싱 용적(126)에 제공된다. 지지 페데스탈(150)은 페데스탈 스템(stem)(160)에 의해 지지되고, 전형적으로, 알루미늄, 세라믹, 및 다른 적합한 재료들로 제조될 수 있다. 지지 페데스탈(150)은 변위 메커니즘(도시되지 않음)을 사용하여 기판-프로세싱 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
[0020] 지지 페데스탈(150)은, 지지 페데스탈(150)의 표면(192) 상에 지지되는 기판(190)의 온도를 제어하기에 적합한 가열기 엘리먼트(170)를 포함할 수 있다. 일 구현예에서, 가열기 엘리먼트(170)는 지지 페데스탈(150)에 내장된다(embedded). 지지 페데스탈(150)은, 전력 공급부(106)로부터 가열기 엘리먼트(170)에 전류를 인가함으로써 저항식으로(resistively) 가열될 수 있다. 가열기 엘리먼트(170)는, 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스(sheath) 튜브에 캡슐화된(encapsulated) 니켈-크롬 와이어로 만들어질 수 있다. 전력 공급부(106)로부터 공급되는 전류는 제어기(110)에 의해 조절되어, 가열기 엘리먼트(170)에 의해 생성되는 열을 제어하며, 따라서, 막 증착 동안 기판(190) 및 지지 페데스탈(150)을 실질적으로 일정한 온도로 유지한다. 공급되는 전류는, 지지 페데스탈(150)의 온도를 섭씨 약 100도 내지 섭씨 약 700도 사이에서 선택적으로 제어하도록 조정될 수 있다.
[0021] 종래의 방식으로 지지 페데스탈(150)의 온도를 모니터링하기 위해, 열전대와 같은 온도 센서(172)가 지지 페데스탈(150)에 내장될 수 있다. 측정된 온도는, 기판을 선택된 온도로 유지하기 위해 가열기 엘리먼트(170)에 공급되는 전력을 제어하도록, 제어기(110)에 의해 사용된다.
[0022] 진공 펌프(102)는 기판-프로세싱 챔버(100)의 바닥부에 형성된 포트에 커플링된다. 진공 펌프(102)는, 기판-프로세싱 챔버(100)에서, 선택된 가스 압력을 유지하는 데에 사용된다. 진공 펌프(102)는 또한, 프로세싱-후(post-processing) 가스들 및 프로세스의 부산물들을 기판-프로세싱 챔버(100)로부터 진공배기한다.
[0023] 기판-프로세싱 시스템(132)은, 챔버 압력을 제어하기 위한 부가적인 장비, 예컨대, 챔버 압력을 제어하기 위해 기판-프로세싱 챔버(100)와 진공 펌프(102) 사이에 포지셔닝된 밸브들(예컨대, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수 있다.
[0024] 기판-프로세싱 시스템(132)은 퍼지 가스를 프로세싱 용적(126)에 공급하기 위한 퍼지 가스 소스(104)를 더 포함할 수 있다.
[0025] 복수의 개구들(128)을 갖는 샤워헤드(120)가, 지지 페데스탈(150) 위쪽의, 기판-프로세싱 챔버(100)의 정상부 상에 배치된다. 샤워헤드(120)의 개구들(128)은 기판-프로세싱 챔버(100) 내로 프로세스 가스들을 도입하는 데에 활용된다. 개구들(128)은, 상이한 프로세스 요건들에 대해 다양한 프로세스 가스들의 유동을 용이하게 하기 위하여, 상이한 크기들, 개수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 샤워헤드(120)는, 프로세스 동안 다양한 가스들이 프로세싱 용적(126)에 공급되는 것을 허용하는 가스 패널(130)에 연결된다. 플라즈마는, 기판(190)의 표면(191) 상에서 재료의 증착을 초래하는 프로세스 가스들의 열 분해를 증진시키기 위해, 샤워헤드(120)를 빠져나가는 프로세스 가스 혼합물로부터 형성된다.
[0026] 샤워헤드(120) 및 지지 페데스탈(150)은 프로세싱 용적(126)에, 이격된 전극들의 쌍(pair)을 형성할 수 있다. 하나 또는 그 초과의 RF 전력 소스들(140)은, 샤워헤드(120)와 지지 페데스탈(150) 사이에서 플라즈마의 생성을 용이하게 하기 위해, 바이어스 전위를 매칭 네트워크(matching network; 138)를 통해 샤워헤드(120)에 제공한다. 대안적으로, RF 전력 소스들(140) 및 매칭 네트워크(138)는 샤워헤드(120)에, 또는 지지 페데스탈(150)에 커플링될 수 있거나, 또는 샤워헤드(120) 및 지지 페데스탈(150) 양자 모두에 커플링될 수 있거나, 또는 기판-프로세싱 챔버(100) 외부에 배치된 안테나(도시되지 않음)에 커플링될 수 있다. 일 구현예에서, RF 전력 소스들(140)은, 약 50kHz 내지 약 13.6MHz의 주파수로 약 100와트 내지 약 3,000와트를 제공할 수 있다. 다른 구현예에서, RF 전력 소스들(140)은, 약 50kHz 내지 약 13.6MHz의 주파수로 약 500와트 내지 약 1,800와트를 제공할 수 있다. 몇몇 구현예들에서, 플라즈마는 원격 플라즈마 소스(152)를 통해 프로세싱 용적(126)에 공급된다.
[0027] 제어기(110)는, 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데에 활용되는, CPU(central processing unit)(112), 메모리(116), 및 지원 회로(114)를 포함한다. CPU(112)는 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들(routines)은 메모리(116), 예컨대, 랜덤 액세스 매모리, 리드 온리 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 커플링되고, 캐쉬, 클럭 회로들, 입력/출력 시스템들, 전력 공급부들, 등을 포함할 수 있다. 제어기(110)과 기판-프로세싱 시스템(132)의 다양한 다른 컴포넌트들 사이의 양방향 통신들은 신호 버스들(118)로서 통칭되는 다수의 신호 케이블들을 통해 처리되며, 그 중 일부는 도 1에 예시된다.
[0028] 다른 증착 챔버들이 또한 본 개시물로부터 이익을 향유할 수 있으며, 상기 열거된 파라미터들은, 비결정질 탄소 층을 형성하는 데에 사용되는 특정 증착 챔버에 따라 변할 수 있다. 예컨대, 다른 증착 챔버들은 더 크거나 더 작은 용적을 가질 수 있으며, 이에 따라, Applied Materials, Inc.로부터 입수 가능한 증착 챔버들에 대해서 언급되는 가스 유량들보다 더 크거나 더 작은 가스 유량들을 요구할 수 있다.
[0029] 몇몇 구현예들에서, 본 개시물에서의 유량들은, 내부 챔버 용적 당 "sccm"(standard cubic centimeters per minute)으로 표현된다. 내부 챔버 용적은, 가스가 점유할 수 있는, 챔버의 내부의 용적으로서 정의된다. 예컨대, 기판-프로세싱 챔버(100)의 내부 챔버 용적은, 측벽(101), 바닥부 벽(122), 및 정상부 벽(124)에 의해 정의된 용적에서, 샤워헤드(120)에 의해 그리고 지지 페데스탈(150)/페데스탈 스템(160)에 의해 그러한 용적 내에 점유된 용적을 뺀 것이다.
[0030] 도 2a는, 본원에서 설명되는 구현예들에 따른 증착 프로세싱 시스템을 세정하는 데에 사용될 수 있는 방법(200)의 일 구현예를 도시하는 프로세스 흐름도이다. 도 2b는, 본원에서 설명되는 구현예들에 따른, 도 2a의 프로세스 흐름도의 계속된 부분인 프로세스 흐름도를 도시한다. 증착 기판-프로세싱 시스템은, 도 1에 도시된 기판-프로세싱 시스템(132)과 유사한 PECVD 시스템일 수 있다. 동작(210)에서, 유전체-함유 층이, 기판-프로세싱 챔버의 프로세싱 용적에 배치된 기판 위에 증착된다. 기판은 기판(190)과 유사할 수 있다. 예시적인 유전체-함유 층들은 실리콘 산화물들, 실리콘 질화물들, 및 실리콘 산질화물들을 포함하지만 이에 제한되지 않는다. 기판 위에서 유전체-함유 층의 증착 동안, 유전체들 및/또는 유전체-함유 화합물들은, 기판-프로세싱 챔버의 챔버 컴포넌트들을 포함하여 내부 표면들 위에 증착될 수 있다. 일 구현예에서, 내부 표면들은, 예컨대, 챔버 벽들(예컨대, 측벽(101), 바닥부 벽(122), 및 정상부 벽(124)), 지지 페데스탈(예컨대, 지지 페데스탈(150)), 및 샤워헤드(예컨대, 샤워헤드(120))를 포함한다. 일 구현예에서, 기판-프로세싱 챔버의 내부 표면들은 알루미늄-함유 표면들을 포함한다. 동작(220)에서, 기판은 기판-프로세싱 챔버 밖으로 이송된다.
[0031] 다음에, 선택적 챔버 가열 프로세스가, 챔버 세정 프로세스 전에 그리고/또는 챔버 세정 프로세스 동안에 수행될 수 있다. 일 구현예에서, 챔버는 섭씨 약 300도 내지 섭씨 약 650도(예컨대, 섭씨 약 300도 내지 섭씨 약 550도; 섭씨 약 300도 내지 섭씨 약 400도; 또는 섭씨 약 400도 내지 섭씨 약 550도) 범위 내의 온도로 가열될 수 있고 그리고/또는 유지될 수 있다. 온도를 제어하는 것은 유전체/유전체-함유 증착물들의 제거/에칭 레이트(rate)를 제어하는 데에 사용될 수 있다. 제거 레이트는 챔버 온도가 증가함에 따라 증가할 수 있다. 예컨대, 몇몇 구현예들에서, 온도를 섭씨 400도로 상승시키는 것은 에칭 레이트를 50
Figure pat00001
/초로 증가시킬 수 있다. 몇몇 구현예들에서, 프로세스 챔버는 프로세스 챔버의 벽들(예컨대, 측벽(101), 바닥부 벽(122), 및/또는 정상부 벽(124)), 가열기 페데스탈(예컨대, 지지 페데스탈(150)), 및/또는 샤워헤드(예컨대, 샤워헤드(120)) 중 임의의 것을 가열하는 것에 의해 가열된다. 몇몇 구현예들에서, 기판-프로세싱 챔버(100)는, 챔버에 포지셔닝된 가열기 페데스탈을 가열하는 것에 의해 가열된다. 가열기 페데스탈은 지지 페데스탈(150)과 유사할 수 있다. 몇몇 구현예들에서, 챔버는 벽들 및 가열기 페데스탈 둘 모두를 가열함으로써 가열된다. 몇몇 구현예들에서, 챔버는 인-시츄 플라즈마에 의해 가열된다. 몇몇 구현예들에서, 프로세스 챔버의 온도는, 기판 위에서의 유전체-함유 층의 증착 동안 사용되는 동일한 온도에서 유지될 수 있다.
[0032] 동작(230)에서, 불소-함유 세정 가스 혼합물은 프로세싱 용적과 유체적으로 커플링된 원격 플라즈마 소스(예컨대, 원격 플라즈마 소스(152)) 내로 유동된다. 불소-함유 세정 가스 혼합물은 불소-함유 가스, 선택적인 산소-함유 가스, 및 선택적인 불활성 가스를 포함한다. 몇몇 구현예들에서, 선택적인 불활성 가스는 캐리어 가스로서 기능한다. 몇몇 구현예들에서, 선택적인 불활성 가스는 라디칼 종의 수명을 연장시킬 수 있고, 라디칼 종의 밀도(density)를 증가시킬 수 있다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 원격 플라즈마 소스 내로 유동되고, 다른 프로세스 가스들은 챔버에 별개로 전달된다.
[0033] 불소-함유 가스는, NF3, ClF3, F2, CF4, C2F6, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 산소-함유 가스는, N2O, O2, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 선택적인 불활성 가스는, 헬륨, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 NF3 및 N2O를 포함한다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 NF3 및 O2를 포함한다.
[0034] 원격 플라즈마 소스는 유도 결합 플라즈마 소스일 수 있다. 원격 플라즈마 소스는 불소-함유 세정 가스 혼합물을 수용하고, 불소-함유 세정 가스 혼합물에서 플라즈마를 형성하며, 이는, 불소-함유 세정 가스 혼합물의 해리(dissociation)를 야기하여 세정 라디칼들을 형성한다. 세정 라디칼들은 F 원자들 또는 F+ 원자들과 같은 반응성 종을 포함할 수 있다. 원격 플라즈마 소스는, 불소-함유 세정 가스 혼합물의 고효율 해리를 제공한다. 몇몇 구현예들에서, 90% 초과의 불소-함유 세정 가스 혼합물의 해리가 달성된다. 몇몇 구현예들에서, 40% 초과, 60% 초과, 또는 80% 초과의 불소-함유 세정 가스 혼합물의 해리가 달성된다.
[0035] 몇몇 구현예들에서, 원격 플라즈마는, 불소-함유 세정 가스 혼합물을 원격 플라즈마 챔버 내로 도입하기 이전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다(initiated).
[0036] 불소-함유 세정 가스 혼합물은 약 100sccm 내지 약 10,000sccm의 유량으로 원격 플라즈마 소스 내로 유동될 수 있다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 약 500sccm 내지 약 6,000sccm의 유량으로 원격 플라즈마 소스 내로 유동된다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 약 500sccm 내지 약 4,000sccm의 유량으로 원격 플라즈마 소스 내로 유동된다. 몇몇 구현예들에서, 불소-함유 세정 가스 혼합물은 약 1,000sccm의 유량으로 원격 플라즈마 소스 내로 유동된다.
[0037] 몇몇 구현예들에서, 원격 플라즈마는, NF3를 원격 플라즈마 챔버 내로 도입하기 이전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다. 그런 다음에, NF3가 원격 플라즈마 챔버 내로 도입됨에 따라, 아르곤의 유량은 감소된다. 예로서, 원격 플라즈마는 아르곤의 3,000sccm의 유동으로 개시될 수 있으며, 아르곤의 유동은, NF3가, 1,000sccm의 초기 유량으로 그리고 그런 다음에 1,500sccm의 유동으로 증가되면서 원격 플라즈마 챔버 내로 도입됨에 따라, 점차적으로 1,000sccm으로 그리고 그 다음에 500sccm으로 감소된다.
[0038] 동작(240)에서, 불소-함유 가스 혼합물로부터 형성된 반응성 종이 프로세싱 용적으로 운반된다. 반응성 종은 불소 원자를 포함한다.
[0039] 프로세싱 용적 내의 압력은 약 10mTorr 내지 약 300Torr일 수 있다. 프로세싱 용적 내의 압력은 1Torr 내지 약 10Torr, 예컨대, 약 3Torr일 수 있다.
[0040] 몇몇 구현예들에서, 가열기 페데스탈은 섭씨 약 300도 내지 섭씨 약 650도(예컨대, 섭씨 약 300도 내지 섭씨 약 550도; 섭씨 약 300도 내지 섭씨 약 400도; 또는 섭씨 약 400도 내지 섭씨 약 550도) 범위 내의 온도로 가열될 수 있고 그리고/또는 유지될 수 있다. 몇몇 구현예들에서, 세정 프로세스 동안, 챔버 벽들은 섭씨 약 300도 내지 섭씨 약 650도(예컨대, 섭씨 약 300도 내지 섭씨 약 550도; 섭씨 약 300도 내지 섭씨 약 400도; 또는 섭씨 약 400도 내지 섭씨 약 550도) 범위 내의 온도로 가열될 수 있고 그리고/또는 유지될 수 있다. 몇몇 구현예들에서, 프로세스 챔버는 프로세스 챔버의 벽들(예컨대, 측벽(101), 바닥부 벽(122), 및/또는 정상부 벽(124)), 가열기 페데스탈(예컨대, 지지 페데스탈(150)), 및/또는 샤워헤드(예컨대, 샤워헤드(120)) 중 임의의 것을 가열하는 것에 의해, 상기 언급된 온도들로 가열될 수 있다.
[0041] 동작(250)에서, 반응성 종은 기판-프로세싱 챔버의 알루미늄-함유 표면들 및 유전체-함유 증착물들과 반응한다. 반응성 불소 종은 알루미늄-함유 표면과 반응하여 불화 알루미늄을 형성한다.
[0042] 선택적으로, 동작(255)에서, 프로세싱 용적은 기판-프로세싱 챔버로부터 오염물질들을 제거하기 위해 퍼징된다. 일 구현예에서, 기판-프로세싱 챔버는, 퍼지 가스를 기판-프로세싱 챔버 내로 유동시키는 것에 의해 능동적으로(actively) 퍼징된다. 퍼지 가스를 도입하는 것에 부가적으로, 또는 대안적으로, 프로세스 챔버는, 프로세싱 챔버로부터 잔류 세정 가스뿐만 아니라 임의의 부산물들을 제거하기 위해, 감압될 수 있다. 기판-프로세싱 챔버는, 기판-프로세싱 챔버를 진공배기하는 것에 의해 퍼징될 수 있다. 퍼지 프로세스의 기간은 일반적으로, 임의의 잔류 세정 가스들 및 부산물들을 기판-프로세싱 챔버로부터 제거하기에 충분히 길어야 한다. 세정 가스 유동의 시간은 일반적으로, 챔버 컴포넌트들을 포함하여, 챔버의 내부 표면들로부터 유전체 증착물들을 제거하기에 충분히 길어야 한다.
[0043] 동작(260)에서, 질소-함유 세정 가스 혼합물이 기판-프로세싱 챔버의 프로세싱 용적 내로 유동된다. 질소-함유 세정 가스 혼합물은 질소-함유 가스, 선택적인 산소-함유 가스, 및 선택적인 불활성 가스를 포함한다. 몇몇 구현예들에서, 선택적인 불활성 가스는 캐리어 가스로서 기능할 수 있다. 몇몇 구현예들에서, 선택적인 불활성 가스는 라디칼 종의 수명을 연장시킬 수 있고, 라디칼 종의 밀도(density)를 증가시킬 수 있다. 몇몇 구현예들에서, 질소-함유 세정 가스 혼합물은 프로세싱 용적 내로 유동되고, 다른 프로세스 가스들은 챔버에 별개로 전달된다.
[0044] 질소-함유 가스는, N2, N2O, NO2, NH3, N2H2, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 산소-함유 가스는, O2, N2O, NO2, O3, H2O, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 선택적인 불활성 가스는, 헬륨, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다. 몇몇 구현예들에서, 질소-함유 세정 가스 혼합물은 N2를 포함한다. 몇몇 구현예들에서, 질소-함유 세정 가스 혼합물은 N2 및 아르곤을 포함한다.
[0045] 질소-함유 세정 가스 혼합물은 약 100sccm 내지 약 10,000sccm의 유량으로 챔버 내로 유동될 수 있다. 몇몇 구현예들에서, 질소-함유 세정 가스 혼합물은 약 500sccm 내지 약 4,000sccm의 유량으로 챔버 내로 유동된다. 몇몇 구현예들에서, 질소-함유 세정 가스 혼합물은 약 1,000sccm의 유량으로 챔버 내로 유동된다.
[0046] 기판-프로세싱 챔버 내의 압력은 약 10mTorr 내지 약 100Torr일 수 있다. 기판-프로세싱 챔버 내의 압력은 20Torr 내지 약 30Torr, 예컨대, 약 25Torr일 수 있다.
[0047] 몇몇 구현예들에서, 가열기 페데스탈은 섭씨 약 300도 내지 섭씨 약 650도(예컨대, 섭씨 약 300도 내지 섭씨 약 550도; 섭씨 약 300도 내지 섭씨 약 400도; 또는 섭씨 약 400도 내지 섭씨 약 550도) 범위 내의 온도로 가열될 수 있고 그리고/또는 유지될 수 있다. 몇몇 구현예들에서, 세정 프로세스 동안, 챔버 벽들은 섭씨 약 300도 내지 섭씨 약 650도(예컨대, 섭씨 약 300도 내지 섭씨 약 550도; 섭씨 약 300도 내지 섭씨 약 400도; 또는 섭씨 약 400도 내지 섭씨 약 550도) 범위 내의 온도로 가열될 수 있고 그리고/또는 유지될 수 있다. 몇몇 구현예들에서, 프로세스 챔버는 프로세스 챔버의 벽들(예컨대, 측벽(101), 바닥부 벽(122), 및/또는 정상부 벽(124)), 가열기 페데스탈(예컨대, 지지 페데스탈(150)), 및/또는 샤워헤드(예컨대, 샤워헤드(120)) 중 임의의 것을 가열하는 것에 의해, 상기 언급된 온도들로 가열될 수 있다.
[0048] 플라즈마는 용량성(capacitive) 또는 유도성(inductive) 수단에 의해 형성될 수 있으며, RF 전력을 전구체 가스 혼합물에 커플링하는 것에 의해 에너자이징될(energized) 수 있다. RF 전력은, 고주파수 컴포넌트 및 저주파수 컴포넌트를 갖는 이중-주파수(dual-frequency) RF 전력일 수 있다. RF 전력은 전형적으로, 약 50W 내지 약 2,500W의 전력 레벨로 인가되며, 이는 전부 고-주파수 RF 전력, 예컨대, 약 13.56MHz의 주파수의 RF 전력일 수 있거나, 또는, 고-주파수 전력과 저주파수 전력의 혼합, 예컨대, 약 300kHz의 주파수의 RF 전력일 수 있다.
[0049] 동작(270)에서, 인-시츄 RF 플라즈마가 프로세싱 용적에서 생성된다. 플라즈마는 용량성 또는 유도성 수단에 의해 형성될 수 있으며, RF 전력을 전구체 가스 혼합물에 커플링하는 것에 의해 에너자이징될 수 있다. RF 전력은, 고주파수 컴포넌트 및 저주파수 컴포넌트를 갖는 이중-주파수 RF 전력일 수 있다. RF 전력은 전형적으로, 약 50W 내지 약 2,500W의 전력 레벨로 인가되며, 이는 전부 고-주파수 RF 전력, 예컨대, 약 13.56MHz의 주파수의 RF 전력일 수 있거나, 또는, 고-주파수 전력과 저주파수 전력의 혼합, 예컨대, 약 300kHz의 주파수의 RF 전력일 수 있다.
[0050] 동작(280)에서, 반응성 종이 프로세싱 용적에서 질소-함유 세정 가스 혼합물로부터 형성된다.
[0051] 동작(290)에서, 질소 반응성 종은, 불화 알루미늄과 반응하여 불화 알루미늄을 질화 알루미늄으로 변환시키도록 허용된다.
[0052] 선택적으로, 동작(295)에서, 프로세싱 용적은 기판-프로세싱 챔버로부터 오염물질들을 제거하기 위해 퍼징된다. 기판-프로세싱 챔버는, 퍼지 가스를 기판-프로세싱 챔버에 유동시키는 것에 의해 능동적으로 퍼징될 수 있다. 퍼지 가스를 도입하는 것에 부가적으로, 또는 대안적으로, 프로세스 챔버는, 프로세싱 챔버로부터 잔류 세정 가스뿐만 아니라 임의의 부산물들을 제거하기 위해, 감압될 수 있다. 기판-프로세싱 챔버는, 기판-프로세싱 챔버를 진공배기하는 것에 의해 퍼징될 수 있다. 퍼지 프로세스의 기간은 일반적으로, 임의의 잔류 세정 가스들 및 부산물들을 기판-프로세싱 챔버로부터 제거하기에 충분히 길어야 한다. 세정 가스 유동의 시간은 일반적으로, 챔버 컴포넌트들을 포함하여, 챔버의 내부 표면들로부터 유전체 증착물들을 제거하기에 충분히 길어야 한다.
[0053] 동작들(230, 240, 250, 255, 260, 270, 280, 290, 및 295) 중 임의의 동작들이, 선택된 세정 종료점이 달성될 때까지 반복될 수 있다. 여러 사이클들의 세정이, 선택적인 퍼지 프로세스가 세정 사이클들 사이에서 수행되면서 적용될 수 있음이 이해되어야 한다.
[0054] 요약하면, 본 개시물의 이점들 중 일부는, 휘발성 불화 알루미늄 증착물들을 비-휘발성 질화 알루미늄 및/또는 산화 알루미늄으로 변환시키기 위해, 인-시츄 플라즈마를 통해 생성된 질소 라디칼들을 주로 사용하는 프로세스를 제공한다. 불화 알루미늄 증착물들을 제거하는 이러한 능력은, 웨이퍼 프로세싱 동안 불화 알루미늄의 승화 및 이후의 플레이킹 없이, 더 높은 온도에서의 프로세싱을 허용한다. 또한 본 개시물의 방법들은, 대부분의 엑스-시츄(ex-situ) 세정 프로세스들에 의해 요구되는 챔버 유휴시간 없이, 인-시츄로 수행될 수 있다.
[0055] 본 개시물 또는 본 개시물의 예시적인 양태들 또는 구현예(들)의 엘리먼트들을 소개할 때, 단수 표현은 하나 또는 그 초과의 엘리먼트들이 존재한다는 것을 의미하는 것으로 의도된다.
[0056] "포함하는"("comprising", "including") 및 "갖는(having)"이라는 용어들은 포괄적인(inclusive) 것으로 의도되고, 열거된 엘리먼트들 이외의 부가적인 엘리먼트들이 존재할 수 있음을 의미한다.
[0057] 전술한 내용은 본 개시물의 구현예들에 관한 것이지만, 본 개시물의 다른 그리고 추가적인 구현예들이 본 개시물의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 개시물의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 기판-프로세싱 챔버를 세정하기 위한 방법으로서,
    불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계;
    상기 반응성 불소 종을 상기 기판-프로세싱 챔버의 프로세싱 용적 내로 전달하는 단계 ― 상기 프로세싱 용적은 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 포함하고, 상기 내부 표면들은 상기 내부 표면들 상에 형성된 원치 않는 증착물들을 가짐 ―;
    불화 알루미늄을 형성하기 위해, 상기 반응성 불소 종이, 상기 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 증착물들과 반응하는 것을 허용하는 단계;
    상기 프로세싱 용적에 반응성 질소 종을 형성하기 위해, 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계; 및
    상기 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 상기 반응성 질소 종이 상기 불화 알루미늄과 반응하는 것을 허용하는 단계를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은, NF3, ClF3, F2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 불소-함유 가스를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은 산소-함유 가스를 더 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 산소-함유 가스는, N2O, O2, 및 이들의 조합들로 구성된 그룹으로부터 선택되는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은, 헬륨, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택된 불활성 가스를 더 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은 NF3 및 N2O를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  7. 기판-프로세싱 챔버를 세정하기 위한 방법으로서,
    불소-함유 세정 가스 혼합물을, 상기 기판-프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 내에 유동시키는 단계 ― 상기 기판-프로세싱 챔버는 하나 또는 그 초과의 알루미늄-함유 내부 표면들을 갖는 프로세싱 용적을 가지며, 상기 하나 또는 그 초과의 알루미늄-함유 내부 표면들은 상기 하나 또는 그 초과의 알루미늄-함유 내부 표면들 상에 형성된 원치 않는 증착물들을 가짐 ―;
    상기 불소-함유 세정 가스 혼합물로부터 반응성 불소 종을 형성하는 단계;
    상기 반응성 불소 종을 상기 프로세싱 용적 내로 운반하는 단계;
    불화 알루미늄을 형성하기 위해, 상기 반응성 불소 종이, 상기 기판-프로세싱 챔버의 알루미늄-함유 내부 표면들 및 원치 않는 증착물들과 반응하는 것을 허용하는 단계;
    질소-함유 세정 가스 혼합물을 상기 프로세싱 용적 내로 유동시키는 단계;
    반응성 질소 종을 형성하기 위해, 상기 질소-함유 세정 가스 혼합물을 인-시츄 플라즈마에 노출시키는 단계; 및
    상기 불화 알루미늄을 질화 알루미늄으로 변환시키기 위해, 상기 반응성 질소 종이 상기 불화 알루미늄과 반응하는 것을 허용하는 단계를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은, NF3, ClF3, F2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 불소-함유 가스를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은 산소-함유 가스를 더 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 산소-함유 가스는, N2O, O2, 및 이들의 조합들로 구성된 그룹으로부터 선택되는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은, 헬륨, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택된 불활성 가스를 더 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  12. 제 7 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은 NF3 및 N2O를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  13. 제 7 항에 있어서,
    상기 불소-함유 세정 가스 혼합물은 NF3 및 O2를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  14. 제 7 항에 있어서,
    상기 반응성 불소 종은 불소 원자를 포함하는,
    기판-프로세싱 챔버를 세정하기 위한 방법.
  15. 제 7 항에 있어서,
    상기 원격 플라즈마 소스는 유도 결합 플라즈마 소스인,
    기판-프로세싱 챔버를 세정하기 위한 방법.
KR1020170098590A 2016-08-05 2017-08-03 플라즈마 처리에 의한 불화 알루미늄 경감 KR102007019B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662371568P 2016-08-05 2016-08-05
US62/371,568 2016-08-05

Publications (2)

Publication Number Publication Date
KR20180016308A true KR20180016308A (ko) 2018-02-14
KR102007019B1 KR102007019B1 (ko) 2019-08-02

Family

ID=61071329

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170098590A KR102007019B1 (ko) 2016-08-05 2017-08-03 플라즈마 처리에 의한 불화 알루미늄 경감

Country Status (4)

Country Link
US (1) US10688538B2 (ko)
KR (1) KR102007019B1 (ko)
CN (1) CN109844904B (ko)
WO (1) WO2018026509A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109844904B (zh) * 2016-08-05 2023-04-28 应用材料公司 通过等离子体处理的氟化铝减少
TW202035775A (zh) * 2019-02-11 2020-10-01 美商應用材料股份有限公司 清潔處理腔室的方法
WO2021091780A1 (en) * 2019-11-04 2021-05-14 Applied Materials, Inc. Optical absorption sensor for semiconductor processing
CN112725762A (zh) * 2020-12-18 2021-04-30 华虹半导体(无锡)有限公司 薄膜沉积工艺腔的腔内沉积薄膜清洁方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050109046A (ko) * 2003-03-25 2005-11-17 도쿄 엘렉트론 가부시키가이샤 박막 형성 장치의 세정 방법
KR20080050402A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Nf₃를 사용한 표면 적층물 제거 방법
KR20130029360A (ko) * 2011-09-14 2013-03-22 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
KR20140124858A (ko) * 2012-03-22 2014-10-27 샌트랄 글래스 컴퍼니 리미티드 성막 장치 내의 금속막의 드라이클리닝 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
EP1127957A1 (en) 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060151002A1 (en) * 2004-12-22 2006-07-13 Devendra Kumar Method of CVD chamber cleaning
JP4845455B2 (ja) 2005-09-01 2011-12-28 キヤノンアネルバ株式会社 薄膜作製装置及び薄膜作製方法
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
KR100794661B1 (ko) 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
CN102414786B (zh) * 2009-04-28 2016-08-24 应用材料公司 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI600786B (zh) * 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
EP2944385A1 (en) * 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US10755903B2 (en) * 2016-01-15 2020-08-25 Applied Materials, Inc. RPS defect reduction by cyclic clean induced RPS cooling
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
WO2017222938A1 (en) * 2016-06-20 2017-12-28 Applied Materials, Inc. Cleaning process for removing boron-carbon residuals in processing chamber at high temperature
CN109844904B (zh) * 2016-08-05 2023-04-28 应用材料公司 通过等离子体处理的氟化铝减少

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050109046A (ko) * 2003-03-25 2005-11-17 도쿄 엘렉트론 가부시키가이샤 박막 형성 장치의 세정 방법
KR20080050402A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Nf₃를 사용한 표면 적층물 제거 방법
KR20130029360A (ko) * 2011-09-14 2013-03-22 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
KR20140124858A (ko) * 2012-03-22 2014-10-27 샌트랄 글래스 컴퍼니 리미티드 성막 장치 내의 금속막의 드라이클리닝 방법

Also Published As

Publication number Publication date
US10688538B2 (en) 2020-06-23
CN109844904A (zh) 2019-06-04
KR102007019B1 (ko) 2019-08-02
CN109844904B (zh) 2023-04-28
US20180036775A1 (en) 2018-02-08
WO2018026509A1 (en) 2018-02-08

Similar Documents

Publication Publication Date Title
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
JP7325417B2 (ja) 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
US9528183B2 (en) Cobalt removal for chamber clean or pre-clean process
KR20210005961A (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
US20090269506A1 (en) Method and apparatus for cleaning of a CVD reactor
TWI692543B (zh) 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
KR102007019B1 (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
US9551070B2 (en) In-situ corrosion resistant substrate support coating
JP5011148B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
TWI254363B (en) Chamber cleaning method
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US11060189B2 (en) Method to enable high temperature processing without chamber drifting
KR20190141256A (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
US20200255940A1 (en) Method for cleaning process chamber
CN112930580A (zh) 处理腔室部件的清洁方法
US11674222B2 (en) Method of in situ ceramic coating deposition
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치
TW202300689A (zh) 用於處理基板的方法及設備

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant