KR20160127355A - having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor - Google Patents

having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor Download PDF

Info

Publication number
KR20160127355A
KR20160127355A KR1020150058619A KR20150058619A KR20160127355A KR 20160127355 A KR20160127355 A KR 20160127355A KR 1020150058619 A KR1020150058619 A KR 1020150058619A KR 20150058619 A KR20150058619 A KR 20150058619A KR 20160127355 A KR20160127355 A KR 20160127355A
Authority
KR
South Korea
Prior art keywords
graphene
drain electrode
insulating layer
bending deformation
transistor
Prior art date
Application number
KR1020150058619A
Other languages
Korean (ko)
Inventor
이윤택
Original Assignee
이윤택
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이윤택 filed Critical 이윤택
Priority to KR1020150058619A priority Critical patent/KR20160127355A/en
Publication of KR20160127355A publication Critical patent/KR20160127355A/en

Links

Images

Classifications

    • H01L41/08
    • C01B31/0438
    • H01L41/047
    • H01L41/18
    • H01L41/22

Abstract

The present invention relates to a transistor having one or more bending deformation of graphene to control on/off of electricity, a graphene single electron transistor, and an electron tunneling graphene transistor. The transistor having one or more bending deformation of graphene to control on/off of electricity includes: a source electrode; a drain electrode; and one or more graphene connected to the source electrode and having a non-identical plane with the drain electrode. A selectively etched insulating material layer is prepared in the lower portion of the one or more graphene; one or more piezo materials are prepared in the lower portion of the one or more graphene and at a location where the insulating material layer is etched; and a wall adjusting circuit prepared in the lower portion of the one or more piezo materials and crossed with circuits of the one or more graphene.

Description

BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a transistor and graphene single electron transistor and electron tunneling graphene transistor having ON / OFF control of electricity with at least one bending deformation of graphene and graphene single electron transistor and electron tunneling graphene transistor}

The present invention relates to a transistor having on / off control of electricity by providing graphene with at least one bending deformation, and more particularly, to a method of manufacturing a transistor having an elasticity, Elasticity and flexibility of the graphene is used to control ON / OFF of electricity by at least one bending deformation of the graphene.

1. As for the current semiconductors, which contain billions of transistors of silicon (Si), `10 nm` is considered to be the limit of microprocessing. The microprocessing has reached a limit to increase the processing speed of the semiconductor.

2. However, by increasing the processing speed of semiconductors with graphene materials, we can take the lead in the next-generation semiconductor market. Graphene is not an expensive material, so there is no burden on the production cost, but it is possible to increase the semiconductor supply price.

3. Graphene is a hexagonal material consisting of a single layer of carbon atoms, which transports electrons 100 times faster than silicon.

4. To improve semiconductor performance, we need to reduce the size of the transistor to narrow the travel distance of the electrons, or to move the electrons faster by using a material with a higher electron mobility.

5. Graphene, which has high electron mobility, is attracting attention as a substitute for silicon, but the problem is that graphene has `conductor 'properties. The graphene is metallic and can not block current. The transistors represent digital signals 0 and 1 due to current flow and interruption. Therefore, conventionally, graphene has to go through a process of `semiconducting` graphene.

6. However, in the present invention, graphene is provided with at least one bending deformation, with graphene being selected from among sufficient vacuum layers, air layers, and physical spacing (which may mean, for example, an insulating layer) To control the electricity on / off.

7. Accordingly, the present invention provides a standby power problem of graphene, which has been recognized as a difficulty in the past, in that at least one graphene and drain electrode are provided with a non-coplanar plane and at least one graphene is provided with at least one bending deformation, To control the on / off state of the graphene.

8. Therefore, the transistor of the present invention having at least one bending deformation and controlling the electric on / off differs from the conventional transistor in that the charge amount control (graphene transistor method) or the channel potential control method (Fermi level) of the graphen instead of the graphene, so that the current can be cut off at a high speed of movement of the electrons. / Off.

1. As for the current semiconductors, which contain billions of transistors of silicon (Si), `10 nm` is considered to be the limit of microprocessing. The microprocessing has reached a limit to increase the processing speed of the semiconductor.

2. However, by increasing the processing speed of semiconductors with graphene materials, we can take the lead in the next-generation semiconductor market. Graphene is not an expensive material, so there is no burden on the production cost, but it is possible to increase the semiconductor supply price.

3. Graphene is a hexagonal material consisting of a single layer of carbon atoms, which transports electrons 100 times faster than silicon.

4. To improve semiconductor performance, we need to reduce the size of the transistor to narrow the travel distance of the electrons, or to move the electrons faster by using a material with a higher electron mobility.

However, in order to utilize the excellent conductivity of the graphene, there is a problem that it is difficult to control the flow and interruption of the current in the conventional transistor method due to the excellent conductivity.

Further, in the conventional graphene transistor method, if the band gap is artificially formed in the graphene, the mobility is considerably reduced, and the attractiveness of the graphene is insufficient.

Accordingly, the present invention provides a method of manufacturing a semiconductor device, which includes at least one graphene and a drain electrode in a form having a non-coplanar plane, at least one Piezo material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, Adjusting the height of the Fermi level of one or more graphenes to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of manufacturing a thin film transistor, comprising at least one graphene and a drain electrode having a non-coplanar shape, at least one Piezo material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, Having at least one bending deformation of at least one graphene to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of controlling a voltage to be applied to one or more graphenes, in which one or more graphene and drain electrodes have non-identical planes, Wherein at least one graphene is provided with at least one bending deformation to control the electrical on / off, wherein at least one graphene between the at least one graphene and the drain electrode has a Fermi level Fermi level) to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of controlling a voltage to be applied to one or more graphenes, in which one or more graphene and drain electrodes have non-identical planes, Wherein at least one graphen is provided with at least one bending deformation to control electrical on / off, wherein at least one bending of the at least one graphen between the at least one graphene and the drain electrode Adjusting the On / Off of electricity with deformation; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention is characterized in that, in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more One or more graphenes and an insulating layer may be provided with at least one bending deformation due to the voltage of the Piezo material, the magnetic particles, the particles having the electric charge, and the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphen However,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

The present invention is directed to a graphene single electron transistor.

The present invention also provides a method of manufacturing a semiconductor device including at least one Piezo material, a magnetic particle, a charge, a charge, and an electric charge, which are provided in a lower portion of at least one graphene in the form of at least one graphene and drain electrode having non- Wherein at least one graphene and an insulating layer are provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the at least one graphene,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

The present invention is directed to an electron tunneling graphene transistor.

The present invention relates to a standby power problem of graphene which has been recognized as a difficulty in the past, in which at least one graphene and drain electrodes are provided with non-coplanar surfaces and at least one graphene is provided with at least one bending deformation, To solve the standby power problem of the graphene.

In one embodiment of the present invention, the present invention provides a method of fabricating a semiconductor device, comprising providing at least one of a Piezo material, a magnetic particle, It is the principle of the transistor to adjust the height of the graphene Fermi level (Fermi level) by adjusting the ON / OFF of the electricity by providing the graphene with more than one bending deformation due to the voltage of the circuit. The height of graphene's Fermi level (Fermi level) can have graphene as one or more bending deformation due to the choice of one or more Piezo material, magnetic grains, charged grains, Level) will be adjusted. The description on one side can be adjusted by the voltage of the circuit (barrier adjustment circuit) crossing the graphene circuit. Such a configuration may include one or more bending deformation of at least one graphene having one or more Piezo material, magnetic particles, and particles having charge selected thereon, so that the Fermi level of one or more graphenes Can be understood as adjusting the height of the. Therefore, based on the above description, the present invention can develop a transistor that utilizes the fast conductivity of graphene. In addition, the present invention provides a method of fabricating a semiconductor device in which graphene, which has been difficult to solve with conventional standby power, is provided with one or more of a vacuum layer, an air layer, a physical gap (which may mean, for example, The graphene is provided with at least one bending deformation to control the electrical on / off. The structure of the present invention can be configured as a transistor having a higher conduction speed than a conventional field effect transistor.

Accordingly, the present invention provides a method of manufacturing a semiconductor device, which includes at least one graphene and a drain electrode in a form having a non-coplanar plane, at least one Piezo material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, Adjusting the height of the Fermi level of one or more graphenes to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of manufacturing a thin film transistor, comprising at least one graphene and a drain electrode having a non-coplanar shape, at least one Piezo material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, Having at least one bending deformation of at least one graphene to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of controlling a voltage to be applied to one or more graphenes, in which one or more graphene and drain electrodes have non-identical planes, Wherein at least one graphene is provided with at least one bending deformation to control the electrical on / off, wherein at least one graphene between the at least one graphene and the drain electrode has a Fermi level Fermi level) to adjust the electrical On / Off; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention provides a method of controlling a voltage to be applied to one or more graphenes, in which one or more graphene and drain electrodes have non-identical planes, Wherein at least one graphen is provided with at least one bending deformation to control electrical on / off, wherein at least one bending of the at least one graphen between the at least one graphene and the drain electrode Adjusting the On / Off of electricity with deformation; The present invention provides a transistor having on / off control of electricity with at least one bending deformation of graphene.

In addition, the present invention is characterized in that, in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more One or more graphenes and an insulating layer may be provided with at least one bending deformation due to the voltage of the Piezo material, the magnetic particles, the particles having the electric charge, and the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphen However,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

The present invention is directed to a graphene single electron transistor.

The present invention also provides a method of manufacturing a semiconductor device including at least one Piezo material, a magnetic particle, a charge, a charge, and an electric charge, which are provided in a lower portion of at least one graphene in the form of at least one graphene and drain electrode having non- Wherein at least one graphene and an insulating layer are provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the at least one graphene,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

The present invention is directed to an electron tunneling graphene transistor.

According to the present invention as described above, one or more Piezo (piezoe) materials, magnetic particles, and electric charges provided in a lower portion of at least one graphene in the form of at least one graphene and drain electrode having non- One or more graphenes may be provided as one or more bending deformation owing to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene to control on / off of electricity, (Fermi level) of one or more graphenes between the pin and the drain electrode is adjusted so as to adjust the ON / OFF of the electric power, thereby enabling to develop a transistor having a higher processing speed than that of the conventional transistor .

In addition, according to the present invention as described above, one or more Piezo (piezoe) materials, magnetic particles, and the like provided in a lower portion of one or more graphenes in a form having at least one graphene- Particles having a charge are selected from one or more graphenes by one or more bending deformation owing to the voltage of a barrier adjusting circuit intersecting with the circuit of the at least one graphene to control ON / And at least one bending deformation of at least one graphen between the graphene and the drain electrode is provided so as to control ON / OFF of the electric power, so that a transistor having a higher processing speed than that of the conventional transistor can be developed.

Also, according to the present invention as described above, due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphen in the form of one or more graphene and drain electrodes having non-coplanarity, Wherein at least one graphen is provided with one or more bending deformation to cause electrostatic attraction to one or more graphenes provided at a lower portion of the adjusting circuit so that electricity is turned on and off, And adjusting the height of the Fermi level (Fermi level) of one or more graphenes to solve the problem by adjusting the electrical on / off, it is possible to develop a transistor having a higher processing speed than that of the conventional transistor.

Also, according to the present invention as described above, due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphen in the form of one or more graphene and drain electrodes having non-coplanarity, Wherein at least one graphen is provided with one or more bending deformation to cause electrostatic attraction to one or more graphenes provided at a lower portion of the adjusting circuit so that electricity is turned on and off, By providing at least one bending deformation of at least one graphene and solving the problem by adjusting the electrical ON / OFF, it is possible to develop a transistor having a higher processing speed than a conventional transistor.

In addition, the present invention is characterized in that, in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more One or more graphenes and an insulating layer may be provided with at least one bending deformation due to the voltage of the Piezo material, the magnetic particles, the particles having the electric charge, and the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphen However,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; A single electron transistor of graphene is disclosed.

The present invention also provides a method of manufacturing a semiconductor device including at least one Piezo material, a magnetic particle, a charge, a charge, and an electric charge, which are provided in a lower portion of at least one graphene in the form of at least one graphene and drain electrode having non- Wherein at least one graphene and an insulating layer are provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the at least one graphene,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

And an electron tunneling graphene transistor.


1
(a). 110 (one selected from among one or more magnetic particles and particles having electric charge) includes at least one graphene 200 as one or more bending deformation, and electrons are moved to 300 by controlling on / off of electricity.
(b). Due to the voltage of the crossed 400 (hatched area-barrier regulating circuit) 110 (one selected from among one or more magnetic particles, charged particles), one or more graphenes 200 are provided with one or more bending deformations , A diagram in which electrons are moved to 300 by controlling electricity on / off,
(c). 600 denotes a layer selected from a vacuum layer and an air layer (air layer).
(d). One or more graphenes 200 may be provided with one or more bending defor- ments 110 (one or more magnetic particles, selected particles of charge) due to the crossed 400 (shaded) The height of the Fermi level (Fermi level) of the pin 200 is adjusted so that the electrons move to 300 by controlling the On / Off of the electricity
(e). In one or more of the above-mentioned (a) to (d), in an embodiment of the present invention, the components shown in this figure appropriately shown are not necessarily construed to limit the form of this figure, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(f). In one embodiment of the present invention, where one or more of the magnetic particles, the particles having a charge, are selected in the figure, the figure shows that the one or more magnetic particles , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
2
(a). 110 (one selected from among one or more magnetic particles and particles having electric charge) includes at least one graphene 200 as one or more bending deformation, and electrons are moved to 300 by controlling on / off of electricity.
(b). Due to the voltage of the crossed 400 (hatched area-barrier regulating circuit) 110 (one selected from among one or more magnetic particles, charged particles), one or more graphenes 200 are provided with one or more bending deformations , A diagram in which electrons are moved to 300 by controlling electricity on / off,
(c). 600 denotes a layer selected from a vacuum layer and an air layer (air layer).
(d). One or more graphenes 200 may be provided with one or more bending defor- ments 110 (one or more magnetic particles, selected particles of charge) due to the crossed 400 (shaded) The height of the Fermi level (Fermi level) of the pin 200 is adjusted so that the electrons move to 300 by controlling the On / Off of the electricity
(e). In one or more of the above-mentioned (a) to (d), in an embodiment of the present invention, the components shown in this figure appropriately shown are not necessarily construed to limit the form of this figure, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(f). In one embodiment of the present invention, where one or more of the magnetic particles, the particles having a charge, are selected in the figure, the figure shows that the one or more magnetic particles , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
Fig. 3A
(a). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Due to the voltage of the circuit, one or more graphenes may be provided with at least one bending deformation to control the electrical on / off, and the height of the at least one graphene fermi level between the at least one graphene and the drain electrode Adjusting the On / Off of the electricity; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the circuit to control ON / OFF of electricity, wherein at least one bending deformation of at least one graphen is provided between at least one graphen and a drain electrode, To adjust the On / Off of the display; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). In this figure, at least one graphene and a drain electrode have non-coplanar surfaces, and at least one magnetic particle provided at the bottom of one or more graphenes intersects a circuit of the at least one graphene One or more graphenes may be provided as one or more bending deformations to adjust the electrical on / off, and to adjust the height of one or more graphene's fermi level between the graphene and the drain electrode To control electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(d). In this figure, at least one graphene and a drain electrode have non-coplanar surfaces, and at least one magnetic particle provided at the bottom of one or more graphenes intersects a circuit of the at least one graphene And at least one bending deformation of at least one graphen between at least one graphene and a drain electrode is provided to adjust the on / Adjusting / Off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(e). Wherein at least one of (a) to (d) is selected, wherein (1) in the drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(f). In one or more of the above-mentioned (a) to (d), in an embodiment of the present invention, the components shown in this figure appropriately shown are not necessarily construed to limit the form of this figure, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(g). In one embodiment of the present invention, where one or more of the magnetic particles, the particles having a charge, are selected in the figure, the figure shows that the one or more magnetic particles , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
3B
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
4A
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
4B
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
4c
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
4d
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
4E
3A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
4F
(a). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Due to the voltage of the circuit, one or more graphenes may be provided with at least one bending deformation to control the electrical on / off, and the height of the at least one graphene fermi level between the at least one graphene and the drain electrode Adjusting the On / Off of the electricity; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the circuit to control ON / OFF of electricity, wherein at least one bending deformation of at least one graphen is provided between at least one graphen and a drain electrode, To adjust the On / Off of the display; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, each of the particles (a) to (b) is selected so that each time there is at least one charged particle in the figure, (For the sake of easy understanding of the drawings, although it is not shown in the figure) in which the thin film (one selected from the ultra thin film and the thin film) is provided together.
(f). At least one of the above (a) to (b) is selected. In this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided on the source electrode and the drain electrode means an insulating layer.
4G
4F. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
5A
(a). In this figure, one or more Piezo (piezoe) material provided at the bottom of one or more graphenes in the form of one or more graphene and drain electrodes having a non-coplanar plane, The voltage of one or more graphenes may be provided as one or more bending deformations due to the voltage of the adjustment circuit to control the ON / OFF of electricity, and the height of the Fermi level (Fermi level) of one or more graphenes To adjust the electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). In this figure, one or more Piezo (piezoe) material provided at the bottom of one or more graphenes in the form of one or more graphene and drain electrodes having a non-coplanar plane, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the adjusting circuit so as to control ON / OFF of electricity, and at least one bending deformation of at least one graphen between at least one graphen and a drain electrode, Adjusting the electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, where one or more Piezo material is given in the figures, this figure shows that one or more of the above (a) to (b) Can be interpreted to mean a state in which an insulating layer (ultra thin film, thin film, or the like) is provided together (though not shown in the drawing - for easy understanding of the drawings).
5B
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
5c
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
5D
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
5E
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided under the drain electrode means a layer of insulating material.
5f
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided under the drain electrode means a layer of insulating material.
5g
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, (1). The layer provided on the source electrode and the drain electrode means an insulating layer. Also, (2). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (3). The layer provided under the drain electrode means a layer of insulating material.
5H
5A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, (1). The layer provided on the source electrode and the drain electrode means an insulating layer. Also, (2). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (3). The layer provided under the drain electrode means a layer of insulating material.
6A
(a). The figure shows that in the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit crossing the circuit of the one or more graphenes, one or more The method of claim 1, wherein the at least one graphene is provided with at least one bending deformation to control electrostatics on / off, wherein at least one graphene fermi level between the at least one graphene and the drain electrode Level) to adjust the electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). The figure shows that in the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit crossing the circuit of the one or more graphenes, one or more The method of claim 1, wherein the at least one graphene is subjected to at least one bending deformation of at least one graphen between the at least one graphene and the drain electrode, So as to control electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.

6B
6A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
7A
6A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
7B
6A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
7C
6A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
8A
(a). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Due to the voltage of the circuit, one or more graphenes may be provided with at least one bending deformation to control the electrical on / off, and the height of the at least one graphene fermi level between the at least one graphene and the drain electrode Adjusting the On / Off of the electricity; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the circuit to control ON / OFF of electricity, wherein at least one bending deformation of at least one graphen is provided between at least one graphen and a drain electrode, To adjust the On / Off of the display; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). In this figure, at least one graphene and a drain electrode have non-coplanar surfaces, and at least one magnetic particle provided at the bottom of one or more graphenes intersects a circuit of the at least one graphene One or more graphenes may be provided as one or more bending deformations to adjust the electrical on / off, and to adjust the height of one or more graphene's fermi level between the graphene and the drain electrode To control electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(d). In this figure, at least one graphene and a drain electrode have non-coplanar surfaces, and at least one magnetic particle provided at the bottom of one or more graphenes intersects a circuit of the at least one graphene And at least one bending deformation of at least one graphen between at least one graphene and a drain electrode is provided to adjust the on / Adjusting / Off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(e). Wherein at least one of (a) to (d) is selected, wherein (1) in the drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(f). In one or more of the above-mentioned (a) to (d), in an embodiment of the present invention, the components shown in this figure appropriately shown are not necessarily construed to limit the form of this figure, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(g). In one embodiment of the present invention, where one or more of the magnetic particles, the particles having a charge, are selected in the figure, the figure shows that the one or more magnetic particles , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
8B
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
9A
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
9B
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
9C
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
9D
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
9E
8A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
9f
(a). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Due to the voltage of the circuit, one or more graphenes may be provided with at least one bending deformation to control the electrical on / off, and the height of the at least one graphene fermi level between the at least one graphene and the drain electrode Adjusting the On / Off of the electricity; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). This figure shows that, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge at the bottom of the at least one graphene, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the circuit to control ON / OFF of electricity, wherein at least one bending deformation of at least one graphen is provided between at least one graphen and a drain electrode, To adjust the On / Off of the display; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, each of the particles (a) to (b) is selected so that each time there is at least one charged particle in the figure, (For the sake of easy understanding of the drawings, although it is not shown in the figure) in which the thin film (one selected from the ultra thin film and the thin film) is provided together.
(f). At least one of the above (a) to (b) is selected. In this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided on the source electrode and the drain electrode means an insulating layer.
9g
9F. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
10A
(a). In this figure, one or more Piezo (piezoe) material provided at the bottom of one or more graphenes in the form of one or more graphene and drain electrodes having a non-coplanar plane, The voltage of one or more graphenes may be provided as one or more bending deformations due to the voltage of the adjustment circuit to control the ON / OFF of electricity, and the height of the Fermi level (Fermi level) of one or more graphenes To adjust the electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). In this figure, one or more Piezo (piezoe) material provided at the bottom of one or more graphenes in the form of one or more graphene and drain electrodes having a non-coplanar plane, Wherein at least one graphen is provided with at least one bending deformation due to the voltage of the adjusting circuit so as to control ON / OFF of electricity, and at least one bending deformation of at least one graphen between at least one graphen and a drain electrode, Adjusting the electricity on / off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, where one or more Piezo material is given in the figures, this figure shows that one or more of the above (a) to (b) Can be interpreted to mean a state in which an insulating layer (ultra thin film, thin film, or the like) is provided together (though not shown in the drawing - for easy understanding of the drawings).
10B
10A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
10C
10A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
10d
10A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided on the source electrode and the drain electrode means an insulating layer.
11A
(a). In this figure, in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more charges The grains having at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
A single electron transistor, and the like.
(b). In this figure, in the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more magnetic The grains having at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
A single electron transistor, and the like.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, where one or more of (a) to (b) above are selected, in one embodiment of the present invention each time one of the magnetic particles, , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
(f). In one embodiment of the present invention, at least one of the above-mentioned (a) to (b) is selected. Providing the island electrode on the insulating layer provided on the upper part of the at least one graphene, (For example, a vacuum space, an air space, or a selected space) that is free from deformation so as to be able to be deformed. Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(g). In one embodiment of the present invention, at least one of the above-mentioned (a) to (b) is selected. In the present invention, the insulating layer is provided on the at least one graphene. , And a space (for example, a vacuum space, an air space, or a selected space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(h). At least one of the above-mentioned (a) to (b) is selected. In this figure, the layer provided above the source electrode and the drain electrode means an insulating layer.
11B
11A. A simplified schematic of a single electron transistor in a graphene.
11C
This is the same as the description of (a) to (g) in FIG. 11A. A simplified schematic of a single electron transistor in a graphene.
11D
11A. A simplified schematic of a single electron transistor in a graphene.
11E
(a). In this figure, in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more charges The grains having at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
A single electron transistor, and the like.
(b). In (a) above, (1) in this figure. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(c). In (a), in an embodiment of the present invention, the components shown in the drawings properly shown are not necessarily construed to limit the present invention to the form of this figure, It has the contents described, and its size and form can be changed. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(d). In (a), in one embodiment of the present invention, each time a particle having more than one electric charge is given in the figure, the figure shows that an insulating layer (ultra thin film, thin film, ) Are provided together (although they are not shown in the drawings - for easy understanding of the drawings).
(e). In one embodiment of the present invention, the island electrode is provided on the insulating layer provided on the upper portion of the at least one graphene. In this case, the island electrode may be deformed in a deformable free space For example, a vacuum space, an air space, or the like). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(f). In (a), in one embodiment of the present invention, the provision of the insulating layer on one or more of the graphenes may include providing a deformable free space (e.g., a vacuum space, An air space, and a space selected from among the air space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(g). In (a) above, in this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided on the source electrode and the drain electrode means an insulating layer.
12A
(a). This figure shows that in the form of one or more graphene and drain electrodes having a non-coplanar plane and an insulating layer therebetween, particles having at least one charge provided at the bottom of the at least one graphene, One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of FIG.
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
And an electron tunneling graphene transistor.
(b). In this figure, at least one graphene and a drain electrode are provided with a non-coplanar plane and an insulating layer interposed therebetween, one or more magnetic particles provided at the bottom of one or more graphenes, At least one graphene and an insulating layer are provided in at least one bending deformation,
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
And an electron tunneling graphene transistor.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, where one or more of (a) to (b) above are selected, in one embodiment of the present invention each time one of the magnetic particles, , And a state in which an insulating layer (an ultra-thin film, a thin film, or the like) is provided on top of a selected one of the particles having charge (for easy understanding of the drawings though not shown in the figure) can do.
(f). In one embodiment of the present invention, at least one of the above-mentioned (a) to (b) is selected. In the present invention, the insulating layer is provided on the at least one graphene. , And a space (for example, a vacuum space, an air space, or a selected space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(g). At least one of the above-mentioned (a) to (b) is selected. In this figure, the layer provided above the source electrode and the drain electrode means an insulating layer.
12B
12A. A schematic of an electron tunneling graphene transistor.
12C
12A. A schematic of an electron tunneling graphene transistor.
12D
12A. A schematic of an electron tunneling graphene transistor.
12E
12A. A schematic of an electron tunneling graphene transistor.
12f
(a). This figure shows that in the form of one or more graphene and drain electrodes having a non-coplanar plane and an insulating layer therebetween, particles having at least one charge provided at the bottom of the at least one graphene, One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of FIG.
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
And an electron tunneling graphene transistor.
(b). In (a) above, (1) in this figure. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(c). In (a), in an embodiment of the present invention, the components shown in the drawings properly shown are not necessarily construed to limit the present invention to the form of this figure, It has the contents described, and its size and form can be changed. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(d). In (a), in one embodiment of the present invention, each time a particle having more than one electric charge is given in the figure, the figure shows that an insulating layer (ultra thin film, thin film, ) Are provided together (although they are not shown in the drawings - for easy understanding of the drawings).
(e). In (a), in one embodiment of the present invention, the provision of the insulating layer on one or more of the graphenes may include providing a deformable free space (e.g., a vacuum space, An air space, and a space selected from among the air space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(f). In (a) above, in this figure, (1). The layer provided between the top of the intersecting barrier regulating circuit and the bottom of the circuit of one or more graphene means a layer of insulating material. Also, (2). The layer provided on the source electrode and the drain electrode means an insulating layer.
12g
12F. A schematic of an electron tunneling graphene transistor.
13A
(a). In this figure, in the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more Piezo (Piezo) material comprises at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
A single electron transistor, and the like.
(b). In (a) above, (1) in this figure. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(c). In (a), in an embodiment of the present invention, the components shown in the drawings properly shown are not necessarily construed to limit the present invention to the form of this figure, It has the contents described, and its size and form can be changed. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(d). (A), in one embodiment of the present invention, each time one or more Piezo material is given in the figure, the figure shows an insulating layer (ultra thin film, thin film, (For the sake of easy understanding of the drawings) although it is not shown in the drawings.
(e). In one embodiment of the present invention, the island electrode is provided on the insulating layer provided on the upper portion of the at least one graphene. In this case, the island electrode may be deformed in a deformable free space For example, a vacuum space, an air space, or the like). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(f). In (a), in one embodiment of the present invention, the provision of the insulating layer on one or more of the graphenes may include providing a deformable free space (e.g., a vacuum space, An air space, and a space selected from among the air space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(g). In (a), the layers provided on the source and drain electrodes in the figure refer to an insulating layer.
13B
13A. A simplified schematic of a single electron transistor in a graphene.
13C
13A. A simplified schematic of a single electron transistor in a graphene.
14A
(a). In this figure, one or more Piezo (piezoe) materials provided at the bottom of one or more graphenes in the form of one or more graphene and drain electrodes having a non-coplanar plane and an insulating layer therebetween, At least one graphene and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the pin,
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
And an electron tunneling graphene transistor.
(b). In (a) above, (1) in this figure. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(c). In (a), in an embodiment of the present invention, the components shown in the drawings properly shown are not necessarily construed to limit the present invention to the form of this figure, It has the contents described, and its size and form can be changed. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(d). (A), in one embodiment of the present invention, each time one or more Piezo material is given in the figure, the figure shows an insulating layer (ultra thin film, thin film, (For the sake of easy understanding of the drawings) although it is not shown in the drawings.
(e). In (a), in one embodiment of the present invention, the provision of the insulating layer on one or more of the graphenes may include providing a deformable free space (e.g., a vacuum space, An air space, and a space selected from among the air space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(f). In (a), the layers provided on the source and drain electrodes in the figure refer to an insulating layer.
14B
14A. A schematic of an electron tunneling graphene transistor.
14C
14A. A schematic of an electron tunneling graphene transistor.
14D
14A. A schematic of an electron tunneling graphene transistor.
15A
(a). In this figure, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge provided at the bottom of the at least one graphene are crossed with a circuit of one or more graphenes, The particles having at least one electric charge due to the voltage (which means a positive electrode or a negative electrode) have one or more graphenes as one or more bending deformation, thereby controlling the electric on / off, Adjusting the height of the Fermi level of one or more graphenes to adjust the electrical On / Off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(b). In this figure, in the form of one or more graphene and drain electrodes having non-coplanar surfaces, the particles having at least one charge provided at the bottom of the at least one graphene are crossed with a circuit of one or more graphenes, The particles having at least one electric charge due to the voltage (which means a positive electrode or a negative electrode) have one or more graphenes as one or more bending deformation, thereby controlling the electric on / off, Having at least one bending deformation of at least one graphene to adjust the electrical On / Off; The present invention relates to a graphene graphene, and more particularly, to a graphene graphene graphene graphene graphene.
(c). At least one of the above-mentioned (a) to (b) is selected, and (1) in this drawing. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(d). In one or more of the above (a) to (b), in an embodiment of the present invention, the components shown in this figure properly shown are not necessarily construed to limit the figures of the drawings, The present invention is not limited to the above-described embodiments, and various changes and modifications may be made without departing from the scope of the present invention. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(e). In one embodiment of the present invention, each of the particles (a) to (b) is selected so that each time there is at least one charged particle in the figure, (For the sake of easy understanding of the drawings, although it is not shown in the figure) in which the thin film (one selected from the ultra thin film and the thin film) is provided together.
(f). At least one of the above-mentioned (a) to (b) is selected. In this figure, the layer provided above the source electrode and the drain electrode means an insulating layer.
15B
15A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
15C
15A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene.
15D
15A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided between the top of the crossing barrier regulating circuit and the bottom of one or more graphene circuits means a layer of insulating material.
15E
15A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided between the top of the crossing barrier regulating circuit and the bottom of one or more graphene circuits means a layer of insulating material.
15F
15A. A schematic diagram of a transistor with on / off control of electricity with one or more bending deformation of graphene. In this figure, the layer provided between the top of the crossing barrier regulating circuit and the bottom of one or more graphene circuits means a layer of insulating material.
16A
(a). This figure shows that in the form of one or more graphene and drain electrodes having non-coplanar surfaces and an insulating layer therebetween, particles having at least one charge provided at the bottom of the at least one graphene, The particles having at least one electric charge due to the voltage (meaning a positive electrode or a negative electrode) of the barrier adjusting circuit intersecting with the circuit have at least one graphene and an insulating layer as at least one bending deformation,
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
And an electron tunneling graphene transistor.
(b). In (a) above, (1) in this figure. The layer provided with the bending deformation means at least one graphene, (2). The source electrode means the electrically conductive material-left side, which is connected to one or more graphenes; Drain electrode means an electrically conductive material-right side portion having non-coplanar plane with at least one graphene.
(c). In (a), in an embodiment of the present invention, the components shown in the drawings properly shown are not necessarily construed to limit the present invention to the form of this figure, It has the contents described, and its size and form can be changed. Accordingly, those of ordinary skill in the art will appreciate that the drawings are shown to be illustrative in nature so as not to give unnecessary interpretations.
(d). In (a), in one embodiment of the present invention, each time a particle having more than one electric charge is given in the figure, the figure shows that an insulating layer (ultra thin film, thin film, ) Are provided together (although they are not shown in the drawings - for easy understanding of the drawings).
(e). In (a), in one embodiment of the present invention, the provision of the insulating layer on one or more of the graphenes may include providing a deformable free space (e.g., a vacuum space, An air space, and a space selected from among the air space). Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).
(f). In (a), the layers provided on the source and drain electrodes in the figure refer to an insulating layer.
16B
16A. A schematic of an electron tunneling graphene transistor.
16C
16A. A schematic of an electron tunneling graphene transistor. In this figure, the layer provided between the top of the crossing barrier regulating circuit and the bottom of one or more graphene circuits means a layer of insulating material.
16D
16A. A schematic of an electron tunneling graphene transistor. In this figure, the layer provided between the top of the crossing barrier regulating circuit and the bottom of one or more graphene circuits means a layer of insulating material.

A transistor and graphene single electron transistor and electron tunneling graphene transistor having on / off control of electricity with at least one bending deformation of graphene applied to the present invention are configured as shown in Figs. 1 to 16D.

In the following description of the present invention, detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are intended to be exemplary only, and are not intended to limit the scope of the invention.

In one embodiment of the present invention, the meaning of the "voltage" of the barrier regulating circuit is not interpreted to mean a voltage in the specification of the present invention, and one or more Piezo Current, voltage, or the like required to provide at least one bending strain.

In one embodiment of the present invention, the meaning of the "voltage" of the barrier regulating circuit is not interpreted to mean a voltage in the context of the present invention, and particles having at least one charge, Means any one or more of the actions that can generate the electric, current, voltage, electric field required to have at least one bending deformation. In one embodiment of the present invention, the meaning of "voltage" in the barrier regulating circuit may mean either a positive electrode or a negative electrode.

In one embodiment of the present invention, the meaning of the "voltage" of the barrier regulating circuit is not interpreted to mean a voltage in the context of the present invention, and one or more magnetic particles included in the present invention may include one or more graphenes Means any one or more of electric, current, voltage, and any action capable of generating a magnetic field necessary for bending deformation.

In one embodiment of the present invention, the "voltage" of the barrier regulating circuit causes an electrostatic attraction to one or more graphenes provided below the barrier regulating circuit.

In one embodiment of the invention, the "voltage" of the barrier regulating circuit means a positive voltage that is provided in a graphene single electron transistor (graphene single electron transistor).

In one embodiment of the present invention, "graphene circuit" or "graphene circuit" means a circuit in which at least one graphene is provided in the present invention. The term "graphene circuit" or "graphene circuit" means "graphene bending circuit".

In one embodiment of the invention, "adjusting the height of the Fermi level" (1). The graphene is provided with at least one bending deformation to adjust the height of the graphene Fermi level (Fermi level) or (2). Means that at least one graphene is provided with at least one bending deformation to control the height of the Fermi level of one or more graphenes.

In one embodiment of the invention, (a) at least one graphene provided on top of one or more Piezo material, magnetic particles, particles having charge, is selected. A selected range of 100 nm or less, (b). A selected range of 10 nm or more, (c). A selected range of 1 nm or more, (d). (A) to (d) consisting of at least one of the peaks and valleys selected from the range of 0.1 nm to 0.1 nm. However, in the present invention, The baseline with the mean value is assumed to be the plane of one or more graphenes.

The present invention is characterized in that one or more grapefine and drain electrodes are provided in a non-coplanar plane, one selected from among a Piezo (piezoe) material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, wherein one or more graphenes and / Adjusting the height of the graphene Fermi level (Fermi level) to adjust the electricity on / off; And at least one bending deformation of the graphene to control on / off of electricity.

In addition, the present invention provides a method of manufacturing a semiconductor device, which includes at least one graphene and a drain electrode in a form having non-coplanar surfaces, at least one Piezo material, a magnetic particle, Wherein at least one graphene is provided with at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene so as to control ON / OFF of electricity, Having at least one bending deformation of at least one graphene to adjust the electrical On / Off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, the present invention provides a barrier regulating circuit comprising at least one of Piezo (piezoe) material, magnetic particles, and particles having charge at the bottom of graphene, It is a principle of a transistor that has graphene as one or more bending deformation due to a voltage and controls the electric on / off by adjusting the height of the graphene fermi level (Fermi level). The height of graphene's Fermi level (Fermi level) can have graphene as one or more bending deformation due to the choice of one or more Piezo material, magnetic grains, charged grains, Level) will be adjusted. The above description can be adjusted by the voltage of the circuit (barrier regulation circuit) crossing the graphene circuit. Such a configuration may include at least one bending deformation of at least one graphen having one or more Piezo material, magnetic particles, and particles having charge selected thereon to form a Fermi level of at least one graphene, Can be understood as adjusting the height of the. Therefore, based on the above description, the present invention can develop a transistor that utilizes the fast conductivity of graphene. In addition, the present invention provides a method of fabricating a semiconductor device in which graphene, which has been difficult to solve with conventional standby power, is provided with one or more of a vacuum layer, an air layer, a physical gap (which may mean, for example, The graphene is provided with at least one bending deformation to control the electrical on / off. The structure of the present invention can be configured as a transistor having a higher conduction speed than a conventional field effect transistor.

The present invention also relates to a standby power problem of graphene, which has been recognized as a difficulty in the past, in that one or more graphene and drain electrodes are provided with a non-coplanar plane, one or more Piezo , Magnetic particles, and particles having electric charges, one or more graphenes may be provided as one or more bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene, Adjust, b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; Thereby solving the latency problem of graphene.

The present invention also relates to a standby power problem of graphene, which has been recognized as a difficulty in the past, in that one or more graphene and drain electrodes are provided with a non-coplanar plane, one or more Piezo , Magnetic particles, and particles having electric charges, one or more graphenes may be provided as one or more bending deformation due to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene, Adjust, b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; Thereby solving the latency problem of graphene.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, And may be provided with one or more bending deformation along with at least one graphene in the form of a PDMS layer, an elastomer layer, a liquid polymer layer, an insulating layer, a layer having a low Young's modulus, .

In one embodiment of the invention, the elastomeric layer means an elastomeric layer.

In one embodiment of the present invention, one of the layers selected from among a PDMS layer, an elastomer layer, an insulating layer, a layer having a Young's modulus on top of one or more graphenes, May be partially or entirely absent. In one embodiment of the present invention, said at least one partially or totally unfilled state further comprises at least one bending deformation of at least one graphene, A layer having a PDMS layer, an elastomer layer, an insulating layer, and a Young's modulus is formed on one or more graphenes so that electrons can easily move from one or more graphenes to a drain electrode when provided with a bending strain. Is not provided at the drain electrode side portion. Therefore, when such a structure includes one or more graphenes with at least one bending deformation, and then at least one graphene with at least one bending deformation, while electrons are easily deformed from one or more graphenes to the drain electrode It can be a mobile configuration.

In one embodiment of the present invention, the choice of a PDMS layer, an elastomer layer, an insulating layer, a layer with a low Young's modulus on top of one or more graphenes, An elastomeric layer, an insulating layer, a layer having a Young's modulus, and a lower portion of a selected one of the layers, wherein the layer has at least one bending deformation. The upper part of the at least one graphene) and the side surface are not fully bonded to the material constituting the surrounding environment, so that when the at least one graphene is provided with at least one bending deformation, the part of the drain electrode can be sufficiently bent and pushed up have. Therefore, when such a structure includes one or more graphenes with at least one bending deformation, and then at least one graphene with at least one bending deformation, while electrons are easily deformed from one or more graphenes to the drain electrode It can be a mobile configuration.

In one embodiment of the present invention, the provision of an insulating layer may mean that a thin layer of polydimethylsiloxane (PDMS) is provided.

In one embodiment of the present invention, the present invention can use transfer technology in providing graphene. In addition, it can be provided by using a conventional semiconductor manufacturing technique in common in providing an intersecting circuit (barrier adjusting circuit).

In one embodiment of the present invention, in constructing a graphene circuit, one or more Piezo (piezoe) material, a magnetic particle, and a particle having a charge are selected together with graphene, So that a graphene circuit can be constructed.

In one embodiment of the present invention, the sacrificial layer may be provided as a sacrificial layer having a layer selected from a vacuum layer and an air layer (air layer), and the sacrificial layer may be formed by a conventional semiconductor process Quot; means a sacrificial layer used in < / RTI >

In one embodiment of the present invention, the sacrificial layer means a layer having polymethylmethacrylate (PMMA) dissolved in an organic solvent.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Layered state in which at least one of a PDMS layer, an elastomer layer, a liquid polymer layer, and an insulating layer is provided on the upper surface of the substrate, and the height of the Fermi level (Fermi level) On / off " In one embodiment of the present invention, one or more bending deformation is provided in a multi-layer state in which at least one layer of a PDMS layer, an elastomer layer, and an insulating layer is provided on top of the graphene, Fermi level) may mean that at least one Young's modulus is provided.

In one embodiment of the present invention, the bending strain may be provided with Young's modulus.

In one embodiment of the present invention, the bending deformation can be understood as a graphene having a spatially deformed by having a curvature. In one embodiment of the present invention, the bending deformation can be understood as a bending deformation in which the deformation is spatially provided by having a curvature in a multi-layered state (a multilayer structure including at least one graphene).

In one embodiment of the present invention, one or more bending deformation of graphene having one or more Piezo (piezoe) material, magnetic particles, particles having charge selected thereon, A curvature, and a curved shape are provided.

In one embodiment of the present invention, spatial deformation of graphene means that a thin plate is provided with a choice of either bending deformation, curvature, or curvature.

In one embodiment of the present invention, the 'curvature' provided by the bending deformation may mean 'curvature shape'. Therefore, 'curvature' and 'curvature shape' can be interpreted in the same sense.

In one embodiment of the invention, the magnetic particles mean one or more nanomagnetic particles.

In one embodiment of the invention, the magnetic particles mean one or more ferromagnetic particles or nano-ferromagnetic particles.

In one embodiment of the present invention, the magnetic particles may mean selected particles or atoms of iron, nickel, cobalt.

In one embodiment of the present invention, the magnetic particles may mean a synthetic material having a Magnet property.

In one embodiment of the present invention, the magnetic particles may refer to nanosynthesized materials having magnetism.

In one embodiment of the invention, the magnetic particles may be selected from among, but not limited to, Magnet (Magnet), Nano Magnet (Magnet) particles, and Magnet (Magnet) properties.

In one embodiment of the present invention, the magnetic particles may be selected from magnetic metal complexes, single molecule magnets.

In one embodiment of the present invention, the magnetic particles mean magnetic particles having a size of several nm to several hundreds of nm, but the size is not limited thereto.

In one embodiment of the present invention, particles having charge mean particles having a charge of several nanometers to several hundreds of nanometers in size, but the size is not limited thereto.

In one embodiment of the present invention, the provision of at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, And may have associated forms.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, Thereby providing one or more graphenes having spatial deformation, and can be interpreted as a plate having one or more geometries. Here, Geometry refers to the interpretation of the spatial mathematical properties of graphene spatially deformed.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected is a Geometry Or the like.

In one embodiment of the present invention, having at least one Piezo material, magnetic particles, particles having charge, and at least one graphene is provided with an electronic device fabrication process performed in a conventional plane .

In one embodiment of the present invention, having at least one bending deformation of one or more graphenes on top of one or more Piezo, magnetic, and charged particles selected, And a plurality of graphenes having spatial deformation.

In one embodiment of the present invention, having at least one bending deformation of at least one Piezo material, magnetic particle, graphene with a selected one of the grains having charge thereon, has at least one positive curvature Lt; / RTI >

In one embodiment of the present invention, the provision of at least one bending deformation of graphene having one or more Piezo (piezoe) material, magnetic particles and particles having charge selected thereon, As shown in FIG.

In one embodiment of the present invention, the present invention can include the step of providing at least one graphene as a carrier medium, such as a carrier fluid, in the presence of at least one graphene.

In one embodiment of the present invention, the provision of one or more graphenes with charge-bearing particles on top of one or more bending deformation can be described by Coulomb's law.

Figure pat00001

Here, F = force, k e = coulomb constant, q 1, q 2 = magnitude of charge, and r = distance between two charges. If the two charge numbers are the same, push it out, pull it apart.

In an embodiment of the present invention, the particles having charge are selected from the group consisting of an air layer, a vacuum layer, a gas layer, a liquid layer, a layer (a layer in which charged particles such as colloidal particles, May refer to particles having charges such as colloidal particles.

In one embodiment of the present invention, the provision of at least one graphene having magnetic particles on its upper portion as at least one bending deformation can be described by Ampere law.

In one embodiment of the present invention, Ampere law is a simpler representation of the relationship between a current in a space and a magnetic field formed in the space.

In one embodiment of the invention, Piezo refers to the converse piezoelectric effect. That is, mechanical deformation occurs when an electric field is applied.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo, magnetic, and charged particles, Quot; bending "). ≪ / RTI >

In one embodiment of the present invention, the provision of at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, As shown in Fig.

In one embodiment of the present invention (1), at least one bending deformation is provided with at least one graphene having at least one selected from a Piezo material, a magnetic particle, and a charge. At least one bending deformation height in the range of at least 100 nanometers but less than 500 nanometers, (2). (1) to (2), which is composed of at least one height of at least one bending deformation having a range of not less than 0.1 nanometer and not more than 100 nanometers.

In one embodiment of the present invention, the present invention relates to a method of producing a magnetic recording medium comprising at least one bending deformation of at least one graphen having one or more Piezo material, magnetic particles, (For example, a vacuum space, an air space, or a selected space) free from deformation. In one embodiment of the present invention, the modified free space may mean a deformation-free layer (e.g., a vacuum layer, an air layer, a layer selected from).

In one embodiment of the present invention, it is preferred that the PDMS layer, the elastomer layer, the liquid polymer layer, the layer having the Young's modulus, the insulating layer, Means an elastomer layer, a liquid polymer layer, a layer having a Young's modulus, an insulating layer, and a deformation-free space (for example, an air space) do. In one embodiment of the present invention, the modified free space can mean a deformable free layer (e.g., an air layer).

In one embodiment of the present invention, the provision of the island electrode on the upper part of the insulating layer provided on the upper part of the at least one graphen is advantageous in that the island electrode is deformable free from deformation (for example, , An air space, and the like). In one embodiment of the present invention, the modified free space may mean a deformation-free layer (e.g., a vacuum layer, an air layer, a layer selected from).

In one embodiment of the present invention, the provision of the insulating layer on top of the at least one graphene may be selected from a strain free space (e.g., a vacuum space, an air space) free of deformation so that the insulating layer can be sufficiently deformed Quot; space ").

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo (piezoe) material, magnetic particles, particles having charge, And at least one graphen which is spatially deformed is provided, which can be interpreted as a bending dynamics of the plate.

In one embodiment of the invention, the present invention relates to a method of producing a magnetic recording medium comprising at least one bending deformation of at least one graft having at least one Piezo material, magnetic particles, (For example, a structure including a sufficiently rigid material) to limit the target value of the mechanical deformation of at least one graphene in order to avoid lethal deformation such as breakage (tearing) can do.

In one embodiment of the present invention, the present invention provides a multi-layer structure having at least one selected from a Piezo material, a magnetic particle, and a charge, wherein the PDMS layer, the elastomer layer, Layered structure is provided on the upper portion of one or more graphenes and has elasticity in a multilayered state, and in order to avoid lethal deformation such as breakage (tearing) or peeling, which may be caused when the layer has more than one bending deformation, (For example, a structure including a sufficiently rigid material) that gives a limit of the target value of the mechanical deformation of the state.

In one embodiment of the present invention, the strain-free structure which limits the target value of the mechanical strain, which is provided in order to avoid lethal deformation such as breakage (tearing) or peeling, An insulating layer (e.g., an insulating layer in contact with a CMOS wafer in a wafer bonding process).

In one embodiment of the present invention, the structure in which the strain is zero, which limits the target value of the mechanical strain, which is provided in order to avoid lethal deformation such as breakage (peeling) or peeling, (An insulating layer in contact with the barrier adjusting circuit to be crossed), which is provided between the barrier adjusting circuits that intersect with the barrier adjusting circuits.

In one embodiment of the present invention, the present invention provides a magnetic recording medium comprising at least one bending deformation of at least one graft having at least one Piezo material, magnetic particles, There may be provided a layer comprising a solid enough material to protect the strain sensitive layer that occurs when the height of the Fermi level of graphene is adjusted.

In one embodiment of the invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, It can be understood that it has at least one bending deformation.

In one embodiment of the present invention, at least one graphene having one or more Piezo material, magnetic particles, particles having charge selected thereon is provided with at least one bending deformation, Adjusting the height of the Fermi level (Fermi level) is adjusted with the area where one or more deformations occur.

In one embodiment of the present invention, at least one graphene having one or more Piezo material, magnetic particles, particles having charge selected thereon is provided with at least one bending deformation, Adjusting the height of the Fermi level is provided with one or more spatially non-uniform shapes. The at least one spatially non-uniform shape may be described as having at least one Young's modulus.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo (piezoe) material, magnetic particles, particles having charge, selected from bending from the bending deformation surface, The height of the deformation is described by the cross-sectional area.

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo (piezoe) material, magnetic particles, particles having charge, Wherein the at least one curvature is described by the bending dynamics of the plate.

In one embodiment of the present invention, the bending deformation of graphene having at least one selected from a Piezo material, a magnetic particle, and a charge is selected so that the bending elastic modulus bending modulus, which can be calculated by a molecular mechanics approach.

In one embodiment of the present invention, the provision of graphene having one or more Piezo (piezoe) material, magnetic particles, and charged particles selected thereon as a bending deformation provides a material having a basic planar stiffness and Poisson's ratio Can be explained by the bending dynamics of the plate.

In one embodiment of the present invention, it is possible to have more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Out-of-plane displacement < u > of Geometry. In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo (piezoe) material, magnetic particles, particles having charge, , Which is one or more out-of-plane displacements <u> that are provided by one or more geometries.

In one embodiment of the present invention, at least one graphene having one or more Piezo material, magnetic particles, particles having charge selected thereon is provided with at least one bending deformation, Adjusting the height of the Fermi level (Fermi level) may comprise selecting one or more bending strains attached to one or more closely attached to the drain electrode, closely positioned, closely enough, closely attached . It is defined as a physical dimension that is greater than a selected dimension of 10 nm, 0.1 nm, selected from one or more closely spaced, closely spaced, closely spaced. In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected from the group consisting of 200 nm, 100 nm , 10 nm, 1 nm, and 0.1 nm, respectively, of a bending deformation having a physical dimension larger than a selected dimension. In one embodiment of the present invention, in one or more bending strains of one or more graphenes, electrons are implanted into the graphene layer in a range where the at least one bending strain of the graphene has a physical spacing of 60 nm or less in the state of the drain electrode and the vacuum layer, It is possible to quickly move to the drain electrode.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, But is not limited to, at least one bending deformation in a selected range of 25% or less, 20% or less, 10% or less, 1% or more, and 25% to 0.1% Here, the deformation range is a range of deformation when one or more graphenes are bent at 90 degrees from a plane having one or more graphenes to 100%. In one embodiment of the present invention, the range of deformation means that the angle from the plane provided by the straight line connecting the starting point of the curvature and the vertex, which is the highest point of curvature, provided by the deformation is expressed as a percentage.

In one embodiment of the present invention, one or more bending deformation of one or more Piezo (piezoe) material, magnetic particles, particles having charge, and one or more graphenes selected thereon is provided in a multilayer state , And a Curvature of the multilayer curvature.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Or has at least one graphene layer having an apparently spatially varying shape.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, and particles having charge, satisfies the finite element simulation One or more structures, forms, or the like. In one embodiment of the present invention, the finite element simulation may be performed with one or more elements selected from 8-node elements, 4-node elements. Or in one embodiment of the present invention, finite element simulation may be performed with one or more elements in the form of a honeycomb lattice. In one embodiment of the present invention, the finite element simulation is a finite element simulation of a multi-layer structure including at least one graphene, (1). At least one graphene having at least one element in the form of a honeycomb lattice; The layers forming the multi-layer structure excluding at least one graphene have at least one element selected from among an 8-node element and a 4-node element, (3). . In one embodiment of the present invention, the finite element simulation is a finite element simulation of a multi-layer structure including at least one graphene, (1). At least one graphene having at least one element in the form of a honeycomb lattice; The layers forming the multi-layer structure, excluding one or more graphenes, comprise at least one hexahedral element with an 8-node, 4-node multi-layered shell element. . In one embodiment of the present invention, in the finite element simulation, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Buckling type, membrane type, and the like. The finite element simulation presented in one aspect provides information on the mechanical deformation of a multi-layer structure including one or more graphens or one or more graphens.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, .

In one embodiment of the present invention, having at least one graphene on top of one or more magnetic particles, particles having charge selected thereon, with at least one bending deformation may have one or more buckling shapes, The one or more buckling shapes may occur as a small number of wavelengths are fused together.

In one embodiment of the invention, one or more Piezo material, magnetic particles, particles having charge, one or more graphenes provided on top of the selected material are used as the at least one Piezo material, Or a particle having a large particle size, or a particle-size particle size distribution.

In one embodiment of the present invention, the transistor of the present invention comprises: a. A non-coplanar structure of one or more graphene and drain electrodes having physical spacing between one or more graphene and drain electrodes, b. (A) consisting of one or more Piezo (piezoe) material, magnetic particles, particles having charge, one or more mechanical deformations that occur when one or more graphenes selected therefrom are provided with one or more bending deformation, To b. The 'physical spacing' presented on one side means (a). Spacially distant, (b). (A) to (b) consisting of a space filled with something and a space apart from the filled space.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, State, a state of a layer selected from among at least one curvature, a hill, and the like.

In one embodiment of the present invention, graphene having at least one Piezo material, magnetic grains, and charge grains, which are selected from the above, is provided with one or more bending deformation, Or more bending deformation '. In one embodiment of the present invention, the voltage of the barrier regulating circuit may affect the conduction of the graphene nanoribbons in that graphene nanoribbons are provided with more than one bending deformation. In one embodiment of the invention, graphene is 1). Graphene, 2). Graphene nanoribbon, 3). The graphene and the graphene having at least one electrically conductive material at a portion connected to the drain electrode; A graphene nanoribbon and at least one electrically conductive material at the portion of the graphene nanoribbon connected to the drain electrode; A graphene or graphene nanoribbon, and a layer in which one or more layers of electrically conductive material have a multi-layered state and can have more than one bending deformation; Graphene or graphene nanoribbons and a layer having at least one low Young's modulus having a multi-layered state and capable of having more than one bending deformation, 7). Wherein the layer of electrically conductive material having graphene or graphene nanoribbons and one or more low Young's modulus has a multi-layered state and can have more than one bending strain. But it can be provided with various modifications in terms of taking advantage of the fact that it is not destroyed by the excellent conductivity and large mechanical deformation of graphene and that the conductivity is not changed even in a large mechanical deformation. In one embodiment of the present invention, the electrically conductive material may refer to a conducting polymer.

In one embodiment of the present invention, the graphene nanoribbons may be selected from graphene armchair, graphene zigzag, or the like.

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected from a. A deformation thickness of about 0.1 nanometer to 100 nanometers; b. The deformation width is approximately 1 to 500 nanometers. C. A strain length of about 1 nanometer to 500 nanometers; d. Deformation height less than 500 nanometers, e. Deformation spacing is less than 100 nanometers, f. A to f, wherein the physical dimension of at least one of the strain length, the strain area, the deformation width, the deformation height, the deformation interval, the surface deformation range, the surface unstable range is selected from 0.1 nanometer to 500 nanometers But it is not limited to the physical dimensions, and at least one may be provided.

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected from a. At least a deviation of less than 100 nanometers from the average surface position, b. Preferably having an average deviation of less than 10 nanometers from the average surface position, c. More preferably at least one nanometer above the mean surface position, and d. More preferably having a deviation of not less than 1 Angstrom (angstrom) at the average surface position for some products, and having a selected from the above a to d constituted by. In one embodiment of the present invention, the deviation means the deformation height from the standard (average surface position). Where 1 Angstrom means 0.1 nm.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, , A membrane shape, a bending deformation shape, and the like.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, State, or the like.

 In one embodiment of the invention, waveforms refer to the cross-sectional shape of a wave at any instant. Or a physical quantity of a wave as a spatial change.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, State, or the like, as a sine wave.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, State, or a state of a layer selected from among at least one periodic wave, an aperiodic wave, and the like.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, State, and a wave form selected from one or more Gaussian waves and Lorentzian waves in a state of a selected layer.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, , The corrugated form has the physical dimensions suggested by the present invention from at least one bending deformation of at least one graphene and at least one bending deformation may be provided in wave form. In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, ) May have physical dimensions suggested by the present invention from a material, a magnetic particle, a particle having charge, from which a selected material moves (or operates), and that at least one bending deformation is provided in wave form.

In one embodiment of the present invention, the transistor of the present invention includes a process platform and a "top-down" process technology that facilitates the fabrication of functional devices that exhibit enhanced reliability with respect to semiconductor material- One or more process platforms that facilitate the fabrication of functional devices that exhibit enhanced reliability with respect to the semiconductor material-based devices produced by the &lt; RTI ID = 0.0 &gt; semiconductor &lt; / RTI &gt;

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected from a. Electrically connected structure in which one or more graphens do not physically contact (e.g., closely adhere) one or more of the drain electrodes; b. At least one graphene being physically in contact with and electrically connected to the drain electrode; c. And a structure in which at least one graphene is electrically connected to the drain electrode.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, It is understood that one or more graphenes may be provided with at least one bending deformation in the form of having at least one bending deformation.

In one embodiment of the present invention, a transistor having on / off control of electricity with at least one bending deformation of graphene comprises: a. Wherein at least one graphene and a drain electrode are provided with a non-coplanar plane, at least one of Piezo (piezoe) material, magnetic particle, and charge having a lower part provided on at least one graphene, One or more graphenes may be provided with one or more bending deformation due to the voltage of the barrier adjusting circuit intersecting with the graphene circuit so as to control the electrical turn on / off; b. And a transistor that adjusts a height of a Fermi level (Fermi level) of at least one graphen between the at least one graphene and the drain electrode, thereby controlling on / off of electricity. A transistor for controlling on / off of electricity with at least one bending deformation of the graphene may be selected from a central processing unit (CPU), a memory, an electronic device provided with a battery, an electronic part, and an electronic device One or more one-dimensional, two-dimensional, or three-dimensional ones.

In one embodiment of the present invention, a transistor having on / off control of electricity with at least one bending deformation of graphene may be used as a central processing unit (CPU), a memory, an electronic device provided with a battery, Dimensional, two-dimensional, or three-dimensional, one or more of which may be selected from among those constituted by one or more of the above-described embodiments.

In one embodiment of the present invention, the present invention relates to a method of controlling a transistor that has one or more bending deformation of graphene to control the on / off state of the electricity by one or more one-dimensional, two-dimensional, Or more.

In one embodiment of the present invention, when at least one graft having at least one Piezo material, a magnetic particle, and a charge selected thereon is provided with at least one bending deformation, the at least one Piezo A magnetic material, a magnetic material, a magnetic material, a magnetic material, a magnetic material, a magnetic material, a magnetic material, a magnetic material, a magnetic material, and a charge. In one embodiment of the invention, the contact area is selected from the group consisting of one or more Piezo (piezoe) material, magnetic particles, charged particles, one or more graphenes, Means the area of the portion to be contacted with the graphene. In one embodiment of the present invention, the at least one contact area means one or more contact areas in the nano unit.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, and particles having charge, introduces continuum mechanics Lt; / RTI &gt; In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon may be described as an elastomer . In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, and particles having charge, introduces continuum mechanics It can be explained by one or more bending deformation of the plate.

In one embodiment of the present invention, continuum mechanics is based on the concept of a continuum assuming that each element retains the properties of the material as a whole as it is, even though it is infinitely divided into smaller elements. In fact, it is ignored that the material is composed of atoms, not continuous, and thus has a heterogeneous microstructure. In one embodiment of the present invention, it is assumed that the continuum is uniformly distributed in the object, the space occupied by the object is completely filled, and thus the physical quantities such as the momentum are maintained at the minimum.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Or more bending deformation. Here, elasticity refers to the property that the object is to be restored to its original shape when the force applied to the object disappears.

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Layer having elasticity in a multilayered state and having at least one bending deformation in a state where a layer selected from the group consisting of a layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, . &Lt; / RTI &gt; In one embodiment of the present invention, a multi-layer state, i.e., a multi-layer state, with a layer selected from a PDMS layer, an elastomer layer, a layer with a Young's modulus, It can be understood that it has at least one Young's modulus.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, In an embodiment,

(a). At least one graphene,

(b). At least one Piezo material, a magnetic particle, and a particle having charge, which are provided on the upper portion of the at least one graphene,

(c). In the form including a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, and the like, which are provided under the at least one graphene,

Layered state in which at least one layer selected from a PDMS layer, an elastomer layer, a layer having a Young's modulus, and an insulating layer is provided together with at least one graphene, and at least one graphene has at least one It may mean having a bending deformation.

Or in one embodiment of the present invention,

(a). At least one graphene,

(b). At least one Piezo material, a magnetic particle, a particle having a charge, provided on a side (right side) of the at least one graphene,

(c). In a form including a layer selected from a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, provided on a side (left side) of the at least one graphene,

Layer structure wherein at least one graphene layer is provided with at least one graphene layer, and at least one graphene layer is provided on the side (left side) May have one or more bending deformation. Accordingly, in the present invention, one or more graphenes may be provided on the upper side or lower side, and the important point is that one or more graphenes are provided by one or more bending deformation.

In one embodiment of the present invention, the transistor of the present invention is (I). The deposition may be performed by one or more deposition, e-beam evaporation, sputtering deposition, electrochemical deposition, electroplating, plating, copper plating, physical vapor deposition, evaporation deposition, pulsed laser deposition, Etching, wet etch, dry etch, polymer patterning, patterning, transfer, overlay measurement, graphene etching by oxygen plasma, sample rotation, tilt, solution printing , Casting process, curing, floating, use of heating element, curing, molding, van der Waals force, metal interconnect, clean process, CVD (Chemical Vapor Deposition), PECVD (Plasma Enhanced Chemical Vapor Deposition (LPCVD) process, RIE (Reactive Ion Etching), Asher process, buffered oxide etch (BOF) process, Oxide etch)) etching, HF etching, photoresist (CMP) process, spin-coating, spin-casting, drop-casting, epoxy application, surface initiation, atomic transfer, Nanoparticle coating, surface treatment, sol-gel process, washing, and the like. The present invention relates to a process for preparing a nanoparticle, (Double-patterning-DPT), immersion-lithography, metal deposition, or the like, in addition to the above-mentioned processes, such as drying, heat treatment, photolithography, lithography, exposure, development, damascene, Forming an insulating layer, etching the target material, selectively etching (here, selective etching means performing an etching process to leave only a desired region), trench formation, FIB (focused-ion-beam) , Removal, HMDS (hexamethylene disil Photoresist (PR) pattern, photoresist (PR) removal, acetone cleaning, ethanol washing, sulfuric acid (H 2 SO 4 ) 1: Diai water (Hexamethylene disilazane) treatment, Piranha treatment, UV / ozone treatment, Electrostatic force, magnetic force, sound wave, spreading, separation, decomposition, exposure, heating, cooling, fixation with DI water 100 dilution solution, electron beam, ion beam, ultrasonic, lamp, laser wave series Assemblies, self-assembled monolayer, Niemeyer-Dolan technique, and the like, as well as the structure, Virtually any type of spatially controlled semiconductor process to be performed in the individual fabrication steps from the tunnel junction, the intersection, the pattern formation, the integration process, and the fabrication step; (a). It can be selected from one-dimensional, two-dimensional, or three-dimensional (b). In more than one direction, (c). (D) a choice between continuous and non-continuous. Either whole or partial, (e). (A) to (e), which is selected from the group consisting of regular, irregular, (I) at least one of (a) to (e) is selected from the above I; A spatially controlled characteristic of each of the one or more methods selected; The duration of each of said one or more methods selected, ⓒ. The temperature of the environment to which each of the at least one selected method is applied; The pressure of the environment to which each of the above selected one or more methods applies; The power of the environment to which each of the selected one or more methods is applied; The concentration of the environment to which each of the above one or more selected methods is applied; Wherein at least one of (a) to (e) is selected so that at least one of (a) to (e) is selected. Possible combinations of the methods presented in the foregoing aspects and / or the methods presented in one aspect may be included as an inclusion group in a higher group presented in the present invention. However, an important point of the present invention is that the transistor of the present invention is a transistor that solves the standby power problem of graphene by one or more bending deformation of graphene. In this sense, various methods can be used for the manufacturing method and the manufacturing order.

In one embodiment of the present invention, the manufacturing method of the present invention is provided with a manufacturing equipment selected from the group consisting of a sputter, an E-beam evaporator, an LPCVD equipment, and a PECVD equipment can do.

In one embodiment of the present invention, the manufacturing method of the present invention can be applied to a lithography process using a spin coater, a baking oven, a photo aligner, a wet station, And a mask generator, for example.

In one embodiment of the present invention, in inspecting the transistor of the present invention, the inspection is performed using a CD-SEM (Critical Dimension-Scanning Electron Microscopy), an FIB instrument, a Probe station ), Etc. The electrical measurement can be performed using a probe station.

In one embodiment of the present invention, the method of manufacture of the present invention comprises being loaded using a load-locked chamber; Can be characterized.

In one embodiment of the present invention, the manufacturing method of the present invention can use a roll-to-roll method.

In one embodiment of the present invention, the transistor of the present invention can use a drop-cast method to provide a thin film.

In one embodiment of the present invention, the transistors of the present invention are fabricated using micro-capillary-pipette-assisted drop-casting, ink-jet printing, May be used.

In one embodiment of the present invention, the transistor of the present invention means that, when given a process comprising polymethylmethacrylate (PMMA), the following method of making surface-initiated atom transfer radical polymerization (SI-ATRP) . (One). A chemically constrained small molecular polymerization initiator comprising a thiol group is immobilized on the surface using self-assembly. (2). It is then transferred to a solution containing a monomer and a polymerization catalyst. (3). The polymer is grown in a single layer of polymeric initiator immobilized on the surface and the thickness of the polymeric thin film can be simply controlled by choosing how long the polymerization reaction lasts. In one embodiment of the present invention, the SI-ATRP manufacturing method may allow the PMMA thin film to grow to a nanometer level thickness.

In one embodiment of the present invention, the transistor of the present invention may be described as follows when given a process comprising an intersecting barrier regulating circuit. (One). And polymethyl methacrylate (PMMA). (2). X-rays of the synchrotron radiation are irradiated through the mask. (3). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (4). And a barrier regulating circuit crossing the upper portion. (5). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1) to (5).

In one embodiment of the present invention, the transistor of the present invention may be understood to mean that the following manufacturing method is described when a process for dissolving polymethyl methacrylate (PMMA) is given. (One). And polymethyl methacrylate (PMMA). (2). X-rays of the synchrotron radiation are irradiated through the mask. (3). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (4). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1) to (4).

In one embodiment of the present invention, a process comprising a common metal circuit may be selectively described each time it is provided that an intersecting barrier regulating circuit as presented in the present invention is provided, And therefore the present invention may not be described with the fear that the gist of the present invention becomes too complicated and blurred.

In one embodiment of the present invention, whenever (1) it is given that the crossbar barrier regulating circuit presented in the present invention is provided. Substrate cleaning, (2). Metal deposition, resist application, (3). Exposure, (4). Phenomenon, (5). Etching, (6). (1) to (6), which consists of removing the resist, can be selectively described. However, these methods are well known to those skilled in the art and, therefore, I can not.

In one embodiment of the present invention, each time the configuration of the transistor shown in the present invention is given, a source electrode (an electroconductive material connected to graphene - a left side) and a drain electrode (a physical distance ) - non-coplanar) is provided, but the method of forming common source and drain electrodes is well known to those skilled in the art, and therefore, In the present invention, it may not be described with concern that the gist of the invention becomes too complicated and blurred.

In one embodiment of the present invention, whenever one or more Piezo materials are given, they are not specifically described in the present invention (1). Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo Ultra thin film, or thin film) is provided), which has been subjected to the manufacturing process of (1) above. One or more Piezo materials at the etched location of the layer of insulating material, (b). At least one Piezo material disposed at an etched position of the insulating material layer and an insulating layer (ultra thin film, thin film, selected) provided on top of the at least one Piezo material; (a) to (b) may be described. (Concerned that the gist of the present invention becomes too complicated to be blurred)

In one embodiment of the present invention, each time one or more charged particles are given, they are not specifically described in the present invention (1). Deposition of an insulating material and selective etching, followed by particles having at least one charge in the etched position of the layer of insulating material (in one embodiment of the invention, an insulating layer (ultra thin film, (A), wherein the process of (1) above is carried out. (B) particles having at least one charge provided in an etched position of the layer of insulating material; Wherein at least one of the particles having at least one electric charge provided at an etched position of the insulating material layer and the insulating layer (ultra thin film, thin film, or the like) is provided on the particle having the at least one electric charge, ) Or (b). (In consideration of the fact that the gist of the present invention becomes too complicated and blurred)

In one embodiment of the present invention, each time one or more magnetic particles are given, they are not specifically described in the present invention (1). Deposition of an insulating material and selective etching, followed by at least one magnetic particle at an etched position of the insulating material layer (in one embodiment of the present invention, an insulating layer (ultra thin film, thin film, (A), wherein the process of (1) above is carried out. At least one magnetic particle provided at an etched position of the layer of insulating material, (b). (A) to (b) comprising at least one magnetic particle provided at an etched position of an insulating material layer and an insulating layer (ultra thin film, thin film selected) on the one or more magnetic particles. May be described as being selected. (Concerned that the gist of the present invention becomes too complicated to be blurred)

In one embodiment of the present invention, when an etching (or etching) process is given in the present invention, it may mean that different etching (or etching) processes are performed using the same resist, although not specifically described. That is, it may mean that the etching (or etching) process is performed twice or more.

In one embodiment of the present invention, when an etching (or etching) process is given in the present invention, (1) is not particularly described. Resist application, (2). Exposure, (3). Phenomenon, (4). Etching, (5). (Or etching) process including the process sequence of the above (1) to (5) consisting of etching, etching, etching, and resist removal. That is, the etching (or etching) process is performed twice or more as a whole. For example, <I>. In the present invention '(f). Resist application, (g). Exposure, (h). The phenomenon, (i). Etching the upper layer of the graphene bending circuit at least once (more precisely, etching the metal layer or the copper layer and the metal layer provided on the upper portion of the graphene bending circuit more than once), and so on " Etching the upper layer of the graphene bending circuit more than once, a first etching process is performed; Resist removal, (2). Resist application, (3). Exposure, (4). Phenomenon, (5). The second etching process, or the second etching process and the third etching process including the process sequence of (1) to (5), which is composed of etching and etching. Another example is <Ⅱ>. (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Etch one or more times so that the top and drain electrodes of the graphene bending circuit and the graphene bending circuit are spaced sufficiently (in this case, the horizontal physical spacing) to fall off (exactly the upper and drain electrodes of the graphene bending circuit, Etching the metal layer or the copper (Cu) layer and only the metal layer one or more times so that the pin bending circuit can fall off sufficiently spatially. &Quot; In this case, the upper layer and the drain electrode of the graphene bending circuit The first etching step is carried out more than once so that the pin bending circuit can fall sufficiently spatially, and the first etching step is performed, and the resist is removed, (2) the resist is applied, (3) A second etching process or a third etching process including the process sequence of (1) to (5), which is composed of (5) etching, may be performed .

In one embodiment of the present invention, each time a selected one of the nickel layer, the copper layer, and the metal layer presented in the present invention is deposited, the nickel layer, the copper layer, the metal layer May be selectively described. In the present invention, however, the present invention may not be described because it is too complicated and blurred.

In one embodiment of the present invention, the techniques of forming the insulating layer and metal contacts of the present invention are well known to those skilled in the art and, therefore, may not be described in the present invention with concern that the gist of the invention becomes too complicated and fuzzy.

In an embodiment of the present invention, a technique of providing a non-coplanar plane with an insulating layer at a position where a drain electrode is to be provided can selectively describe a process of performing deposition and selective etching of an insulating material, It is well known that the present invention may not be described in the present invention because it is too complicated and fuzzy.

In one embodiment of the present invention, a transistor having at least one Piezo material and at least one graphene disposed on top of the at least one Piezo material may have the following fabrication method.

<A>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (5). The metal layer provided on the upper layer of the graphene is etched (6). The graphene is selectively etched, (7). And an insulating layer is formed on the selectively etched graphene. (2) to (6), and (2) to (7), which are provided with the steps of (1) to (7).

<B>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (5). The metal layer provided on the upper layer of the graphene is etched (6). The graphene is selectively etched, (7). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (8). (1) to (8), (2) to (8), which are prepared by dissolving a polymethylmethacrylate (PMMA) layer with a solvent (for example, acetone) May be provided.

<C>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). The metal layer provided on the upper layer of the graphene is etched (5). The graphene is selectively etched, (6). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (7). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (7), and (2) to (7).

<D>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). Dispersing the graphene in a solvent to produce a dispersion; Evaporating (or printing) the dispersion to heat (or at room temperature); Thereafter, a selective etching process of graphene is provided (4). Polymethylmethacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (5). (1) to (5) and (2) to (5) in which a polymethylmethacrylate (PMMA) layer is dissolved with a solvent (for example, acetone) May be provided.

<E>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). Dispersing the graphene in a solvent to produce a dispersion; Evaporating (or printing) the dispersion to heat (or at room temperature); Thereafter, a selective etching process of graphene is provided (4). (1) to (4), (2) to (4), wherein the insulating layer is provided on the selectively etched graphenes, and then the insulating layer is deposited. May be provided.

<F>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). (Or printing or transfer) one or more graphenes, followed by a selective etching process of graphene (4). Polymethylmethacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (5). (1) to (5) and (2) to (5) in which a polymethylmethacrylate (PMMA) layer is dissolved with a solvent (for example, acetone) May be provided.

<G>

(One). And (2) an intersecting barrier regulating circuit. Deposition of an insulating material and selective etching, followed by at least one Piezo material at the etched location of the insulating material layer (in one embodiment of the present invention, an insulating layer (not shown) is formed on top of one or more Piezo An ultra thin film, or a thin film) is provided), (3). (Or printing or transfer) one or more graphenes, followed by a selective etching process of graphene (4). (1) to (4), (2) to (4), wherein the insulating layer is provided on the selectively etched graphenes, and then the insulating layer is deposited. May be provided.

<H>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (5). The metal layer provided on the upper layer of the graphene is etched (6). The graphene is selectively etched, (7). And an insulating layer is formed on the selectively etched graphene. (2) to (6), and (2) to (7), which are provided with the steps of (1) to (7).

<I>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (5). The metal layer provided on the upper layer of the graphene is etched (6). The graphene is selectively etched, (7). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (8). (1) to (8), (2) to (8), which are prepared by dissolving a polymethylmethacrylate (PMMA) layer with a solvent (for example, acetone) May be provided.

<J>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (4). The metal layer provided on the upper layer of the graphene is etched (5). The graphene is selectively etched, (6). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (7). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (7), and (2) to (7).

<K>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. Dispersing the graphene in a solvent to produce a dispersion; Evaporating (or printing) the dispersion to heat (or at room temperature); Thereafter, a selective etching process of graphene is provided (4). Polymethylmethacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (5). (1) to (5) and (2) to (5) in which a polymethylmethacrylate (PMMA) layer is dissolved with a solvent (for example, acetone) May be provided.

<L>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. Dispersing the graphene in a solvent to produce a dispersion; Evaporating (or printing) the dispersion to heat (or at room temperature); Thereafter, a selective etching process of graphene is provided (4). (1) to (4), (2) to (4), wherein the insulating layer is provided on the selectively etched graphenes, and then the insulating layer is deposited. May be provided.

<M>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. (Or printing or transfer) one or more graphenes, followed by a selective etching process of graphene (4). Polymethylmethacrylate (PMMA) is provided on the selectively etched graphene, and then an insulating layer is deposited (5). (1) to (5) and (2) to (5) in which a polymethylmethacrylate (PMMA) layer is dissolved with a solvent (for example, acetone) May be provided.

<N>

(One). And (2) an intersecting barrier regulating circuit. (3) having at least one Piezo material, after which an insulating layer (ultra thin film, thin film) is provided on top of at least one Piezo material. (Or printing or transfer) one or more graphenes, followed by a selective etching process of graphene (4). (1) to (4), (2) to (4), wherein the insulating layer is provided on the selectively etched graphenes, and then the insulating layer is deposited. May be provided.

In one embodiment of the invention, a transistor comprising at least one magnetic particle, a particle having charge, and at least one graphene disposed on top of the selected one of the at least one magnetic particle, the charge particle, The following manufacturing method can be provided.

<A>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). (Or printing or transferring) one or more graphenes (9). And polymethylmethacrylate (PMMA) is provided on top of at least one graphene. (10). X-rays of the synchrotron radiation are irradiated through the mask. (11). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (12). And a barrier regulating circuit crossing the upper portion. (13). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1) to (9), and (1) to (13).

<B>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). (Or printing or transferring) one or more graphenes (9). An insulating layer is provided on the top of the at least one graphene. (10). Polymethyl methacrylate (PMMA) is provided on the insulating layer. (11). X-rays of the synchrotron radiation are irradiated through the mask. (12). The polymer in the portion irradiated with x-rays is easily dissolved in the developer (solvent) due to breakage of the chemical bond. (13). And a barrier regulating circuit crossing the upper portion. (14). The polymethylmethacrylate (PMMA) layer is dissolved in the solvent. (1) to (8), (1) to (9), and (1) to (14).

<C>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (9). The metal layer provided on the upper layer of the graphene is etched (10). The graphene is selectively etched, (11). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene (or PMMA is provided in step 11, and then an insulating layer is deposited) (12). And a barrier regulating circuit crossing the upper portion. (13). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (13), which are provided in the above-described manner.

<D>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). Dispersing the graphene in a solvent to produce a dispersion; Evaporating (or printing) the dispersion to heat (or at room temperature); Thereafter, a selective etching process of graphene is provided (9). Polymethylmethacrylate (PMMA) is provided on the selectively etched graphene (or PMMA is provided in the process 9, and then an insulating layer is deposited). (10). And a barrier regulating circuit crossing the upper portion. (11). The polymethylmethacrylate (PMMA) layer is dissolved with a solvent (e.g., acetone). (1) to (8), and the production process leading to (1) to (11).

<E>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (9). The metal layer provided on the upper layer of the graphene is etched (10). The graphene is selectively etched, (11). And an insulating layer is formed on the selectively etched graphene. (12). The polymethylmethacrylate (PMMA) layer is dissolved with a solvent (e.g., acetone). (13). An insulating layer is deposited on top of the insulating layer. (14). (1) to (14), provided with a barrier adjusting circuit which intersects the upper portion of the barrier.

<F>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (9). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (10). The metal layer provided on the upper layer of the graphene is etched (11). The graphene is selectively etched, (12). And an insulating layer is formed on the selectively etched graphene. (13). An insulating layer is deposited on top of the insulating layer. (14). And a barrier regulating circuit crossing the upper portion. (1) to (11), and (1) to (14).

<G>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). (In one embodiment of the present invention, after removal of the resist, at least one of the magnetic particles, particles having electric charge, is provided with an insulating layer (ultra thin film, thin film, or the like) ). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (9). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (10). The metal layer provided on the upper layer of the graphene is etched (11). The graphene is selectively etched, (12). Polymethyl methacrylate (PMMA) is provided on the selectively etched graphene (or PMMA is provided in step 12, and then an insulating layer is deposited) (13). And a barrier regulating circuit crossing the upper portion. (14). The polymethylmethacrylate (PMMA) layer is dissolved with a solvent (e.g., acetone). (1) to (14), which are provided in the above-described manner.

<H>

(One). (In one embodiment of the present invention, an insulating layer (ultra-thin film) optionally followed by one or more of magnetic particles, particles having a charge, and the like) (2). PMMA layer, (3). Ni deposition, (4). Dissolve the PMMA layer with acetone. (5). Graphene is grown on both sides of the Ni layer, (6). Remove upper side graphene, (7). Ni is etched. (8). PMMA is provided on top of the graphene, (9). Cement PMMA at room temperature, (10). An insulating layer is provided on the PMMA layer, (11). Thereafter, ion bombardment in the insulating layer (or the following process sequence in the state and structure in which acetone can dissolve the PMMA layer is possible), (12). Dissolve the PMMA layer at the top of the graphene by flowing acetone, (13). (1) to (13) in which a barrier adjusting circuit is provided on an insulating layer.

In one embodiment of the present invention, the present invention can include the manufacturing method described below in order to regularly arrange the nanoparticles (magnetic particles) on the solid substrate. The production method is (1). A method of dispersing nanoparticles in a volatile organic solvent to evaporate the organic solvent on the substrate to leave only the nanoparticles on the substrate. In order to disperse the nanoparticles in the organic phase, it is necessary to make the surface of the nanoparticles hydrophobic. In one embodiment of the invention, a self-assembled monolayer (SAM) of dodecaine thiol is attached to the surface of the particle to render it hydrophobic. (2). A method in which a substrate is immersed in a nanoparticle solution for several hours and the nanoparticles are adsorbed and collected by physical and chemical interactions between the substrate and the nanoparticles. HOPG (Highly Ordered Pyrolytic Graphite) high pyrolytic graphite) or mica is used as the substrate for arranging the particles. (3). A magnetic field is a method of assembling ferromagnetic nanoparticles, such as cobalt superlattice nanoparticles and ferric oxide superconducting nanoparticles, into a string in the magnetic field along the direction of the magnetic field. (4). (Dip-pen nanolithography) and dip pen nanolithography (DIP-PENNOLITHITHOGRAPHY), which comprises the steps of (1) to (4).

In one embodiment of the present invention, the present invention can include the manufacturing method described below in order to arrange the nanoparticles (particles having charge) regularly on the solid substrate. The production method is (1). A method of dispersing nanoparticles in a volatile organic solvent to evaporate the organic solvent on the substrate to leave only the nanoparticles on the substrate. In order to disperse the nanoparticles in the organic phase, it is necessary to make the surface of the nanoparticles hydrophobic. In one embodiment of the invention, a self-assembled monolayer (SAM) of dodecaine thiol is attached to the surface of the particle to render it hydrophobic. (2). (Dip-pen nanolithography) and dip pen nanolithography (DIP-PENNOLITHITHOGRAPHY), which comprises the steps of (1) to (2).

In one embodiment of the present invention, the present invention provides a method of controlling a barrier regulating circuit, comprising the steps of: providing at least one graphene and drain electrode with a non- Wherein at least one graphene is provided with at least one bending deformation to control electrical on / off, wherein at least one graphen and at least one graphen are provided with at least one graphen And adjusting the height of the Fermi level of the graphene to adjust the ON / OFF of the electricity.

In one embodiment of the present invention, the present invention provides a method of controlling a barrier regulating circuit, comprising the steps of: providing at least one graphene and drain electrode with a non- (One or more graphenes having a van der Waals force) provided at a lower portion of the graphene, and one or more graphenes are provided as one or more bending deformation, (Fermi level) of at least one graphen between the at least one graphen and the drain electrode to adjust the ON / OFF of the electrical power.

In one embodiment of the invention, 1). Top of one or more graphenes, 2). At least one selected from the group consisting of at least one graphene and at least one Piezo material, a magnetic particle, a particle having a charge, But is not limited to, a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, and the like, which can help the elastic recovery of the at least one graphene. Also in one embodiment of the present invention, 1). The bottom of one or more graphenes, 2). The selected one of the above 1) to 2) consisting of at least one graphene and at least one Piezo material, a magnetic particle, a particle having a charge, is selected from the group consisting of one with at least one bending deformation But not limited to, a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, and the like. Also, in one embodiment of the present invention, a van der Waals force capable of helping the elastic recovery of one or more graphenes with one or more bending deformation at the bottom of one or more graphenes is formed by a PDMS layer, an elastomer layer, a Young's modulus a layer having a modulus, an insulating layer, or the like.

In one embodiment of the invention, it is preferred that the PDMS layer, the elastomeric layer, the layer having the Young's modulus, the layer selected from the insulating layer, the PDMS layer, the elastomer layer, And a deformable free space (e.g., a vacuum space, an air space, and a selected space) free from deformation such that a layer having a low Young's modulus, an insulating layer, .

In one embodiment of the present invention, a layer selected from a vacuum layer, an air layer, and the like may be provided under the at least one graphene.

In one embodiment of the present invention, the present invention provides a method of manufacturing a semiconductor device, comprising: forming at least one Piezo material, a magnetic particle, and a charge, which are provided in a lower portion of at least one graphene, Particles selected from the group consisting of a PDMS layer, an elastomer layer, a liquid polymer layer, an insulating layer, and the like provided on the at least one graphene and the at least one graphene due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene. A layer having a low Young's modulus, and a layer having a Young's modulus, wherein the multilayered structure is provided with at least one bending deformation to control on / off of electricity, b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, one or more graphenes having one or more Piezo (piezoe) material, magnetic particles, and charged particles selected thereon are provided with one or more bending deformation to form Fermi In adjusting the height of the level (Fermi level), adjusting the height of the Fermi level a. Bend the graphene above the Fermi level, but if you provide electrons at the same time, the Fermi level will rise. b. Bending deformation of graphene above the Fermi level but providing electrons at the same time. c. And at least one selected from the above a to c constituted by spatially bending the graphene while providing electrons at a higher level than the Fermi level.

In one embodiment of the invention, one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, selected from a. At least a deviation of less than 500 nanometers from the average surface position, and b. Preferably with an average deviation of less than 100 nanometers from the average surface position, c. Preferably with an average deviation of less than 10 nanometers from the average surface position, d. Preferably at least one nanometer above the mean surface position, e. More preferably having a deviation of at least 1 Angstrom (angstrom) or more at an average surface position for some products, and a selected from the above a to e consisting of.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Elasticity. The elasticity is an intrinsic property of graphene and can be understood to mean that one or more deformations of one or more graphenes are restored (recovered) after the at least one bending deformation is provided. It can be understood that the elasticity has Young's modulus.

In one embodiment of the invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Surface structure is selected in a regular, irregular, or three dimensional manner. The term "surface structure" as referred to herein is meant to collectively refer to any form of deformed surface region. In one embodiment of the present invention, one or more "surface structure" may mean one or more protruded shapes.

In one embodiment of the present invention, one or more bending deformation of at least one graphene on top of one or more of Piezo, Magnetic, and Charged particles is selected for surface roughness . In one embodiment of the present invention, the surface roughness is defined as (a). A selectable range of 500 nanometers or less, (b). A selected range of 100 nm or less, (c). A selected range of 10 nm or less, (d). A selected range of 1 nm or more, (e). (A) to (e) composed of the above-mentioned (a), (b), (c) The surface roughness presented on one side uses the average value of the deviation of all the peaks deviating from the line (baseline) of the plane before at least one bending deformation of the graphene is provided as the surface roughness.

In one embodiment of the invention, (a) at least one graphene provided on top of one or more Piezo material, magnetic particles, particles having charge, is selected. A selected range of 100 nm or less, (b). A selected range of 10 nm or more, (c). A selected range of 1 nm or more, (d). (A) to (d) consisting of at least one of the peaks and valleys selected from the range of 0.1 nm to 0.1 nm. However, in the present invention, The baseline with the mean value is assumed to be the plane of one or more graphenes. In one embodiment of the present invention, it is assumed that the reference line having the average value of the deviations of the at least one peaks and valleys is the plane of at least one graphene, in which at least one graphene of the present invention is provided with at least one bending deformation It can be used to easily solve the bending dynamics of the plate, which is interpreted. In addition, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, It means that electricity can be controlled on / off.

In one embodiment of the present invention, the Young's modulus is selected from the group consisting of 0.1 MPa or more and 50 MPa or less, 100 MPa or less, 5 MPa or more, 1 MPa or more, 0.1 MPa or more and 100 MPa or less, (Young's modulus), but is not limited thereto.

In one embodiment of the present invention, Young's modulus means Young's modulus of graphene.

In one embodiment of the present invention, one or more Young's moduli are formed in a multi-layer structure comprising at least one graphene or at least one graphene and at least one layer selected from the top, bottom, Quot; may mean one or more layers having at least one Young's modulus. Therefore, in the present invention, it is necessary to calculate at least one Young's modulus of each layer and calculate at least one Young's modulus of each of the layers, .

In one embodiment of the present invention, a layer provided at a selected location on at least one of the top, bottom, or one or more graphenes of the at least one graphene has a Young's modulus lower than that of the at least one graphene Layer. &Lt; / RTI &gt; In one embodiment of the present invention, a low Young's modulus may mean a layer having a Young's modulus of 100 MPa or less, 10 MPa or less, 5 MPa or less, 1 MPa or less, It does not. In one embodiment of the present invention, a layer with a low Young's modulus is meant as a layer having a Young's modulus outside, compared to the layer provided inside of the curvature occurring during bending deformation . In one embodiment of the invention, a layer with a low Young's modulus has a Young's modulus at the top of one of the Piezo material, the magnetic particle, the charge particle, And the like. In one embodiment of the present invention, a low Young's modulus means a layer having a Young's modulus.

In one embodiment of the present invention, a layer with a low Young's modulus can mean a layer cast and hardened with PDMS (1.8 MPa or 0.1 MPa). The PDMS having such a modulus can be prepared by mixing the polymer and the polymer at a ratio of 10: 1 or 45: 1, respectively.

Can be obtained by mixing a curing agent.

In one embodiment of the present invention, PDMS refers to polydimethylsiloxane (PDMS).

In one embodiment of the present invention, the liquid polymer may refer to a non-cured PDMS partial polymer (prepolymer, viscous liquid), or uncured liquid PDMS.

In one embodiment of the present invention, a liquid polymer that is not cured with PDMS without a curing agent can be injected between the top of the graphene circuit and the additional thin PDMS layer.

In one embodiment of the present invention, (a) one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, is selected. Bending deformation of less than 300 nanometers, longitudinal bending deformation, and bending deformation. Bending deformation cross section less than 100 nanometers, bending deformation section, bending deformation cross section, (c). (B) a flexural deformation cross section of 100 nm or more, a bending deformation section, or a bending deformation section; A cross-section of a bending deformation exceeding 50 nm, a bending deformation section, or a bending deformation sectional area, (e). Bending deformation cross section of 10 nm or more, bending deformation section, or bending deformation section, (f). (B) a bending deformation cross section of at least 1 nm, a bending deformation section, or a bending deformation section; (B) a bending deformation cross section, a bending deformation section, a bending deformation section, a bending deformation section of 0.1 nm or more, a bending deformation section, and a bending deformation sectional area. In one embodiment of the present invention, the at least one graphene, which is not limited to the physical dimensions but is provided on top of one or more Piezo material, magnetic particles, particles having charge, is provided with at least one bending deformation can do.

In one embodiment of the present invention, the inherent flexibility of the graphenes of the present invention is in the form of one or more Piezo materials, magnetic particles, charge , And at least one graphene may be provided. In addition, the inherent flexibility of the graphenes of the present invention is achieved through the choice of processable constituent materials and one or more graphene and one or more Piezo material, magnetic particles, particles having charge, Electronic devices may be provided in a variety of usable structures that are not possible.

In one embodiment of the invention, the inherent flexibility of the graphenes of the present invention is (a). In constructing one or more graphens connected to a source electrode and having a non-coplanar plane with the drain electrode, one or more graphenes may be provided in a variety of usable structures that are not possible with conventional fragile silicon- Can be, (b). One or more graphenes connected to the source electrode and having a non-coplanar plane with the drain electrode, and one or more Piezo (piezoe) materials, magnetic particles, particles having charge, (A) to (b), which may be provided in various usable structures that are not possible with the electronic devices of the present invention.

In one embodiment of the present invention, having one or more of magnetic particles, particles having charge, and graphene selected in the present invention is advantageously used in a large substrate area for one or more magnetic particles, particles having charge, , &Lt; / RTI &gt; and the like. In one embodiment of the present invention, the printing technique may comprise a manufacturing method comprising one or more graphens in a carrier medium and evaporating the carrier medium after printing the carrier medium with one or more graphenes. In one embodiment of the invention, the printing technique may comprise a manufacturing method comprising one or more magnetic particles in a carrier medium, and printing the carrier medium with one or more magnetic particles followed by evaporation of the carrier medium. In one embodiment of the present invention, the printing technique may comprise a method of producing a carrier medium having particles with at least one charge, wherein the carrier medium is printed with particles having at least one charge and then the carrier medium is evaporated have.

 In an embodiment of the present invention, it is possible to provide one having at least one Piezo material, magnetic particles, particles having charge, and at least one graphene provided thereon, provided with a transfer manufacturing method .

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, Without inducing severe deformation, such as being selected from among one or more deformations that characterize a failure point, one or more mechanical impacts that characterize a failure point.

In one embodiment of the present invention, (a) one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, is selected. The strain is about 25%, (b). Strain less than about 25%, (c). Strain less than about 10%, (d). (A) to (e), wherein the strain to be applied is preferably selected to be less than about 5%, and (e) more preferably less than about 1% (Fermi level) of one or more graphenes, but the strain presented on one side is not limited to about 25% or less, and one or more grains The fins may be provided with one or more bending deformations to provide sufficient strain to adjust the height of the Fermi level of one or more graphenes. Here, the strain is a strain when one or more graphenes are bent at 90 degrees from a plane having one or more graphenes at 100%. In one embodiment of the present invention, the strain means that the angle from the plane of the straight line connecting the starting points of the curvatures and the vertexes, which is the highest point of curvature, provided by the deformation is represented by a percentage.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, May be referred to as spacing one or more spacing from the drain electrode.

In one embodiment of the present invention, having at least one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, %, More than about 25%, and the like, but is not limited thereto. Here, the maximum deformation is a maximum deformation when one or more graphenes are bent at 90 degrees from a plane having one or more graphenes as 100%. In one embodiment of the present invention, the maximum deformation means that the angle from a plane provided by a line connecting a starting point of a curvature and a vertex, which is the highest point of curvature, provided by deformation is expressed as a percentage.

In one embodiment of the present invention, the barrier adjustment circuit crossing the graphene circuit may refer to a barrier adjustment circuit that forms one or more patterns that intersect the graphene circuit in the manufacturing method presented in the present invention.

In one embodiment of the invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, And one or more bending dynamics of the plate can be considered in terms of the design and efficiency of the structure as proposed and claimed in the present invention.

In one embodiment of the present invention, the transistor of the present invention comprises at least one bending deformation of at least one graphene having at least one selected from a Piezo material, a magnetic particle, and a charge, (E.g., a layer selected from among a vacuum layer, an air layer, etc.) which is a strain for at least one curvature.

In one embodiment of the present invention, (a) one or more bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, is selected. Geometry of at least one curvature surface, (b). (Fermi level) of at least one graphene due to a geometric surface of one or more curvatures. The present invention is not limited to these examples. In one embodiment of the invention, the geometry of one or more curvatures presented in one aspect may be described by one or more bending deformations of the plate.

In one embodiment of the present invention, it is possible to have more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, Out-of-plane displacement < u > provided by the above Geometry. In one embodiment of the invention, having at least one bending deformation of one or more graphenes on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, Lt; u &gt;. In one embodiment of the invention, one or more out-of-plane displacements &lt; u &gt; are described as having one or more curvatures.

In one embodiment of the invention, having more than one graphene as one or more bending deformation comprises one or more out-of-plane displacements < u > provided by one or more geometries via plate theory. In one embodiment of the present invention, having more than one graphene as one or more bending deformations is described as one or more out-of-plane displacements <u> comprising as one or more geometries. In one embodiment of the invention, one or more out-of-plane displacements < u > are described as having one or more curvatures.

In one embodiment of the present invention, having more than one bending deformation of at least one graphene on top of one or more Piezo material, magnetic particles, particles having charge, It is explained that the mechanical deformation is one of regular, irregular, and selected three dimensional.

In one embodiment of the present invention, having at least one bending deformation of one or more graphenes on top of one or more Piezo material, magnetic particles, particles having charge selected thereon, May be meant to have one or more spatially non-uniform shapes from a plane, as compared to before.

In one embodiment of the present invention, the method of manufacturing a transistor of the present invention includes the steps of one or more planar process steps, a circuit liftoff strategy, a process of manufacturing substantially any of a spatially controlled semiconductor process Type, a manufacturing method proposed by the present invention, and the like.

In one embodiment of the present invention, the method of manufacturing a transistor of the present invention may include a process of diffusing a hydrophobic region and a hydrophilic region to result in a carrier medium.

In one embodiment of the present invention, the method of manufacture of the present invention includes the step of providing at least one graphene bending circuit during a process step comprising selecting between transferring, assembling, 2 wafer (e.g., a CMOS wafer), as will be appreciated by those skilled in the art. In one embodiment of the present invention, the at least one alignment structure comprises at least one graphene bending circuit (graphene circuit) among process steps comprising selecting one or more graphene bending circuits from among transfer, assembly, integration, (Aligned in a constant direction) associated with one or more graphene bending circuits that define a selected pattern of the graphene bending circuit. In one embodiment of the present invention, one or more alignment structures are used to mechanically couple one or more graphene bending circuits to a second wafer (e.g., a CMOS wafer), wherein one or more portions or elements it means.

In one embodiment of the present invention, the at least one alignment structure includes at least one graphene bending circuit and at least one CMOS circuit among process steps comprising selecting one or more graphene bending circuits from among transfer, assembly, integration, (And adhesion) of one or more graphene bending circuits and one or more CMOS circuits together with surface contact of one or more of the CMOS circuits.

In one embodiment of the present invention, the at least one alignment structure includes at least one graphene bending circuit in combination with a first wafer (e.g., graphene bending circuit wafer) and a second wafer (e.g., (And adhesion) of a first wafer (e.g., a graphene bending circuit wafer) and a second wafer (e.g., a CMOS wafer) with the surface contact of the first wafer (e.g., a CMOS wafer) useful.

In one embodiment of the present invention, the one or more alignment structures may be any shape that may mechanically couple a first wafer (e.g., a graphene bend circuit wafer) to a second wafer (e.g., a CMOS wafer) have. In one embodiment of the present invention, the at least one alignment structure is configured such that a first wafer (e.g., a graphene wafer) and a second wafer (e.g., CMOS wafer) are combined in one or more (Tetris) . &Lt; / RTI &gt; Here, a (Tetris) tetris structure form means a structure in which an alignment structure having various shapes is fitted to a second wafer (for example, a CMOS wafer). It is easy to understand the alignment structure (Tetris) as a puzzle piece of a Tetris game.

In one embodiment of the invention, the at least one alignment structure means that at least one part or element is in one form in mechanically coupling (fitting) one or more graphene bending circuits.

In one embodiment of the present invention, one or more alignment structures are used to mechanically join (or to fit) one or more graphene bending circuits, basically of any shape selected from among copper, gold, aluminum But not limited to, mechanically coupling (engaging) one or more graphene bending circuits.

In one embodiment of the present invention, the one or more alignment structures may be formed in any form of copper, gold, aluminum, among the process steps comprising selecting between transfer, assembly, integration, wafer bonding processes, (E.g., a CMOS wafer) to which a shape formed of gold, aluminum, or the like is mechanically coupled (fitted).

In one embodiment of the present invention, one or more alignment structures may be formed in any one of the process steps with inclination selected from among transfer, assembly, integration, wafer bonding process, and any one of the inclinedly formed (inclined (E. G., A CMOS wafer) that is aligned with the second wafer (e. G., Aligned). One or more alignment structures presented on one side may be a good fit for sliding fit.

In one embodiment of the present invention, the one or more alignment structures may be formed in any one of the process steps with inclination selected from among transfer, assembly, integration, wafer bonding process, and any one of the inclinedly formed (E. G., A CMOS wafer) to which the first wafer (e. G., Fitted). One or more alignment structures presented on one side may be a good fit for sliding fit.

In one embodiment of the present invention, one or more alignment structures may be meant to be some form of polymethylmethacrylate (PMMA) in mechanically coupling (fitting) one or more graphene bending circuits have. In one embodiment of the present invention, the one or more alignment structures formed of polymethylmethacrylate (PMMA) are formed after the step of mechanically bonding one or more graphene bending circuits to the polymethylmethacrylate A step of dissolving the acrylate (PMMA) may be added.

In one embodiment of the present invention, one or more alignment structures may be formed from any one form of polymethylmethacrylate (PMMA) among the process steps comprising selecting between transfer, assembly, integration, wafer bonding process, Any shape formed of a crevice (PMMA) may refer to any form of a second wafer (e.g., a CMOS wafer) to which it is mechanically coupled (fitted).

In one embodiment of the present invention, one or more alignment structures may be formed between any one type of copper provided between corresponding circuit contacts of two wafers and one form of copper mechanically coupled May refer to any form of a second wafer (e.g., a CMOS wafer) that is (fitted).

In one embodiment of the present invention, the one or more alignment structures may include any one form of copper provided between the corresponding source and drain metal contacts of the two wafers during a process step comprising a wafer bonding process, May refer to any form of a second wafer (e.g., CMOS wafer) that is mechanically coupled (fitted).

In one embodiment of the present invention, the one or more alignment structures may include any one of gold, aluminum, selected among the corresponding circuit contacts of the two wafers in a process step comprising a wafer bonding process, May refer to any form of a second wafer (e.g., a CMOS wafer) to which one of the selected types of mechanical connections is mechanically coupled (fitted).

In one embodiment of the present invention, the one or more alignment structures include any one of the selected gold, aluminum, and the like, provided between the corresponding source and drain metal contacts of the two wafers in the process step with the wafer bonding process, Gold, aluminum, or any other form of a second wafer (e.g., a CMOS wafer) to which a (mechanically) fitted (fitted) wafer.

In the present invention, CMOS refers to a complementary metal-oxide-semiconductor (CMOS) complementary metal oxide semiconductor (CMOS).

In one embodiment of the invention, at least one graphene is provided on top of the at least one graphene layer, wherein at least one of the PDMS layer, the liquid polymer layer, the elastomer layer, the insulating layer, the vacuum layer, the air layer ; And FIG.

In one embodiment of the present invention, adjusting the height of the Fermi level (Fermi level) may be performed in the form of one or more graphens connected to the source electrode and having a non-coplanar plane with the drain electrode (semiconductor) With at least one bending deformation to adjust the height of the Fermi level of the at least one graphene; And FIG.

In one embodiment of the present invention, adjusting the height of the Fermi level (Fermi level) may be accomplished by one or more of the source and drain electrodes (metal, conductor, electrically conductive material, In the form including graphene, one or more graphens may be provided with one or more bending strains to control the height of the Fermi level of one or more graphenes; And FIG.

In one embodiment of the present invention, the at least one bending deformation comprises adjusting the on / off of electricity, with one or more contact areas; And FIG.

In one embodiment of the present invention, the at least one bending deformation comprises: a. Adjust the electricity on / off, with one or more contact areas; b. Described by introducing continuum mechanics; .

In one embodiment of the present invention,

a. Bending deformation of plate

b. Kirchhoff-Love theory of plates

c. The Mindlin-Reissner theory of plates

d. Dynamics of Thin Kirchhoff plates (dynamics of thin Kirchhoff plates)

e. curvature

, And a selected from the above a to e constituted by: .

In one embodiment of the present invention,

a. Bending deformation of plate

b. Kirchhoff-Love theory of plates

c. The Mindlin-Reissner theory of plates

d. curvature

, And a selected from among the above a to d constituted by: .

In one embodiment of the present invention,

a. Bending deformation of plate

b. The Mindlin-Reissner theory of plates

c. curvature

, A to c selected from the group consisting of: .

In one embodiment of the invention, adjusting the height of the Fermi level

a. Bending the graphene higher than the Fermi level, but providing the electrons at the same time increases the Fermi level,

b. Bending deformation of graphene above the Fermi level but providing electrons at the same time,

c. Sputtering the graphene spatially above the Fermi level, but simultaneously providing electrons,

, A to c selected from the group consisting of: .

In one embodiment of the present invention,

a. Wherein at least one graphene is not in physical contact with at least one of the drain electrodes, but is provided by adjusting the height of the Fermi level of at least one graphene,

b. Wherein at least one graphene is provided by adjusting the height of the drain electrode and the Fermi level of the at least one graphene,

c. Wherein at least one graphene is spaced at least one distance from the drain electrode, the height of the at least one graphene being adjusted by adjusting a height of a Fermi level of the at least one graphene,

d. Wherein at least one graphene is selected from one or more adjacent, close proximity, sufficiently close, and one or more graphenes, the graphen having one or more graphenes arranged to adjust the height of the fermi level of the graphene ,

e. Wherein at least one graphene has surface roughness and is provided by adjusting a height of a Fermi level of at least one graphene,

f. Wherein at least one graphene has at least one surface structure and is provided by adjusting a height of a Fermi level of at least one graphene,

g. Wherein at least one graphene has one or more deviations at an average surface location, the shape comprising at least one graphene adjusting the height of the Fermi level,

, A to g selected from the group consisting of: .

In one embodiment of the present invention,

a. Wherein at least one graphene is not in physical contact with at least one of the drain electrodes, but is provided by adjusting the height of the Fermi level of at least one graphene,

b. Wherein at least one graphene is in physical contact with at least one of the drain electrodes, and adjusting the height of the Fermi level of the at least one graphene,

c. Wherein at least one graphene is provided by adjusting the height of the drain electrode and the Fermi level of the at least one graphene,

d. Wherein at least one graphene is spaced at least one distance from the drain electrode, the height of the at least one graphene being adjusted by adjusting a height of a Fermi level of the at least one graphene,

e. Wherein at least one graphene is attached to one or more of the drain electrodes and adjacent to the graphenes and adjacent to the graphenes of the graphenes of the at least one graphene, And the like,

f. Wherein at least one graphene has surface roughness and is provided by adjusting a height of a Fermi level of at least one graphene,

g. Wherein at least one graphene has at least one surface structure and is provided by adjusting a height of a Fermi level of at least one graphene,

h. Wherein at least one graphene has one or more deviations at an average surface location, the shape comprising at least one graphene adjusting the height of the Fermi level,

, A to h selected from the group consisting of: .

In one embodiment of the present invention,

a. Wherein at least one graphene is not in physical contact with at least one of the drain electrodes, but is provided by adjusting the height of the Fermi level of at least one graphene,

b. Wherein at least one graphene is in physical contact with at least one of the drain electrodes, and adjusting the height of the Fermi level of the at least one graphene,

c. Wherein at least one graphene is provided by adjusting the height of the drain electrode and the Fermi level of the at least one graphene,

d. Wherein at least one graphene is spaced at least one distance from the drain electrode, the height of the at least one graphene being adjusted by adjusting a height of a Fermi level of the at least one graphene,

e. Wherein at least one graphene is attached to one or more of the drain electrodes and adjacent to the graphenes and adjacent to the graphenes of the graphenes of the at least one graphene, And the like,

f. Wherein at least one graphene has at least one surface structure and is provided by adjusting a height of a Fermi level of at least one graphene,

g. Wherein at least one graphene has one or more deviations at an average surface location, the shape comprising at least one graphene adjusting the height of the Fermi level,

, A to g selected from the group consisting of: .

In one embodiment of the present invention,

a. Wherein at least one graphene is not in physical contact with at least one of the drain electrodes, but electrons are moved from the at least one graphene to the drain electrode,

b. Wherein at least one graphene is in physical contact with at least one drain electrode, the electrons moving from the at least one graphene to the drain electrode,

c. Moving electrons from the at least one graphene to the drain electrode,

d. One or more graphenes are spaced one or more apart from the drain electrode, wherein electrons are moved from the at least one graphene to the drain electrode,

e. Wherein at least one graphene is attached to one or more of the drain electrodes and one of the graphenes adheres closely, closely adjacent, closely close, closely attached, wherein electrons move from one or more graphene to the drain electrode ,

f. Wherein at least one graphene has at least one surface structure, wherein electrons are moved from the at least one graphene to the drain electrode,

g. One or more graphenes having one or more deviations at an average surface location, the electrons moving from one or more graphenes to a drain electrode,

h. Wherein at least one graphene has surface roughness, wherein electrons are moved from one or more graphenes to a drain electrode,

, A to h selected from the group consisting of: .

In one embodiment of the present invention, providing more than one graphene with more than one bending deformation comprises providing at least one out-of-plane displacement < u > of one or more graphenes, wherein a Fermi level To adjust the height of the body. And a control unit.

In one embodiment of the present invention, having at least one graphene as at least one bending deformation comprises a shape having at least one curvature, the height being adjusted by adjusting a height of a Fermi level of at least one graphene; And a control unit.

In one embodiment of the present invention, the one or more graphens having at least one bending deformation may have a wave shape, the shape comprising at least one graphene adjusting the height of the Fermi level (Fermi level); And a control unit.

In one embodiment of the present invention, having more than one graphene as one or more bending deformation comprises providing at least one spatial deformation, wherein the at least one graphen is configured to adjust the height of the Fermi level of the at least one graphene shape; And a control unit.

In one embodiment of the present invention, providing more than one graphene with more than one bending deformation comprises providing a bending deformation of at least one plate, wherein the bending deformation of at least one graphene is controlled by adjusting a height of a Fermi level of the at least one graphene ; And a control unit.

In one embodiment of the present invention, having at least one graphene as at least one bending deformation comprises providing a bending deformation of the dynamic plate, wherein the bending deformation of the at least one graphen is controlled by adjusting the height of the Fermi level of the at least one graphene ; And a control unit.

In one embodiment of the present invention, providing more than one graphene with more than one bending deformation comprises providing at least one out-of-plane displacement < u > of one or more graphenes, wherein electrons move from one or more graphenes to the drain electrode ; And a control unit.

In one embodiment of the invention, the step of providing at least one graphene with at least one bending deformation comprises the steps of: moving at least one curvature from the at least one graphene to the drain electrode; And a control unit.

In one embodiment of the present invention, the step of providing at least one graphene with at least one bending deformation comprises the steps of: transferring electrons from the at least one graphene to the drain electrode; And a control unit.

In one embodiment of the present invention, the step of providing at least one graphene with at least one bending deformation comprises the steps of: transferring electrons from one or more graphene to the drain electrode, with at least one spatial deformation; And a control unit.

In one embodiment of the present invention, the step of providing at least one graphene with at least one bending deformation comprises the steps of: transferring electrons from the at least one graphene to the drain electrode with a bending deformation of at least one plate; And a control unit.

In one embodiment of the invention, the step of providing at least one graphene with at least one bending deformation includes the step of moving the electron from the at least one graphene to the drain electrode with a bending deformation of the dynamic plate. And a control unit.

In one embodiment of the invention, adjusting the height of the Fermi level adjusts the height of the Fermi level of one or more grapins as one or more out-of-plane displacements < u >; And a control unit.

In one embodiment of the present invention, the adjustment of the height of the Fermi level (Fermi level) may be performed in the form of one or more graphene and drain electrodes having a non-coplanar plane and an insulating layer therebetween, One or more bending deformation of the insulating layer, wherein at least one graphene is connected to the drain electrode and the insulating layer by electron tunneling; And FIG.

In one embodiment of the present invention, the height of the Fermi level (Fermi level) is controlled by connecting two electrodes, one of which is composed of a drain electrode connected to a common island electrode through a tunnel junction, At least one graphene and an insulating layer in at least one bending deformation, wherein at least one graphene is connected to the drain electrode and the island electrode by electron tunneling; And FIG.

In one embodiment of the present invention, a transistor with one or more bending deformation of graphene to control the electrical on / off of the graphene comprises a PDMS layer, a liquid polymer layer, an elastomer layer, an insulating layer, a vacuum Layer, an air layer (air layer), and having at least one bending deformation of at least one graphene to control electricity on / off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, the present invention relates to a method of forming a magnetic field, wherein at least one of Piezo (piezo) material, magnetic particle, charged particle, provided below the at least one graphene, A layer comprising a PDMS layer, a liquid polymer layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, a vacuum layer, and an air layer (not shown) are formed on the at least one graphene and the at least one graphene, Air layer) is provided in at least one of the graphenes, and at least one graphene is provided in at least one bending deformation to control on / off of electricity, and at least one graphen between the at least one graphene and the drain electrode Having at least one bending deformation to adjust the electrical On / Off; And at least one bending deformation of the graphene to control on / off of electricity. In one embodiment of the present invention, one or more graphenes present on one side and a layer selected from a PDMS layer, an elastomer layer, a layer having a Young's modulus, and an insulating layer are provided on the one or more graphenes It is preferable that at least one bending deformation is provided with at least one Young's modulus.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

a. At least one graphene is provided with at least one bending deformation,

b. Wherein the at least one bending deformation is provided in at least one Young's modulus in a state of a layer selected from one layer, a multilayer state,

c. Providing at least one graphene with at least one bending deformation to control electricity on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, the present invention provides a layer having at least one Young's modulus at a selected position of at least one of top, bottom, and at least one graphene of the present invention; And FIG.

In one embodiment of the present invention, the present invention provides a method of manufacturing a semiconductor device, comprising: depositing a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, And FIG.

In one embodiment of the present invention, the present invention provides a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, a layer selected from the group consisting of a PDMS layer, a Young's modulus layer, And FIG.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

a. Wherein at least one magnetic particle has at least one graphene as at least one bending deformation,

b. Wherein the at least one magnetic particle is selected from one or more of a magnet, a nano-magnet particle, a magnet material,

c. Providing at least one graphene with at least one bending deformation to control electricity on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, in a transistor having one or more bending deformation of graphene to control the electrical on / off, the at least one bending deformation of the at least one graphene may comprise at least one contact area, Controlling the electricity on / off while having it; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, in a transistor with on / off control of electricity with at least one bending deformation of graphene, at least one bending deformation of at least one graphene,

a. It is described that there is at least one contact area, and electric on / off is controlled, but continuum mechanics is provided,

b. Adjusting the electrical On / Off by adjusting the height of the Fermi level of one or more graphenes; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, in a transistor having on / off of electricity with at least one bending deformation of graphene, the bending deformation

a. Bending deformation of plate

b. Kirchhoff-Love theory of plates

c. The Mindlin-Reissner theory of plates

d. Dynamics of Thin Kirchhoff plates (dynamics of thin Kirchhoff plates)

e. curvature

, &Lt; / RTI &gt; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, a transistor with on / off control of electricity with at least one bending deformation of graphene, in solving the standby power problem, is characterized in that one or more graphene and drain electrodes have non- In one form, one selected from among at least one Piezo material, a magnetic particle, and a charge, provided in the lower portion of at least one graphene is selected from a voltage of a barrier adjustment circuit intersecting the circuit of the at least one graphene One or more graphenes may be provided as one or more bending deformation to control the electricity on / off, b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; And FIG.

In one embodiment of the present invention, the particles having charge may be selected from among endohedral fullerenes, positively charged particles, negatively charged particles.

In one embodiment of the present invention, having graphene as one or more bending deformation can be described as a bending deformation, but it is preferred that at least one bend deformed end of graphene or the highest The position may be described as 'having graphen as one or more position movements'.

In one embodiment of the present invention, the configuration of the graphene bending circuit of the present invention can be measured with a voltmeter.

In one embodiment of the present invention, a layer selected from among a PDMS layer, an elastomer layer, and an insulating layer is provided underneath the graphene (e.g., for insulation) to form a multi- Magnetic particles, particles having electric charges, or the like may be provided with at least one bending deformation.

In one embodiment of the present invention, the transistor of the present invention comprises at least one of a PDMS layer, an elastomer layer, and an insulating layer on top of at least one graphene, and an air layer (air layer) Is provided with at least one bending deformation to adjust the electric on / off. For example, the description provided in the foregoing description means that the air layer (air layer) and the elastomer layer can be simultaneously provided on top of one or more graphenes.

In one embodiment of the present invention, the transistor of the present invention comprises at least one of a PDMS layer, an elastomer layer, an insulating layer, on top of at least one graphene, and a vacuum layer, And adjusting the on / off of electricity. For example, the description provided in this aspect implies that a vacuum layer and an elastomer layer may be simultaneously provided on top of one or more graphenes.

In one embodiment of the invention, one or more PDMS layers, an elastomeric layer, an insulating layer, a layer having a Young's modulus at a selected location of one or more of at least one of the graphenes, Comprising at least one PDMS layer, at least one PDMS layer, an elastomeric layer, an insulating layer, and / or a plurality of layers, at least one of the top, bottom, and at least one of the graphenes to assist elastic recovery of the at least one graphene after at least one bending deformation of the at least one graphene. Layer having a high Young's modulus, and a layer having a Young's modulus. The partially only comprise at least one PDMS layer, an elastomeric layer, an insulating layer, a Young's modulus at an optimal position to assist elastic recovery of the at least one graphene after at least one bending deformation of the at least one graphene A layer to be selected from among the layers provided is partially provided.

In one embodiment of the present invention, the graphene of the present invention means double layer graphene or may mean multi layer graphene (multi layer graphene).

In one embodiment of the present invention, the graphene of the present invention may mean monocrystalline grains or polycrystalline graphenes.

In one embodiment of the present invention, the present invention provides a magnetic recording medium comprising at least one Piezo material, at least one magnetic material, at least one Piezo material, a magnetic particle, , Particles having electric charge, and an insulating layer (ultra thin film, thin film, or the like) are provided on top of the selected particles. Of course, also in the figures, at least one Piezo substance, a magnetic particle, a particle having a charge, an upper part of the selected one of the above-mentioned one or more Piezo (piezoe) material, a magnetic particle, Can be interpreted to mean a state in which an insulating layer (ultra thin film, thin film, or the like) is provided together (though not shown in the drawing - for easy understanding of the drawings). In one embodiment of the present invention, the insulating layer (ultra thin film, thin film selected) may mean a PDMS layer.

In one embodiment of the present invention, the PDMS layer may have a thickness of several tens of nanometers to thirteen micrometers, but is not limited thereto.

In one embodiment of the present invention, the ultra thin film, the thin film, and the insulating layer may be selected to have a low Young's modulus.

In one embodiment of the present invention, the present invention is characterized in that each time one or more of the Piezo, Magnetic, and Charge particles presented in the present invention is selected, the at least one Piezo material, (Ultra-thin film, thin film, or the like) may be provided on the upper surface of the insulating layer (particles selected from particles, particles having electric charges). The insulating layer (Ultra thin film, thin film, or the like) provided only in a necessary part of the graphene bending circuit proposed in the present invention by performing the process including the development and the development.

In one embodiment of the present invention, the insulating layer (ultra thin film, thin film) selected from among at least one of Piezo material, magnetic particle, charge, , An insulating layer (ultra thin film, thin film, or the like) provided only in a necessary portion of the graphene bending circuit proposed in the present invention by performing a process including exposure and development.

In one embodiment of the present invention, one selected from the ultra thin film, the thin film, and the insulating layer may have a thickness selected from 10 micrometers or less, 1 micrometer or less.

In one embodiment of the present invention, the manufacturing method of the present invention can be considered to include a manufacturing method having technological similarity. For example, the deposition may be performed using thermal ALD (thermal atomic layer deposition), thermal CVD (vapor deposition), evaporation, chemical vapor deposition, (CVD), Initiated Chemical Vapor Deposition (iCVD), Atomic layer deposition, catalytic chemical vapor deposition (CCVD), e-beam evaporation, Deposition, PECVD (Plasma Enhanced Chemical Vapor Deposition), or LPCVD (Low Pressure Chemical Vapor Deposition).

In one embodiment of the present invention, the present invention provides a process that does not use plasma that can damage graphene, for example, a thermal ALD thermal atomic layer deposition), thermal CVD (vapor deposition), evaporation, chemical vapor deposition (CVD), initiated chemical vapor deposition Chemical Vapor Deposition (iCVD), e-beam evaporation, or the like. In one embodiment of the present invention, the formation temperature of the insulating layer, ultra thin film, thin film, or vapor deposition film may be, for example, about 100 to 400 캜.

In one embodiment of the present invention, Initiated Chemical Vapor Deposition (iCVD) is a solvent-free process that can significantly improve the purity of the polymer thin film.

In one embodiment of the present invention, since graphene is weak to plasma, it forms a gate electrode (an intersecting circuit-barrier adjustment circuit) and a source electrode (an electroconductive material to which graphene is connected) and a drain electrode (an electroconductive material) (Thermal atomic layer deposition), thermal CVD (thermal chemical vapor deposition), chemical vapor deposition (CVD), and the like. , CVD), an evaporation process, and an e-beam evaporation process may be used. In a patterning process for forming a gate electrode (an intersecting circuit-barrier adjusting circuit) and a source electrode (an electroconductive material to which a graphen is connected) and a drain electrode (an electroconductive material), a method not using plasma, A wet etch process or a lift-off process may be used.

In one embodiment of the present invention, the transistor of the present invention includes a layer (e.g., a vacuum layer, an air layer, a vacuum layer / an insulating layer, an air layer / an insulating layer, , A gate electrode (an intersecting circuit-barrier adjustment circuit) can be formed on top of the gate electrode. Or (a). Source electrode (electroconductive material to which graphene is connected), and (b). (C) forming a drain electrode (electrically conductive material) having non-identical planes on the side, having physical spacing with at least one graphene; (A), (b) and (c) are provided on one or more graphenes, for example, a vacuum layer, an air layer, a vacuum layer / insulating layer, an air layer / insulating layer, , a gate electrode (crossed circuit-barrier adjustment circuit) may be formed on the structure of (c). The selection of one or more of a gate electrode (intersecting circuit-barrier adjustment circuit), a drain electrode (electrically conductive material), and a source electrode (electroconductive material to which graphene is connected) may be formed of a metal or a metal compound. The metal may include at least one selected from the group consisting of Au, Cu, Ni, and the like, and may be formed into a single layer or a multi-layer structure. The metal compound may be, for example, a conductive metal oxide or a metal alloy. In one embodiment of the invention, the gate electrode (intersecting circuit-barrier regulating circuit) may comprise one or more graphenes. Further, in one embodiment of the present invention, the drain electrode (electrically conductive material) may also include one or more graphenes. Further, in one embodiment of the present invention, the source electrode (the electroconductive material to which the graphene is connected) may also include one or more graphenes. In one embodiment of the present invention, the source electrode (the electroconductive material to which the graphene is connected) and the drain electrode (the electroconductive material) may be formed of the same material or formed of different materials. In an embodiment of the present invention, the source electrode (the electroconductive material to which the graphene is connected) and the drain electrode (the electrically conductive material) may be formed of the same material as the gate electrode (crossed circuit- .

In one embodiment of the present invention, the drain electrode (electrically conductive material) may have physical spacing on the top of one or more graphene layers provided in the present invention and partially be compared with the entire area of one or more graphene layers. The 'physical spacing' presented on one side means (a). Spacially distant, (b). (A) to (b) consisting of a space filled with something and a space apart from the filled space.

In one embodiment of the present invention, the insulating layer, the island electrode provided on the insulating layer, and the tunnel junction provided on the island electrode side (drain side) are provided on the upper portion of one or more graphene layers provided in the present invention But may be partially provided relative to the total area of the at least one graphene layer. In one embodiment of the present invention, the insulating layer includes a deformable free space (e.g., a vacuum space, an air space, and a selected space) free from deformation so that the insulating layer can be sufficiently deformed. Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).

In one embodiment of the present invention, the insulating layer is provided on the at least one graphene layer provided in the present invention, and may be partially provided in comparison with the entire area of the at least one graphene layer.

In one embodiment of the present invention, the insulating layer and the drain electrode provided on the insulating layer are provided on one or more graphene layers provided in the present invention, and are partially provided in comparison with the entire area of one or more graphene layers . In one embodiment of the present invention, the insulating layer includes a deformable free space (e.g., a vacuum space, an air space, and a selected space) free from deformation so that the insulating layer can be sufficiently deformed. Or a deformation-free layer (e.g., a layer selected from a vacuum layer, an air layer).

In one embodiment of the present invention, a layer selected from among a PDMS layer, an elastomer layer, an insulating layer, and a layer having a Young's modulus is provided on one or more graphene layers provided in the present invention, And may be partially provided in comparison with the entire area of the graphene layer.

In one embodiment of the present invention, a layer selected from among a vacuum layer and an air layer is provided on top of a layer selected from a PDMS layer, an elastomer layer, an insulating layer, and a layer having a Young's modulus, PDMS layer, an elastomer layer, an insulating layer, and a layer having a low Young's modulus. In one embodiment of the present invention, a layer selected from among a vacuum layer, an air layer, a PDMS layer partially including a selected layer, an elastomer layer, an insulating layer, and a layer having a Young's modulus, May be provided on at least one graphene layer provided in the present invention and may be partially provided in comparison with the entire area of at least one graphene layer.

In one embodiment of the present invention, the manufacturing method of the present invention can partially use a nanoimprint lithography process.

In one embodiment of the present invention, a polymethyl methacrylate (PMMA) layer may be formed using a spin coating method.

In one embodiment of the present invention, the present invention comprises (a) a lower portion of a barrier regulating circuit which is basically crossed each time it is given that the crossed barrier regulating circuit presented in the present invention is provided. At least one insulating layer, (b). A vacuum layer, and an air layer, (c). Insulating layer / vacuum layer (or air layer), (d). An insulating layer having a vacuum layer (or air layer) / Young's modulus, (e). Insulating layer / vacuum layer / insulating layer, (f). Insulation layer / air layer / insulation layer, (g). An insulating layer having an insulating layer / a vacuum layer / a Young's modulus, (h). An insulation layer / air layer / insulation layer having a Young's modulus, (i). An insulating layer having a low Young's modulus, and an insulating layer having a Young's modulus.

In one embodiment of the present invention, a sacrificial layer for forming a vacuum layer or an air layer at a selected position of at least one of upper, lower, and upper portions of graphene is formed of a material soluble in an organic solvent such as acetone, benzene or chloroform &Lt; / RTI &gt; Therefore, when an organic solvent is used, the sacrificial layer can be removed. In one embodiment of the present invention, the sacrificial layer may be a polymethylmethacrylate (PMMA) layer. However, the present invention is not limited thereto, and any material can be used as long as it is soluble in an organic solvent.

In one embodiment of the present invention, the sacrificial layer for forming the vacuum layer, the air layer, and the upper layer of the graphene may be made of a material that is removed by wet etching. Thus, if wet etching is used, the sacrificial layer can be removed.

In one embodiment of the present invention, at least one of the drain electrode (electrically conductive material), the gate electrode (intersecting barrier adjustment circuit), the source electrode (the electrically conductive material to which the graphene is connected) Metal layer. Alternatively, when the electrode is constituted of mixed metal, it may be an alloy or may be applied in a bonded or laminated form, as the case may be. In an embodiment of the present invention, a metal such as palladium (Pd), gold (Au), copper (Cu), aluminum (Al), tungsten (W) Electrodes (Electrically Conductive Substance) may be used as the metal of the selected one or more. In one embodiment of the present invention, one or more of the source electrode (the electroconductive material to which the graphene is connected) and the drain electrode (the electroconductive material) may be made of metal capable of good contact with graphene.

In one embodiment of the present invention, the transistors of the present invention may be fabricated by depositing an insulating layer (e.g., a CMOS in a wafer bonding process) at a location above the circuit of one or more graphenes, (Or an insulating layer in contact with the wafer), the thickness of the insulating layer is reduced to a desired level, for example, from about 10 nanometers to about 1 micrometer, (chemical mechanical polishing (CMP)) manufacturing method.

In one embodiment of the present invention, the transistor of the present invention includes an insulating layer (e.g., a layer of conductive material that is in contact with a CMOS wafer in a wafer bonding process) on top of an intersecting barrier adjustment circuit provided on top of a graphene circuit (graphene bending circuit) In order to reduce the thickness of the insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer (or to reduce and planarize), a step of chemical mechanical polishing polishing (CMP) manufacturing method.

In one embodiment of the present invention, given the manufacturing process of dissolving the PMMA layer in the present invention, it means, for example, the state and structure in which acetone can dissolve the PMMA layer.

In one embodiment of the present invention, when a manufacturing process for dissolving a PMMA layer in the present invention is given, for example, (1). (E.g., etching, one or more etch holes, one or more PMMA layer access openings, an ion beam, a state and structure in which acetone can dissolve the PMMA layer) , And (2). Although the manufacturing process of dissolving the PMMA layer with acetone may be described, it may be understood that the manufacturing process of the present invention is not described in detail but is described (in order to prevent the specification from becoming too complicated).

In one embodiment of the present invention, when a manufacturing process for dissolving a PMMA layer in the present invention is given, for example, (1). (E.g., etching, one or more etch holes, one or more PMMA layer access openings, an ion beam, a state and structure in which acetone can dissolve the PMMA layer) , And (2). After dissolving the PMMA layer with acetone, (3). Although the manufacturing process may be described in which a channel is formed (for example, a deposition method, an epoxy application method, a casting method, a casting method, etc.), but the manufacturing process of the present invention is not described in detail (In order to prevent the specification from becoming too complicated).

In one embodiment of the present invention, the manufacturing process presented in the present invention may optionally be preceded by a chemical mechanical polishing (CMP) manufacturing process prior to the fabrication process (thickness and flatness at a desired level To adjust)

In one embodiment of the present invention, the present invention relates to a process for manufacturing a structure of a transistor of the present invention, wherein after the step of selecting one of the Piezo (piezoe) material, the magnetic particle, the charge, A process sequence may be described in which a process comprising an insulating layer (e.g., a thin film or an ultra thin film) is selectively added on top of one or more Piezo material, magnetic particles, particles having charge, The description of the manufacturing process of the present invention can be understood as not being fully described but described (in order to prevent the specification becoming too complicated).

In one embodiment of the present invention, the transistor of the present invention is fabricated by separately fabricating a graphene bending circuit and a barrier regulating circuit (a barrier regulating circuit with at least one Piezo material) in the lower layer of the graphene bending circuit Then incorporating a graphene bending circuit and a barrier regulating circuit (a barrier regulating circuit with one or more Piezo materials) with a wafer bonding process. After the graphene bending circuit and the barrier regulating circuit of the lower layer of the graphene bending circuit (barrier regulating circuit with one or more Piezo materials) are separately manufactured and then integrated into the wafer bonding process, Problems associated with graphene formation temperatures above the process limit of the circuit (barrier regulating circuit with one or more Piezo materials) can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, CMP may be performed with additional choice to adjust the thickness and flatness of the Ni layer to a desired level (5). Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). An insulating layer is provided on top of the graphene, (10). And an insulating layer on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selection) ) To (10).

<B>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, CMP may be performed with additional choice to adjust the thickness and flatness of the Ni layer to a desired level (5). Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). A PMMA layer is provided on top of the graphene, (10). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer can be adjusted to a desired level by performing CMP with additional selection) on the PMMA layer. (1) to (11), wherein the PMMA layer is dissolved in acetone.

<C>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). In a preferred embodiment of the present invention, CMP is performed with an additional choice to adjust the thickness and flatness of the selected layer (s) of copper, nickel, etc. to a desired level , (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An insulating layer is provided on top of the graphene, (7). And an insulating layer on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selection) ) To (7).

<D>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). In a preferred embodiment of the present invention, CMP is performed with an additional choice to adjust the thickness and flatness of the selected layer (s) of copper, nickel, etc. to a desired level , (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). A PMMA layer is provided on top of the graphene, (7). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). (1) to (8), wherein the PMMA layer is dissolved in acetone.

<E>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An insulating layer is provided on top of the graphene, (4). And an insulating layer on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selection) ) To (4).

<F>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). A PMMA layer is provided on top of the graphene, (4). An insulating layer is provided on the PMMA layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (5) in which the PMMA layer is dissolved in acetone.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (8) step, from (1) a <C> (5) step (1) to the <E> (2 ) Process. Thereafter, as compared with the position where the graphene is provided, a non-coplanar plane as an insulating layer is provided at a position where the drain electrode is to be provided. (Alternatively, <A> , <C >, and the process is selected from <E>, (1). the at least one alignment structure on the back of having an insulating layer (ultra thin film) having a non-co-planar with the insulating layer in a position to be a drain electrode provided on the subsequent structure washing Techniques for forming non-coplanar planes with insulating layers are known to those skilled in the art and are therefore not described further herein, (2) deposition of metal layers and selective etching, (3) graphene growth, , And (4) etching the metal layer thereafter. (Process step (1) to (4) above)

Thereafter, the source electrode (the electrically conductive material connected to the graphene - the left side) is composed of copper (Cu) capable of adhesion in the metal and later wafer bonding step, and the drain electrode (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - means non-coplanar) - is made of copper (Cu (For example, the CMOS wafer and the contact portion are made of copper (Cu)), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (Cu) capable of adhesion in a wafer bonding step at an upper part of the wafer bonding step (A) to (B). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with graphene, and the second metal layer (upper part) is made of copper (Cu) do. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). An insulating layer is provided on top of the graphene (or selectively etched graphene), (b). Resist removal, (c). (D) providing an insulating layer on the insulating layer; CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a) Removing the resist, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). CMP is carried out one or more times to remove excess metal and to reduce the thickness of the insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the insulating layer to a certain thickness, or (2). (E) forming at least one alignment structure that causes the counterpart to fit into the copper by etching the copper to a constant thickness. And the PMMA layer is melted to form a vacuum layer, an air layer, or the like (the method is described in one aspect). The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Thereafter, a barrier regulating circuit (barrier regulating circuit with one or more Piezo) material underneath the graphene bending circuit wafer is integrated by performing the wafer bonding process. After performing this step, the upper side wafer (graphene bending circuit wafer) of the two wafers with the graphene bending circuit wafer and the barrier adjustment circuit (barrier adjustment circuit with one or more Piezo material) The wafers are integrated by performing a wafer bonding process.

The graphene bend circuit wafer and the corresponding source of the CMOS wafer and the drain metal contacts are coupled in a copper-to-copper bond. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, the transistor of the present invention includes steps of fabricating a graphene bend circuit and a CMOS circuit separately and then a wafer bonding process to integrate the graphene bending circuit and the CMOS circuit Use the three-dimensional integration method. By fabricating the graphene bending circuit and the CMOS circuit separately, and then integrating them in the wafer bonding process, problems associated with graphene formation temperatures that exceed the process limit of a CMOS circuit can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). PMMA layer, (3). In a preferred embodiment of the present invention, CMP is performed with an additional choice to adjust the thickness and flatness of the selected layer (s) of copper, nickel, etc. to a desired level , (4). Dissolve the PMMA layer with acetone, (5). (Or only the nano aluminum powder at the inlet) is deposited so that the activated carbon does not enter the lower layer of the selected one of copper, nickel, and copper. (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (7). The grown graphene is then selectively etched. (8). Copper, and nickel, (9). An insulating layer (ultra thin layer) is provided on top of the graphene, (10). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (11). (1) to (11), each of which is constituted by a barrier-regulating circuit which intersects with each other.

<B>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). PMMA layer, (3). Metal layer deposition, in one embodiment of the present invention, CMP can be performed with additional choice to adjust the thickness and flatness of the metal layer to desired levels. Dissolve the PMMA layer with acetone, (5). (Or only the inlet is provided with the nano aluminum powder) so that the activated carbon does not enter the lower layer of the metal layer. (6). The gaseous carbon source is introduced to form activated carbon. Graphene growth occurs in the metal layer due to the activated carbon. (7). The grown graphene is then selectively etched. (8). The metal layer is etched, (9). An insulating layer (ultra thin layer) is provided on top of the graphene, (10). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (11). (1) to (11), each of which is constituted by a barrier-regulating circuit which intersects with each other.

In one embodiment of the invention, the step of presenting on the one side (from (1) the <A> (8) step (1) to the <B> (8) step, the process is selected in) after that, the substrate The source electrode (the electroconductive material connected to the graphene-the left side), which is connected to the source electrode (substrate on which the drain electrode having the non-coplanarity with the source electrode is partially formed) (A), wherein the drain electrode is made of copper (Cu) and is connected to a substrate (substrate on which a drain electrode having a non-coplanar plane with the source electrode is partially formed). The drain electrode (the material on which the physical distance (here, physical distance - meaning non-coplanar with graphene) - the right side) is composed of copper (Cu) that can be adhered in the metal and later wafer bonding steps (For example, the CMOS wafer and the contact portion are made of copper (Cu)), or (B) in one embodiment of the present invention. Drain electrodes may be formed on top of the electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) (Cu) capable of being adhered in the wafer bonding step. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Etch one or more times so that the top and drain electrodes of the graphene bending circuit and the graphene bending circuit are spaced sufficiently (in this case, the horizontal physical spacing) to fall off (exactly the upper and drain electrodes of the graphene bending circuit, Etching the metal layer or the copper (Cu) layer and the metal layer only one or more times so that the pin bending circuit can fall sufficiently spatially. Thus, the etching portion has a stepped shape. ) In one embodiment of the present invention, PMMA is further provided on top of the resist of the source electrode and the drain electrode to which the resist is applied in order to facilitate removal of a portion other than that required in a subsequent process.

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with the partially formed source and drain electrodes, and the second metal layer (upper part) is made of copper capable of adhesion in the wafer bonding step (Cu). Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Then, the are In one embodiment of the present invention, the resist top portion of a source electrode and a drain electrode that is resist is applied as an additional selection with a PMMA to facilitate part removal of the other necessary in a later step, it consists of - <A> -or- <B> - .

The contents described by the above - mentioned <A> -or- <B> - are as follows. Ⅰ. (a). An insulating layer (ultra thin layer) is provided on top of graphene (or selectively etched graphene), (b). Deposition and selective etching of insulating material, (c). Resist removal, (d). Thereafter, at least one Piezo material is provided at the etched location of the layer of insulating material (e). (F). (G). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Then, the graphene bending circuit wafer and the CMOS wafer are integrated by performing a wafer bonding process. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

And is coupled in a copper to copper bond between the corresponding source and drain metal contacts of the two wafers. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, the transistor of the present invention includes steps of fabricating a graphene bend circuit and a CMOS circuit separately and then a wafer bonding process to integrate the graphene bending circuit and the CMOS circuit Use the three-dimensional integration method. By fabricating the graphene bending circuit and the CMOS circuit separately, and then integrating them in the wafer bonding process, problems associated with graphene formation temperatures that exceed the process limit of a CMOS circuit can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). PMMA layer, (9). Ni deposition, (10). In an embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the Ni layer to a desired level. Dissolve the PMMA layer with acetone, (12). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (13). Top side graphene removal, (14). Ni etching, (15). An insulating layer is provided on top of the graphene, (16). An insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (17), each of which is constituted by a plurality of barrier-regulating circuits and intersecting barrier control circuits.

<B>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). PMMA layer, (9). Ni deposition, (10). In an embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the Ni layer to a desired level. Dissolve the PMMA layer with acetone, (12). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (13). Top side graphene removal, (14). Ni etching, (15). A PMMA layer is provided on top of the graphene, (16). (In one embodiment of the present invention, CMP can be performed with additional choice to adjust the thickness and flatness of the insulating layer to desired levels). Dissolve the PMMA layer with acetone, (18). (1) to (18), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<C>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (9). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (10). The grown graphene is then selectively etched. (11). (12) etches a selected layer of copper, nickel, or the like. An insulating layer is provided on top of the graphene, (13). The insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP with additional selection). (1) to (14), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<D>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (9). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (10). The grown graphene is then selectively etched. (11). (12) etches a selected layer of copper, nickel, or the like. A PMMA layer is provided on top of the graphene, (13). (In one embodiment of the present invention, CMP can be performed with additional choice to adjust the thickness and flatness of the insulating layer to desired levels). Dissolve the PMMA layer with acetone, (15). (1) to (15), each of which is constituted by a plurality of barrier control circuits and intersecting barrier control circuits.

<E>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) , Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer), (9). An insulating layer is provided on top of the graphene, (10). The insulating layer is provided on the insulating layer. (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (11), each of which is constituted by a plurality of barrier control circuits and a plurality of barrier control circuits crossing each other.

<F>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) , Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer), (9). A PMMA layer is provided on top of the graphene, (10). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer can be adjusted to a desired level by performing CMP with additional selection) on the PMMA layer. Dissolve the PMMA layer with acetone, (12). (1) to (12), each of which is constituted by a plurality of barrier control circuits and a barrier control circuit which intersects with each other.

<G>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the Ni layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). An insulating layer is provided on top of the graphene, (10). The insulating layer is provided on the insulating layer. (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (11), each of which is constituted by a plurality of barrier control circuits and a plurality of barrier control circuits crossing each other.

<H>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the Ni layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). A PMMA layer is provided on top of the graphene, (10). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer can be adjusted to a desired level by performing CMP with additional selection) on the PMMA layer. Dissolve the PMMA layer with acetone, (12). (1) to (12), each of which is constituted by a plurality of barrier control circuits and a barrier control circuit which intersects with each other.

<I>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An insulating layer is provided on top of the graphene, (7). An insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP with additional selection). (1) to (8), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<J>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). A PMMA layer is provided on top of the graphene, (7). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). Dissolve the PMMA layer with acetone, (9). (1) to (9), each of which is constituted by a plurality of barrier-regulating circuits and intersecting barrier-regulating circuits.

<K>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An insulating layer is provided on top of the graphene, (4). An insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (5), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<L>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). A PMMA layer is provided on top of the graphene, (4). An insulating layer is provided on the PMMA layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to a desired level by performing CMP with additional selection). Dissolve the PMMA layer with acetone, (6). (1) to (6), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (14) step, from (1) a <C><E> (1 ) step, from 11 (8 ) process, a process in which (1) to the <G> (8) step (1) to the <I> (5) step (1) to the <K> (2) process, the selection of) later, So compared to the pin is provided with a position and a non-co-planar with the insulating layer in a position to be provided with a drain electrode (or, <A>, <C>, < E>, <G>, presenting at one side <I> , <K> , (1). And has a non-coplanar plane as an insulating layer at a position where the drain electrode is to be provided before having at least one of the magnetic particles and the particles having the charge. Techniques for forming a non-coplanar plane with an insulating layer are known to those skilled in the art and are therefore not further described herein, (2). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (3). Deposition and selective etching of metal layers, (4). Graphene growth, then selective etching of graphene (5). (1) to (5), wherein the metal layer is etched.

Thereafter, the source electrode (the electrically conductive material connected to the graphene - the left side) is composed of copper (Cu) capable of adhesion in the metal and later wafer bonding step, and the drain electrode (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - means non-coplanar) - is made of copper (Cu (The contact portion of the wafer is made of copper (Cu) capable of adhesion), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (Cu) capable of adhesion in a wafer bonding step at an upper part of the wafer bonding step (A) to (B). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with graphene, and the second metal layer (upper part) is made of copper (Cu) do. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). An insulating layer is provided on top of the graphene (or selectively etched graphene), (b). An insulating layer is provided on the insulating layer, (c). (D). Resist removal, (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). Resist removal, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). (F). (G). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Then, the graphene bending circuit wafer and the CMOS wafer are integrated by performing a wafer bonding process. The CMOS wafer is inverted to perform graphene bending circuit wafer and wafer bonding process. Alternatively, graphene bend circuit wafers may be inverted to perform the CMOS wafer and wafer bonding process. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

And is coupled in a copper to copper bond between the corresponding source and drain metal contacts of the two wafers. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, in the fabrication of a 'graphene circuit wafer' or a 'graphene bend circuit wafer', a process of 'having a non-identical plane as an insulating layer at a position where the drain electrode is to be formed' (For example, insulating material deposition and selective etching) for forming a structure with an insulating material at a position where the insulating material is to be formed.

In one embodiment of the present invention, the last performed chemical mechanical polishing (CMP) when manufacturing a 'graphene circuit wafer' or a 'graphene circuit wafer' The metal is removed and the insulating layer (or the topmost insulating layer) is polished to reduce the thickness to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). (2) protruding the copper by etching the insulating layer (or the uppermost insulating layer) to a certain thickness; The process consisting of the following steps can be selected from <A> , <B> , <C> , and so on, which may consist of one or more alignment structures that cause the mate to fit into the copper by etching the copper to a certain thickness Described contents can be provided.

<A>

(One). Plasma Enhanced Chemical Vapor Deposition (PECVD) forms the insulating layer (or the topmost insulating layer) -SiO 2 . (2). Followed by SiO 2 CMP. When CMP is performed, SiO 2 is preferentially removed. (3). Subsequently, when the SiO 2 CMP is performed, the Cu layer starts to be exposed. (4). When the SiO 2 layer is preferentially removed during SiO 2 CMP, a very thin Cu layer is exposed above the surrounding SiO 2 layer. The very thin Cu layer is soon removed due to the mechanical polishing properties of the CMP process, resulting in a SiO 2 layer and a Cu layer with a certain level of flatness,

<B>

(5). After performing the <A> process described above, one or more alignment structures for protruding Cu from the surrounding SiO 2 insulating layer by etching the SiO 2 layer to a certain thickness by a buffered oxide etch (BOE) Can be formed,

<C>

(5). After performing the process of <A> presented on the surface, it is possible to form at least one alignment structure so as to create the Cu by etching the Cu recessed to a predetermined thickness than the periphery of the SiO 2 insulating layer, consisting of the <A> , &Lt; B > , and < C > , respectively.

Three-dimensional integration is a very promising technology to meet the gap of packaging and integrated circuit technology for graphene bending circuits (graphene circuits) presented in the present invention. Techniques for stacking CMOS device layers are known. 3D integration technology can be a way to improve system performance without scaling. In addition, with carriers that are highly mobile in graphene, the parasitic resistance and parasitic capacitance of the interconnects will become more important in determining the performance of the overall circuit. In this regard, the three-dimensional integration provides a great advantage to the graphene bending circuits presented in the present invention. Such advantages include (a). Reduced overall wiring length and thereby reduced interconnect delay time, (b). A significant increase in inter - chip interconnects, and (c). The ability to integrate dissimilar materials, process technologies and functions, and the like. Of these advantages, item (c) above can be a good way to solve the thermal budget issue that arises when graphenes are provided.

Thus, the advantages of the three-dimensional integration technique of the present invention for manufacturing graphene bending circuits are as follows. (One). Graphene may be provided by a wide variety of methods, including the methods described, for example, a copper catalyst growth method, a nickel catalyst growth method, which is conventionally performed. (2). CMOS wafers (wafers with CMOS circuits) can be pre-fabricated in standard clean-room facilities without potential contamination from carbon materials. (3). Alignment in the wafer bonding process and / or one or more alignment structures allows the graphene bending circuit to always be coupled in the desired position in the graphene bending circuit. (4). What is required in conventional CMOS devices, such as temperature during the process, wet etching, and gas ambient, can still be maintained because the graphene bending circuit is fabricated separately on other substrates. (5). In the case of graphene bending circuits, the circuit latency, which is dominated by interconnects, is significantly reduced.

In one embodiment of the present invention, in a three-dimensional integration technique (wafer bonding process) presented in one aspect, a copper-to-copper coupling method is provided between the corresponding source and drain metal contacts of two wafers do. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In addition, the CMOS wafer combined with the graphene bending circuit wafer and the copper-to-copper bonding method may be removed after more than a predetermined amount, and then one or more of the additional devices, the metal layer and the like may be selected. Alternatively, after a certain amount of graphene bending circuit wafer bonded with a CMOS wafer and copper to copper is removed, one or more of additional devices, a metal layer, and the like can be manufactured.

In one embodiment of the present invention, in the wafer bonding process presented in the present invention, a selected portion of the remainder except between the corresponding source and drain metal contacts of the two wafers (e.g., Layer may be provided with an adhesive layer, an adhesive, an epoxy, and a van der Waals force. In one embodiment of the present invention, the adhesive layer is formed by being selected from an adhesive, an ultrasonic bonding, a thermal bonding, a thermocompression bonding, an adhesion carried out in a series of (one subsequent) processes capable of bonding in an atmosphere of a semiconductor process &Lt; / RTI &gt;

In one embodiment of the present invention, in the wafer bonding process presented in the present invention, the bonding precursor may be provided on a selected portion of the remaining portions of the two wafers other than between the corresponding source and the drain metal contacts. In one embodiment of the present invention, the bonding precursor is bonded to a first wafer (e.g., graphene bend circuit wafer) formed of PDMS polymer and a second wafer (e.g., a graphen bend circuit wafer) formed on a selected portion of the remaining portion except between the source and drain metal contacts for example, it is possible to sense a second wafer (e.g., CMOS wafer) coated with a double layer of Cr / SiO 2 to form a covalent bond between the coupling regions of the CMOS wafer). Forming a covalent bond that forms a strong mechanical bond between the Cr / SiO 2 and the PDMS polymer by heating to generate a -OH group on the SiO 2 surface and exposure to ozone induced by an ultraviolet lamp to bond the modified PDMS polymer followed by heating . PDMS polymer activated by exposure to UV / ozone causes -O-Si-O-adhesion.

In one embodiment of the present invention, in the wafer bonding process presented in the present invention, selected portions of the remainder, except between the corresponding source and drain metal contacts of the two wafers, include van der Waals forces, covalent bonds, , A chemically modified region such as a region having a hydroxyl group disposed on their surface, a force between dipoles, or a combination thereof.

In one embodiment of the present invention, in the wafer bonding process presented in the present invention, selected portions of the remainder, except between the corresponding source and drain metal contacts of the two wafers, are heated before and / To provide a bonding region that is softened or partially melted and thereby bonded in a wafer bonding process.

In one embodiment of the present invention, in the wafer bonding process, the corresponding (1) of the two wafers. Between source and drain metal contacts, and (2). A thermocompression bonding may be performed on a portion where one or more of the source and drain metal contacts are removed. The bonding material may be selected from gold, aluminum, and copper.

In one embodiment of the present invention, in the wafer bonding process, the corresponding (1) of the two wafers. Between source and drain metal contacts, and (2). An ultrasonic bonding can be performed on a portion where one or more of the source and drain metal contacts are selected. The bonding material may be aluminum.

In one embodiment of the present invention, in the wafer bonding process, the corresponding (1) of the two wafers. Between source and drain metal contacts, and (2). Thermosonic bonding may be performed on the selected portion of at least one of the source and drain metal contacts. This manufacturing method uses a combination of a thermocompression method and an ultrasonic method. And is carried out at a room temperature of 100 to 200 ° C. The manufacturing method is a corresponding (1) of two wafers. Between source and drain metal contacts, and (2). (250 ° C to 450 ° C) before joining the selected portion of at least one of the remaining portions excluding the source and drain metal contacts, and thereafter combines the two wafers, applies pressure, and shoots the ultrasonic waves. The bonding material may be selected from gold, aluminum, and copper.

In one embodiment of the present invention, in the wafer bonding process, the corresponding (1) of the two wafers. Source and drain metal contacts, (2). (1) to (2) consisting of a plurality of metal contacts of the circuit is selected by performing a selective etching after performing the CMP process so that any of the wafers which are formed to protrude from the CMP process performing plane of the two wafers Or the like. Thus, the corresponding (1) of the two wafers. Source and drain metal contacts, (2). (1) to (2) consisting of a plurality of metal contacts of the circuit may be combined by performing a wafer bonding process in a state where they are protruded from each other.

In one embodiment of the invention, a graphene circuit or graphene bending circuit means a circuit configuration that solves the standby power problem of one or more graphens with one or more bending deformation of one or more graphenes.

In one embodiment of the present invention, the transistor of the present invention comprises a step of fabricating a graphene bending circuit and a CMOS circuit separately, followed by a three-dimensional integration method incorporating a graphene bending circuit and a CMOS circuit, use. By fabricating the graphene bending circuit and the CMOS circuit separately, and then integrating them in the wafer bonding process, problems associated with graphene formation temperatures that exceed the process limit of a CMOS circuit can be solved. Or, in one embodiment of the present invention, (1). A graphen bending circuit (2). After manufacturing the barrier regulating circuit wafer by separating it, it may include a manufacturing method of integrating the two in (1) and (2) in the wafer bonding process. Or, in one embodiment of the present invention, (1). A graphen bending circuit, and (2). After fabrication by separating the CMOS wafer, (2) after the fabrication method of integrating the two in (1) and (2) in the wafer bonding process, (3). The barrier adjustment circuit can be formed on the graphene bending circuit wafer 1 which is inverted and joined to the wafer bonding process. In one embodiment of the present invention, the wafer bonding process may additionally include several steps, but may include a graphene bend circuit wafer, a CMOS wafer, a graphene bend circuit wafer and a CMOS wafer in a wafer bonding process . In this step, the barrier regulating circuit is provided on the graphene bending circuit wafer prior to the wafer bonding process, 2) on the CMOS wafer prior to the wafer bonding process, and 3) on the graphene bending circuit wafer after the wafer bonding process (1) to (3).

In one embodiment of the present invention, a first wafer (e.g., a graphene bending circuit wafer) and a second wafer (e.g., a CMOS wafer) ) To the second wafer (e.g., a CMOS wafer) while performing side-by-side bonding.

In one embodiment of the present invention, a first wafer (e.g., graphene bending circuit wafer) and a second wafer (e.g., graphene bending circuit wafer) may be integrated into the wafer bonding process. In one embodiment of the invention, the invention may comprise an electronic component comprising at least two graphene bending circuit wafers.

In one embodiment of the present invention, a graphene bending circuit wafer may be provided having at least one selected from among additional devices, a metal layer, on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, graphene bending circuit wafers with selected one or more of additional devices, metal layers, in the structure of graphene bend circuit wafers can be combined with other wafers can do.

In one embodiment of the present invention, a method of manufacturing comprising graphene provided in the present invention comprises the steps of: (a) providing a self-assembled monolayer (SAM) on a single layer graphene or multilayer graphene layer; (b) etching the single-layer graphene or multi-layered graphene layer using the self-assembled monolayer (SAM); (c). Removing the self-assembled monolayer film (SAM) from the above-mentioned manufacturing method (a) to (c).

In one embodiment of the invention, the method of etching the graphene may comprise a graphene etching method comprising selecting from the group consisting of a laser, a plasma, a neutral beam, an ion beam, thermal energy, and combinations thereof.

In one embodiment of the present invention, there is provided a transistor having on / off control of electricity with at least one bending deformation of graphene, wherein the at least one Piezo material, the magnetic particles, Particles having charge are selected to have at least one graphene as one or more bending deformation owing to the voltage of the barrier regulating circuit intersecting with the circuit of the at least one graphene, (Or nano-shaped top-side nanostructures (or nanostructures) in the form of curvature, which is a form at the highest position to be deformed, for example, a bending deformation of graphene) can be understood and utilized as a quantum dot . In one embodiment of the present invention, when graphene is provided with more than one bending deformation, the upper side nanostructures (or nanostructures in the highest position of the at least one bending deformation, for example, The topside nanostructures (or nanostructures) in curvilinear form, which are deformed, are located on the top of the graphene (1). Ultra thin, (2). Selective etched ultra thin Qdots, (3). A quantum dot of a selectively etched graphene, and (1). Ultra thin, (2). Selective etched ultra thin Qdots, (3). (Or nano-form) provided by bending deformation of graphene in a state in which the quantum dot of the selectively etched graphene is provided at the upper portion of the graphene, Can be utilized. In one embodiment of the present invention, the nanostructures (or nanostructures) of the uppermost part of the deformation of the bending strain of graphene are understood as quantum dots, including selectively etched graphenes, (Or nano-form) of the uppermost part of the strain of the bending strain of the selected one among the quantum dots of the graphene grains.

In one embodiment of the present invention, the transistor of the present invention has a quantum dot of graphene on top of the graphene. The graphene is transferred to the upper portion of the graphene and selectively etched or the graphene graphene is selectively etched to transfer the graphene quantum dot or (2). (One). Graphene equipped, (2). Using graphene growth method after deposition of a conventional catalyst layer on top of graphene, (3). Selective etching after growth of graphene, (4). The catalyst layer is etched to have a quantum dot of graphene, [3]. (One). One or more graphenes, (2). (1) to (4), wherein the graphene layer comprises at least one graphene layer (at least one graphene layer and at least one selectively etched graphene layer together) and has a quantum dot of graphene. 3 &gt; and a quantum dot of graphene may be provided. Thereafter, in one embodiment of the present invention, a transistor is provided in which a nano-shape of the uppermost portion of the bending deformation is provided as a quantum dot by performing a wafer bonding process and bending deformation of graphene.

In one embodiment of the present invention, it is preferable that graphene is provided with graphene selectively etched on the upper portion of the graphene, and quantum dot of selectively etched graphene is provided on the graphene having bending deformation of graphene, which is basically proposed in the present invention Can be interpreted as meaning that they are included in the graphene of

In one embodiment of the present invention, the quantum dot is small enough to exhibit the quantum mechanical properties provided by bending deformation of graphene. Graphene, 2). Ultra thin on top of graphene, 3). Selectively etched ultra-thin Qdots on graphene, 4). Selectively etched graphene on top of graphene, 5). Quantum dots of graphene selectively etched on top of graphene, 6). (Or nano-form) provided with a bending deformation of graphene, which is selected from the above-mentioned 1) or 6) consisting of a selectively etched graphene. In one embodiment of the present invention, the quantum dot may refer to a nanostructure (or nano-form) provided by bending deformation of graphene to a size of several nm to 15 nm, To 15 nm.

In one embodiment of the present invention, the graphene circuit configuration of the present invention can also be understood as a two-dimensional circuit configuration in which a three-dimensional circuit configuration is in a plane (for example, a three- It is easy to understand if you think that you are spreading in 2D dimension -

In one embodiment of the present invention, the present invention has a multi-layered structure comprising at least one of an air layer, a vacuum layer, an insulating layer, or the like selected above graphene. For example, the multi-layer structure may be formed by using an insulating layer / graphene, insulating layer / vacuum layer / graphene, insulating layer / air layer / graphene, insulating layer / air layer / insulating layer / graphene, Layer / graphene, insulating layer / insulating layer / graphene.

In one embodiment of the present invention, the insulating layer may refer to a layer that modulates at least one bending strain of graphene to Young's modulus.

In one embodiment of the present invention, the insulating layer may mean one or more insulating layers.

In one embodiment of the present invention, the insulating layer may mean a polymer layer.

In one embodiment of the present invention, the insulating layer may mean a layer selected from a PDMS layer, an elastomer layer, an insulating layer.

In one embodiment of the present invention, the insulating layer can refer to a layer selected from among a PDMS layer, an elastomer layer, an insulating layer, and means a layer that modulates at least one bending strain of graphene to Young's modulus .

In one embodiment of the present invention, the insulating layer may refer to a layer having a Young's modulus, which may mean a layer selected from among a PDMS layer, an elastomer layer, and an insulating layer.

In one embodiment of the present invention, the insulating layer may refer to an insulating layer having a low Young's modulus.

In one embodiment of the present invention, one or more of the methods disclosed in the specification of the present invention may be combined with a method comprising one or more Piezo material, magnetic particle, and particles having charge.

In one embodiment of the present invention, it is not limited to the above meaning that at least one graphene having at least one charge-bearing particle on its upper portion is provided with at least one bending deformation. At least one graphene having at least one particle having at least one charge on its upper portion can be provided with at least one bending deformation, and (2). (1) to (2), wherein the barrier adjusting circuit is provided at a position capable of providing at least one graphene having at least one charge-bearing particle on its upper portion in at least one bending deformation And the important point is to have at least one graphene with at least one bending deformation.

In one embodiment of the present invention, it is not limited to the above meaning that at least one graphene having at least one magnetic particle on its upper portion is provided with at least one bending deformation. At least one graphene having at least one magnetic particle disposed thereon, which can be provided with at least one bending deformation; and (2). (1) to (2), wherein the barrier adjusting circuit is provided at a position capable of providing at least one graphene having at least one magnetic particle on its upper portion in at least one bending deformation And the important point is to have at least one graphene with at least one bending deformation.

In one embodiment of the present invention, it is not limited to the above meaning that at least one graphene having at least one Piezo substance on its upper portion is provided with one or more bending deformation. At least one graphene having at least one Piezo material disposed thereon, the at least one graphen having at least one bending deformation; (1) to (2), wherein at least one of the graphenes is provided with a barrier adjusting circuit at a position capable of providing at least one graphene having at least one Piezo substance on its upper side in at least one bending deformation Can be interpreted in a sense to include the configuration type of what is selected, and an important point is to provide one or more graphens with one or more bending modifications.

In one embodiment of the present invention, at least one graphene having at least one charge-bearing particle on it is provided with at least one bending deformation, wherein the graphene circuit (graphene bending circuit) , But in one embodiment of the present invention, one or more graphenes may be provided on the upper portion so that at least one graphene may have one or more bending deformation at the bottom. Also, in one embodiment of the present invention, one or more graphenes may be provided on one side of the graphene, and one or more graphenes may be provided with one or more bending deformation on opposite sides thereof. Accordingly, in an embodiment of the present invention, the particles having at least one charge in the present invention are provided with one or more graphenes at the top (or bottom) and one or more bending deformation at the top (1). The barrier regulating circuit is provided at a selected position of the top, bottom, side of one or more graphenes, (2). Particles having more than one charge can have more than one graphene as one or more bending deformation; and (3). The particles having at least one electric charge are provided at selected positions of the upper, lower, side surfaces of the at least one graphene, (4). The barrier regulating circuit being provided at one or more of the graphene and the top, bottom, or side of the particle having at least one charge, (5). (1) to (5), wherein the barrier adjusting circuit is provided at a position where the particles having at least one electric charge can have at least one graphene as at least one bending deformation, or Can be interpreted to mean a configuration including at least one of the configurations (1) to (5), and the important point is that at least one graphene has at least one bending deformation. In one embodiment of the present invention, one or more graphenes presented on one side are formed such that edge portions of one or more grapins that are not related to one or more bending deformation, such as one or more graphenes, Lt; / RTI &gt; and may be fixed to mean that one or more graphens remain in the form of a layer.

In one embodiment of the present invention, at least one graphene having at least one magnetic particle disposed thereon is provided with at least one bending deformation, wherein the graphene circuit (graphene bending circuit) However, in one embodiment of the present invention, one or more graphenes may be provided on the upper portion so that at least one graphene may have one or more bending deformation at the bottom. Also, in one embodiment of the present invention, one or more graphenes may be provided on one side of the graphene, and one or more graphenes may be provided with one or more bending deformation on opposite sides thereof. Accordingly, in one embodiment of the present invention, the one or more magnetic grains in the present invention comprise at least one graphene in the upper (or lower) graphene as at least one bending deformation (1). The barrier regulating circuit is provided at a selected position of the top, bottom, side of one or more graphenes, (2). At least one magnetic particle capable of having at least one graphene as at least one bending deformation; and (3). Wherein at least one magnetic particle is provided at a selected location among the top, bottom, and side faces of the at least one graphene; (1) to (4), wherein the at least one magnetic particle is provided with a barrier adjusting circuit at a position capable of providing at least one graphene with at least one bending deformation, 1) to (4), and the important point is that at least one graphene has at least one bending deformation. In one embodiment of the present invention, one or more graphenes presented on one side are formed such that edge portions of one or more grapins that are not related to one or more bending deformation, such as one or more graphenes, Lt; / RTI &gt; and may be fixed to mean that one or more graphens remain in the form of a layer.

According to one embodiment of the present invention, in the present invention (1), at least one Piezo material is provided at the bottom (or bottom) and at least one graphene at the top in one or more bending deformations. The barrier regulating circuit is provided at a selected position of the top, bottom, side of one or more graphenes, (2). One or more Piezo materials capable of having more than one bending deformation of at least one graphene, (3). At least one Piezo material is provided at a selected location on the top, bottom, side of one or more graphenes; (1) to (4), wherein at least one Piezo substance is provided with a barrier adjusting circuit at a position where the one or more graphenes can be provided with at least one bending strain, Or a constitutional form of at least one of the above-mentioned (1) to (4), and the important point is that at least one graphene has at least one bending strain. In one embodiment of the present invention, one or more graphenes presented on one side are formed such that edge portions of one or more grapins that are not related to one or more bending deformation, such as one or more graphenes, Lt; / RTI &gt; and may be fixed to mean that one or more graphens remain in the form of a layer.

In one embodiment of the present invention, it is not limited to the above meaning that at least one graphene having at least one charge-bearing particle on its upper portion is provided with at least one bending deformation. The particles being provided with at least one charge at the upper position of the barrier regulating circuit, (2). (1) to (2) in which at least one graphene having at least one particle having at least one charge is provided on one or more bending deformation, The point is to have at least one graphene with at least one bending deformation.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, (1) is provided with at least one bending deformation, and the on / off control of electricity is not limited to the above meaning. (1) comprising the configuration of (1), which comprises at least one graphen having at least one bending deformation by inducing an electrostatic attraction to one or more graphenes due to the voltage of the barrier regulating circuit , And an important point is to have at least one graphene with at least one bending deformation.

In one embodiment of the present invention, the intersecting barrier regulating circuit means a barrier regulating circuit that intersects with a graphene circuit (graphene bending circuit) provided at a position selected from the top, bottom, and side faces of the barrier regulating circuit.

In one embodiment of the present invention,

a. A multi-layer structure including an upper layer of graphene selected from an air layer (air layer), a vacuum layer, a PDMS layer, and an insulating layer,

b. Insulating layer / air layer / graphene,

c. Insulating layer / vacuum layer / graphene,

d. Insulation layer / air layer / insulation layer / graphene,

e. Insulating layer / vacuum layer / insulating layer / graphene,

f. Insulating layer / insulating layer / graphene,

g. Insulating layer / air layer / insulating layer / graphene having Young's modulus,

h. Insulating layer / vacuum layer / insulating layer / graphene having a low Young's modulus,

i. Insulating layer / graphene having an insulating layer / Young's modulus,

j. Insulating layer / air layer / PDMS layer / graphene,

k. Insulating layer / vacuum layer / PDMS layer / graphene,

l. Insulating layer / PDMS layer / graphene,

, And a multilayer structure (multilayer structure) selected from the above a to l constituted by

In one embodiment of the present invention, the present invention provides a device

a. Insulating layer / insulating layer / graphene,

b. Insulating layer / air layer / graphene,

c. Insulating layer / vacuum layer / graphene,

d. Insulation layer / air layer / insulation layer / graphene,

e. Insulating layer / vacuum layer / insulating layer / graphene,

f. Insulating layer / air layer / insulating layer / graphene having Young's modulus,

g. Insulating layer / vacuum layer / insulating layer / graphene having a low Young's modulus,

h. Insulating layer / graphene having an insulating layer / Young's modulus,

i. Insulating layer / air layer / PDMS layer / graphene,

j. Insulating layer / vacuum layer / PDMS layer / graphene,

k. Insulating layer / PDMS layer / graphene,

, And a to k constituted by a plurality of layers.

In one embodiment of the present invention, the present invention provides a device

a. Vacuum layer / graphene,

b. Air layer / graphene,

c. Vacuum layer / graphene / magnetic particle,

d. Particles having an air layer / graphene / charge,

e. Vacuum layer / PDMS layer / graphene / magnetic particle,

f. Air layer / PDMS layer / graphene / particles having charge,

g. Vacuum layer / graphene / PDMS layer (or insulating layer) / magnetic particle,

h. Air layer / graphene / PDMS layer (or insulating layer) / particles having charge,

i. Insulating layer / graphene / magnetic particle,

j. Particles having insulating layer / graphene / charge,

k. Insulating layer / graphene / PDMS layer (or insulating layer) / magnetic particle,

l. Insulating layer / graphene / PDMS layer (or insulating layer) / particles having charge,

m. Vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer) / graphene / magnetic particle,

n. A vacuum layer (or an air layer) / an island electrode / an insulating layer (or an insulating layer including an air layer) / a graphene /

o. A vacuum layer (or an air layer) / an island electrode / an insulating layer (or an insulating layer including an air layer) / a graphene / PDMS layer (or an insulating layer)

p. (Or an insulating layer including an air layer) / a graphene / PDMS layer (or an insulating layer) / a particle having an electric charge,

q. Insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / magnetic particle,

r. Insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / particles having charge,

p. Insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / magnetic particle,

t. Insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / particles having charge

, Or a multi-layered structure selected from among the above a to t constituted by: In one embodiment of the present invention, a process for additionally providing a CMOS circuit may be selectively described every time the crossed barrier adjustment circuit disclosed in the present invention is provided. However, in the present invention, In an embodiment of the present invention, however, crossed barrier adjustment circuits and CMOS circuits may be provided together, although not described in the description of the present invention.

In one embodiment of the present invention, the present invention provides a device

a. Insulating layer / liquid polymer layer / graphene / magnetic particle,

b. Insulating layer / liquid polymer layer / graphene / particles having charge,

c. Insulating layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / magnetic particle,

d. Insulating layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / particles having charge,

e. Insulating layer / air layer / liquid polymer layer / graphene / magnetic particle,

f. Insulating layer / air layer / liquid polymer layer / graphene / particles having charge,

g. Insulating layer / air layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / magnetic particle,

h. Insulating layer / air layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / particles having charge,

, Or a multilayer structure selected from among the above a to h constituted by a plurality of layers.

In one embodiment of the present invention, the present invention provides a device

a. Vacuum layer / graphene / PDMS layer (or insulating layer) / Piezo material,

b. Air layer / graphene / PDMS layer (or insulating layer) / Piezo material,

c. Insulating layer / graphene / PDMS layer (or insulating layer) / Piezo material,

d. Electrode / insulating layer (or insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / Piezo material,

e. Insulating layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / Piezo material,

f. Insulation layer / air layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / Piezo material,

, And a layered structure selected from the above-mentioned layers (a) to (f).

In one embodiment of the present invention, the transistor of the present invention

a. Insulating layer / magnetic particle / substrate layer having a barrier adjusting circuit / insulating layer / insulating layer / graphene / Young's modulus,

b. Barrier layer / insulating layer / vacuum layer (or air layer) / graphene / insulation layer / Young's modulus / magnetic particle / substrate layer with Young's modulus,

c. An insulating layer having a barrier adjustment circuit / insulating layer / insulating layer / graphene / Young's modulus / particles / substrate layer having charge,

d. A particle / substrate layer having an insulating layer / charge with barrier regulating circuit / insulating layer / vacuum layer (or air layer) / graphene / low Young's modulus,

e. Barrier layer / insulation layer / graphene / PDMS layer (or insulating layer) / magnetic particle / substrate layer,

f. Barrier layer / insulating layer / vacuum layer (or air layer) / graphene / PDMS layer (or insulating layer) / magnetic particle / substrate layer,

g. Barrier layer / insulation layer / graphene / PDMS layer (or insulating layer) / particle / charge layer having charge,

h. Barrier layer / insulating layer / vacuum layer (or air layer) / graphene / PDMS layer (or insulating layer) / particle / charge layer having charge,

i. Barrier layer (or air layer) / graphene / PDMS layer (or insulating layer) / magnetic particle / substrate layer,

j. Barrier control layer / vacuum layer (or air layer) / graphene / PDMS layer (or insulating layer) / particle / charge layer with charge,

k. Barrier layer / vacuum layer (or air layer) / PDMS layer / graphene / PDMS layer (or insulating layer) / magnetic particle / substrate layer,

l. Barrier layer (or air layer) / PDMS layer / graphene / PDMS layer (or insulating layer) / particle / substrate layer with charge,

, Or a multilayer structure selected from the above a to l constituted by

In one embodiment of the present invention, the transistor of the present invention

a. Insulating layer / liquid polymer layer / graphene / insulation layer with Young's modulus / Piezo material / barrier regulating circuit,

b. Insulating layer / graphene having a Young's modulus / insulating layer / Young's modulus / Piezo material / barrier adjusting circuit,

c. Insulation layer / insulating layer / graphene / insulation layer with Young's modulus / Piezo material / barrier adjustment circuit,

d. Insulation layer / vacuum layer (or air layer) / graphene / insulation layer with Young's modulus / Piezo material / barrier adjustment circuit,

e. Insulating layer / liquid polymer layer / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjustment circuit,

f. Insulating layer / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjustment circuit with insulating layer / Young's modulus,

g. Insulating layer / insulating layer / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjusting circuit,

h. Insulating layer / vacuum layer (or air layer) / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjustment circuit,

i. Insulation layer / PDMS layer / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjustment circuit,

j. Layer / graphene / PDMS layer (or insulating layer) / Piezo material / barrier regulating circuit,

, And a selected from the above a to j constituted by a plurality of layers.

In one embodiment of the invention, at least one Piezo material, a magnetic particle, a particle having a charge, selected from one or more Piezo material, a magnetic particle, a particle having charge, In the case of a multi-layer structure (multi-layer structure) in which at least one graphene is provided, the coefficients and thicknesses of all the layers are important variables. This important dependence can become clear as layers are simply composed.

In one embodiment of the present invention, one or more graphenes having one or more Piezo (piezoe) material, magnetic particles, particles having charge selected thereon are provided with one or more bending deformation, The target value of the mechanical deformation of the material is made possible by materials providing elasticity (e.g., thin PDMS).

In one embodiment of the present invention, the present invention provides an electronic device comprising a graphene-based graphene bending circuit, a central processing unit (CPU) having both a barrier adjustment circuit and a CMOS circuit, a memory, a battery, , &Lt; / RTI &gt;

In one embodiment of the present invention, in one or more bending strains of graphene,

a. Bending deformation of plate

b. Kirchhoff-Love theory of plates

c. The Mindlin-Reissner theory of plates

d. Dynamics of Thin Kirchhoff plates (dynamics of thin Kirchhoff plates)

e. curvature

, And (a) to (e). For example, the bending deformation may have a curvature and may have a bending deformation of the plate. Further, the bending deformation may be described as a position where the end portion of the curvature is displaced, and the end portion of the bending deformation of the plate may be described as a position movement.

In one embodiment of the present invention, the on / off of electricity is controlled by providing at least one bending deformation of the graphene so that at least one bending deformation is provided, or at the portion where the bending deformation is provided, / Off. Regulating the on / off of electrons should be understood as the movement of electrons from one or more bending deformation of one or more graphenes to the drain electrode (electroconductive material).

In one embodiment of the present invention, adjusting the height of the Fermi level (Fermi level) is described as adjusting the on / off of electricity with one or more bending deformation of the graphene. In addition, when graphene is brought close to or attached to the drain electrode (electroconductive material) with one or more bending deformation of the graphene, the electrons move from the graphene to the drain electrode.

In one embodiment of the present invention, the Fermi level described in the present invention is a thermodynamic equilibrium in which the energy level will have a probability of occupying 50% at any given time It can be regarded as the hypothetical energy level of the former, but it can also be understood as the weakest bounded energy level in graphene.

In one embodiment of the present invention, the at least one bending deformation is characterized by being provided with at least one Young's modulus in a state of a layer selected from one layer, a multilayer state, and the like. The one or more Young's modulus means a layer having Young's modulus of each of multi-layered states including one or more graphenes or one or more graphenes.

In one embodiment of the present invention, the at least one bending deformation is characterized by being provided with at least one Young's modulus in a state of a layer selected from one layer, a multilayer state, and the like. The 'Young's modulus' presented on one side means a Young's modulus that changes spatially, such as a shape having a shape (for example, a protruding shape).

In one embodiment of the present invention, solving the standby power problem in the present invention is advantageous in that a form in which the conductivity of graphene is too high and no standby power is provided is applied at a physical interval (e.g., a vacuum layer, Air layer), it means to solve the standby power problem by adjusting the ON / OFF of electricity with at least one bending deformation of graphene. The 'physical spacing' presented on one side means (a). Spacially distant, (b). (A) to (b) consisting of a space filled with something and a space apart from the filled space.

In one embodiment of the present invention, solving the stand-by power problem in the present invention resides in the form in which the conductivity of the graphene is too high and the standby power is not provided in the form of having an insulating layer between the graphen and the drain electrode, And the graphene having at least one bending deformation and a physical distance narrowed from the drain electrode, the ON / OFF of electricity from the insulating layer to the tunnel of the electron is controlled to solve the standby power problem .

In an embodiment of the present invention, the problem of standby power in the present invention is solved by using a form in which the conductivity of graphene is too high, and the standby power is not provided, in a form having an insulating layer and an island electrode between the graphen and the drain electrode (Thickness) of the insulating layer provided between the graphene and the island electrode is set to be equal to or less than the thickness of the insulating layer provided between the island electrode and the island electrode, and the graphene having at least one bending deformation of the graphene, (several nanometers), it means to solve the standby power problem by controlling the electric on / off from the island electrode to the tunnel of the electron.

In one embodiment of the present invention, solving the stand-by power problem in the present invention can be achieved by providing a form in which the conductivity of graphene is too high and no standby power is provided so that graphene and drain electrodes have a non- , It is meant to have at least one bending deformation of the graphene to narrow the physical distance between the graphene and the drain electrode to solve the standby power problem by the movement of electrons.

In one embodiment of the present invention, solving the standby power problem, unlike conventional semiconductor configurations, allows for at least one bending deformation of the graphene in the form of a non-coplanar plane where the graphene and drain electrodes have physical spacing Since the physical distance between the graphene and the drain electrode is narrowed to turn on / off the electricity by controlling the on / off state of the electricity, the power problem consumed by the standby power can be reduced as compared with the conventional semiconductor.

In one embodiment of the present invention, solving the stand-by power problem, unlike the conventional semiconductor structure, involves providing at least one bending deformation of the graphene in the form of an insulating layer and an island electrode between the graphene and drain electrodes (The distance (thickness) of the insulating layer provided between the graphene and the island electrode is adjusted to the several nanometer level), which is provided with at least one bending deformation and is physically narrowed from the island electrode, It means to solve the standby power problem by controlling the electricity on / off from the island electrode to the electron tunnel.

In one embodiment of the present invention, one selected from among one or more PDMS layers, an elastomer layer, an insulating layer, a liquid polymer layer, a vacuum layer, and an air layer (air layer) The layer may be wholly or partly provided on top of the at least one graphene. For example, one or more elastomer layers and an air layer (air layer) may be provided on top of one or more graphenes.

In one embodiment of the present invention, the present invention provides a method of manufacturing a semiconductor device, comprising: forming at least one Piezo material, a magnetic particle, and a charge, which are provided in a lower portion of at least one graphene, One or more graphenes may be provided as one or more bending deformation owing to the voltage of the barrier adjusting circuit intersecting with the circuit of the at least one graphene to control on / off of electricity, Adjusting the height of one or more graphenes' Fermi level (Fermi level) between the pin and drain electrodes to adjust the electrical On / Off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, the present invention provides a method of controlling a barrier regulating circuit, comprising the steps of: providing at least one graphene and drain electrode with a non- Wherein at least one graphene is provided with at least one bending deformation to control electrical on / off, wherein at least one graphen and at least one graphen are provided with at least one graphen Adjusting the height of the graphene Fermi level (Fermi level) to adjust the electricity on / off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, Having at least one bending deformation may have at least one height of at least one bending deformation having a range from 0.1 to 100 nanometers.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, Having at least one bending deformation may comprise one or more bending strains attached to one or more of the drain electrodes and selected to be adjacent, closely adjacent, close enough, and close together. It is defined as a physical dimension that is greater than a selected dimension of 10 nm, 0.1 nm, selected from one or more closely spaced, closely spaced, closely spaced. In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, Is defined as the height of the bending deformation having a physical dimension larger than a selected one of 30 nm, 10 nm, 1 nm, and 0.1 nm.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, Having at least one bending deformation may be interpreted as having at least one bending deformation in a selected deformation range of at least one graphene in the range of 10% or less, 1% or more, 10% to 0.1% But is not limited thereto. Here, the deformation range is a range of deformation when one or more graphenes are bent at 90 degrees from a plane having one or more graphenes to 100%. In one embodiment of the present invention, the range of deformation means that the angle from the plane provided by the straight line connecting the starting point of the curvature and the vertex, which is the highest point of curvature, provided by the deformation is expressed as a percentage.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, With at least one bending deformation, a. At least a deviation of less than 100 nanometers from the average surface position, b. Preferably having an average deviation of less than 10 nanometers from the average surface position, c. More preferably at least one nanometer above the mean surface position, and d. More preferably having a deviation of not less than 1 Angstrom (angstrom) at the average surface position for some products, and having a selected from the above a to d constituted by. In one embodiment of the present invention, the deviation means the deformation height from the standard (average surface position). Where 1 Angstrom means 0.1 nm.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, With at least one bending deformation results in one or more out-of-plane displacements < u > provided by one or more geometries via plate theory. In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, Having at least one bending deformation has at least one curvature, which is at least one out-of-plane displacement < u > provided by one or more geometries.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, With at least one bending deformation, wherein a van der Waals force is applied to the bottom of the at least one graphene so that, after at least one bending deformation of the at least one graphene, a van der Waals force Lt; / RTI &gt; can assist in the elastic recovery of one or more graphens in a re-formed form.

In one embodiment of the invention, due to the voltage of the barrier regulating circuit crossing the circuit of one or more graphenes, an electrostatic attractive force is induced on one or more graphenes provided below the barrier regulating circuit, A transistor having at least one bending deformation may be provided with the following manufacturing method.

<A>

(One). Substrate cleaning, (2). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (3). The metal layer provided on the upper layer of the graphene is etched, (4). The graphene is selectively etched, (5). And polymethylmethacrylate (PMMA) is provided on the selectively etched graphene (6). And a barrier regulating circuit crossing the upper portion. (7). The polymethylmethacrylate (PMMA) layer is completely dissolved with a solvent (for example, acetone). (1) to (7), which are provided in the above-described manner.

<B>

(One). Substrate cleaning, (2). Graphene transfer (PMMA / graphene / metal layer) coated with polymethylmethacrylate (PMMA), (3). Dissolve the polymethylmethacrylate (PMMA) layer with a solvent solution (e.g., acetone), (4). The metal layer provided on the upper layer of the graphene is etched (5). The graphene is selectively etched, (6). And polymethylmethacrylate (PMMA) is provided on the selectively etched graphene (7). And a barrier regulating circuit crossing the upper portion. (8). The polymethylmethacrylate (PMMA) layer is dissolved with a solvent (e.g., acetone). (1) to (8), which are provided in the above-described manner.

In one embodiment of the present invention, the transistor of the present invention includes steps of fabricating a graphene bend circuit and a CMOS circuit separately and then a wafer bonding process to integrate the graphene bending circuit and the CMOS circuit Use the three-dimensional integration method. By fabricating the graphene bending circuit and the CMOS circuit separately, and then integrating them in the wafer bonding process, problems associated with graphene formation temperatures that exceed the process limit of a CMOS circuit can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). PMMA layer, (2). Ni deposition, (4). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the Ni layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). A PMMA layer is provided on top of the graphene, (10). (11). (1) to (11), wherein the PMMA layer is dissolved in acetone.

<B>

(One). Substrate cleaning, (2). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). A PMMA layer is provided on top of the graphene, (7). Equipped with an intersecting barrier regulating circuit, (8). (1) to (8), wherein the PMMA layer is dissolved in acetone.

<C>

(One). Substrate cleaning, (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). A PMMA layer is provided on top of the graphene, (4). Equipped with an intersecting barrier regulating circuit, (5). (1) to (5) in which the PMMA layer is dissolved in acetone.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (8) step, to (1) of (1) to the <B> (5) process, <C> (2 ) Process. Thereafter, as compared with the position where the graphene is provided, a non-coplanar plane as an insulating layer is provided at a position where the drain electrode is to be provided. (Alternatively, <A> , <B >, <C>, the process is selected from: (1) provided with a non-co-planar with the insulating layer in a position to be a drain electrode provided on the subsequent substrate cleaning. technique for forming a non co-planar with the insulating layer are those of ordinary skill in the art (2) etching the metal layer, (3) selective etching of the metal layer, (3) graphene growth, (4) selective etching of the graphene thereafter, (1) to (4).

Thereafter, the source electrode (the electrically conductive material connected to the graphene - the left side) is composed of copper (Cu) capable of adhesion in the metal and later wafer bonding step, and the drain electrode (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - means non-coplanar) - is made of copper (Cu (The contact portion of the wafer is made of copper (Cu) capable of adhesion), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (Cu) capable of adhesion in a wafer bonding step at an upper part of the wafer bonding step (A) to (B). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with graphene, and the second metal layer (upper part) is made of copper (Cu) do. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). Resist removal, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Then, the graphene bending circuit wafer and the CMOS wafer are integrated by performing a wafer bonding process. The CMOS wafer is inverted to perform graphene bending circuit wafer and wafer bonding process. Alternatively, graphene bend circuit wafers may be inverted to perform the CMOS wafer and wafer bonding process. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

And is coupled in a copper to copper bond between the corresponding source and drain metal contacts of the two wafers. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, in the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer, one or more graphenes The selection of one or more Piezo material, magnetic particles, particles having charge, provided on the bottom may result in at least one graphene and insulating layer due to the voltage of the barrier control circuit crossing the circuit of the at least one graphene At least one bending deformation,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention, in the multi-layer state in which an insulating layer is provided on one or more graphenes, the one or more graphenes and the insulating layer are provided with at least one bending deformation, and the one having at least one Young's modulus &Lt; / RTI &gt;

In one embodiment of the present invention, the transistor of the present invention is fabricated by separately fabricating a graphene bending circuit and a barrier regulating circuit (a barrier regulating circuit with at least one Piezo material) in the lower layer of the graphene bending circuit Then incorporating a graphene bending circuit and a barrier regulating circuit (a barrier regulating circuit with one or more Piezo materials) with a wafer bonding process. After the graphene bending circuit and the barrier regulating circuit of the lower layer of the graphene bending circuit (barrier regulating circuit with one or more Piezo materials) are separately manufactured and then integrated into the wafer bonding process, Problems associated with graphene formation temperatures above the process limit of the circuit (barrier regulating circuit with one or more Piezo materials) can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, CMP may be performed with additional choice to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selection). ) To (10).

<B>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, CMP may be performed with additional choice to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. A PMMA layer is provided on the island electrode, (11). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). (1) to (12), wherein the PMMA layer is dissolved in acetone.

<C>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). In a preferred embodiment of the present invention, CMP is performed with an additional choice to adjust the thickness and flatness of the selected layer (s) of copper, nickel, etc. to a desired level , (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene, (7). (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selection). ) To (7).

<D>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). In a preferred embodiment of the present invention, CMP is performed with an additional choice to adjust the thickness and flatness of the selected layer (s) of copper, nickel, etc. to a desired level , (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene, (7). A PMMA layer is provided on the island electrode, (8). An insulating layer on top of the PMMA layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to a desired level by performing CMP as an additional option). (1) to (9) in which the PMMA layer is dissolved in acetone.

<E>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on the upper portion of the graphene, (4). (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selection). ) To (4).

<F>

(One). An insulating layer (ultra-thin film) structure cleaning with one or more alignment structures on the back side, (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on the upper portion of the graphene, (4). A PMMA layer is provided on the island electrode, (5). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). And dissolving the PMMA layer in acetone. The process sequence of (1) to (6) is as follows.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (8) step, from (1) a <C> (5) step (1) to the <E> (2 ) Process. Thereafter, as compared with the position where the graphene is provided, a non-coplanar plane as an insulating layer is provided at a position where the drain electrode is to be provided. (Alternatively, <A> , <C >, and the process is selected from <E>, (1). the at least one alignment structure on the back of having an insulating layer (ultra thin film) having a non-co-planar with the insulating layer in a position to be a drain electrode provided on the subsequent structure washing Techniques for forming non-coplanar planes with insulating layers are known to those skilled in the art and are therefore not described further herein, (2) deposition of metal layers and selective etching, (3) graphene growth, , And (4) etching the metal layer thereafter. (Process step (1) to (4) above)

Thereafter, the source electrode (the electrically conductive material connected to the graphene - the left side) is composed of copper (Cu) capable of adhesion in the metal and later wafer bonding step, and the drain electrode (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - means non-coplanar) - is made of copper (Cu (For example, the CMOS wafer and the contact portion are made of copper (Cu)), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (Cu) capable of adhesion in a wafer bonding step at an upper part of the wafer bonding step (A) to (B). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with graphene, and the second metal layer (upper part) is made of copper (Cu) do. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); Resist removal, (c). An insulating layer is provided on the island electrode, (d). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); Resist removal, (c). A PMMA layer is provided on the island electrode, and (d). (E). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). (F) forming at least one alignment structure that causes the counterpart to fit into the copper by etching the copper to a constant thickness. And the PMMA layer is melted to form a vacuum layer, an air layer, or the like (the method is described in one aspect). The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Thereafter, a barrier regulating circuit (barrier regulating circuit with one or more Piezo) material underneath the graphene bending circuit wafer is integrated by performing the wafer bonding process. After performing this step, the upper side wafer (graphene bending circuit wafer) of the two wafers with the graphene bending circuit wafer and the barrier adjustment circuit (barrier adjustment circuit with one or more Piezo material) The wafers are integrated by performing a wafer bonding process.

The graphene bend circuit wafer and the corresponding source of the CMOS wafer and the drain metal contacts are coupled in a copper-to-copper bond. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, the transistor of the present invention includes steps of fabricating a graphene bend circuit and a CMOS circuit separately and then a wafer bonding process to integrate the graphene bending circuit and the CMOS circuit Use the three-dimensional integration method. By fabricating the graphene bending circuit and the CMOS circuit separately, and then integrating them in the wafer bonding process, problems associated with graphene formation temperatures that exceed the process limit of a CMOS circuit can be solved. Thus, in one embodiment of the present invention, the present invention provides a process for the preparation of graphene by a wide variety of methods (e. G., A copper catalyst growth method, a nickel catalyst growth method, .

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). PMMA layer, (9). Ni deposition, (10). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (12). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (13). Top side graphene removal, (14). Ni etching, (15). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) is provided on top of the graphene (16). An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP with additional selection). (1) to (17), each of which is constituted by a plurality of barrier-regulating circuits and intersecting barrier control circuits.

<B>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). PMMA layer, (9). Ni deposition, (10). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (12). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (13). Top side graphene removal, (14). Ni etching, (15). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) is provided on top of the graphene (16). A PMMA layer is provided on the island electrode, (17). Equipped with an intersecting barrier regulating circuit, (18). Dissolve the PMMA layer with acetone, (19). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP by further selecting) (1) to (19) ).

<C>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (9). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (10). The grown graphene is then selectively etched. (11). (12) etches a selected layer of copper, nickel, or the like. An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) is provided on the upper part of the graphene (13). An insulating layer may be provided on the island electrode (in one embodiment of the present invention, CMP may be performed by further selecting the thickness and flatness of the insulating layer provided on the uppermost layer) to a desired level. (1) to (14), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<D>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (9). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (10). The grown graphene is then selectively etched. (11). (12) etches a selected layer of copper, nickel, or the like. An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) is provided on the upper part of the graphene (13). A PMMA layer is provided on the island electrode, (14). Equipped with an intersecting barrier regulating circuit, (15). Dissolve the PMMA layer with acetone, (16). (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selecting) (1) to (16) ).

<E>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) , Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer), (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP with additional selection). (1) to (11), each of which is constituted by a plurality of barrier control circuits and a plurality of barrier control circuits crossing each other.

<F>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). Resist removal, in one embodiment of the present invention, an insulating layer (ultra thin film) can optionally be provided after resist removal (8). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) , Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer), (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. A PMMA layer is provided on the island electrode, (11). (12). Dissolve the PMMA layer with acetone, (13). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selecting) (1) to (13) ).

<G>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by performing CMP with additional selection). (1) to (11), each of which is constituted by a plurality of barrier control circuits and a plurality of barrier control circuits crossing each other.

<H>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). PMMA layer, (3). Ni deposition, (4). In one embodiment of the present invention, chemical mechanical polishing (CMP) may be performed as an additional option to adjust the thickness and flatness of the nickel (Ni) layer to a desired level. Dissolve the PMMA layer with acetone, (6). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene growth occurs on both sides of Ni. (7). Top side graphene removal, (8). Ni etching, (9). (10) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene. A PMMA layer is provided on the island electrode, (11). (12). Dissolve the PMMA layer with acetone, (13). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selecting) (1) to (13) ).

<I>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene, (7). An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (8), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<J>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). In an embodiment of the present invention, chemical mechanical polishing (CMP) is performed as an additional option to select the thickness of the copper, nickel, The flatness can be adjusted to the desired level, (3). The gaseous carbon source is introduced to form activated carbon. Due to the activated carbon, graphene is grown on a selected layer of copper, nickel, or the like. (4). The grown graphene is then selectively etched. (5). Etching a selected layer of copper, nickel, (6). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene, (7). A PMMA layer is provided on the island electrode, (8). Equipped with an intersecting barrier regulating circuit, (9). Dissolve the PMMA layer with acetone, (10). (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). ).

<K>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on the upper portion of the graphene, (4). An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (5), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<L>

(One). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (2). (E.g., metal layer deposition, in one embodiment of the present invention, CMP may be performed with additional options to adjust the thickness and flatness of the metal layer to desired levels) Thereafter, graphene growth, selective etching of the graphenes grown on the upper side of the metal layer, and subsequent etching of the metal layer); and (3). An island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on the upper portion of the graphene, (4). A PMMA layer is provided on the island electrode, (5). Equipped with an intersecting barrier regulating circuit, (6). Dissolve the PMMA layer with acetone, (7). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selecting) (1) to (7) ).

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (14) step, from (1) a <C><E> (1 ) step, from 11 (8 ) process, a process in which (1) to the <G> (8) step (1) to the <I> (5) step (1) to the <K> (2) process, the selection of) later, So compared to the pin is provided with a position and a non-co-planar with the insulating layer in a position to be provided with a drain electrode (or, <A>, <C>, < E>, <G>, presenting at one side <I> , <K> , (1). And has a non-coplanar plane as an insulating layer at a position where the drain electrode is to be provided before having at least one of the magnetic particles and the particles having the charge. Techniques for forming a non-coplanar plane with an insulating layer are known to those skilled in the art and are therefore not further described herein, (2). One or more magnetic particles, particles having charge, in an embodiment of the present invention, optionally with an insulating layer (ultra-thin film) thereafter selected from among one or more magnetic particles, particles having charge You can (3). Deposition and selective etching of metal layers, (4). Graphene growth, then selective etching of graphene (5). (1) to (5), wherein the metal layer is etched.

Thereafter, the source electrode (the electrically conductive material connected to the graphene - the left side) is composed of copper (Cu) capable of adhesion in the metal and later wafer bonding step, and the drain electrode (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - means non-coplanar) - is made of copper (Cu (The contact portion of the wafer is made of copper (Cu) capable of adhesion), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (Cu) capable of adhesion in a wafer bonding step at an upper part of the wafer bonding step (A) to (B). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with graphene, and the second metal layer (upper part) is made of copper (Cu) do. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts to be combined with the CMOS wafer are etched widely. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); An insulating layer is provided on the island electrode, (c). (D). Resist removal, (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). (A) an island electrode (an insulating layer / island electrode and a tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); A PMMA layer is provided on the island electrode, and (c). (C) having an intersecting barrier regulating circuit; The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). Resist removal, (f). (G). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. Then, the graphene bending circuit wafer and the CMOS wafer are integrated by performing a wafer bonding process. The CMOS wafer is inverted to perform graphene bending circuit wafer and wafer bonding process. Alternatively, graphene bend circuit wafers may be inverted to perform the CMOS wafer and wafer bonding process. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

And is coupled in a copper to copper bond between the corresponding source and drain metal contacts of the two wafers. Typical bonding temperatures are below 400 ° C. Therefore, the devices are not destroyed during the process. In one embodiment of the present invention, an electrically conductive material bonded at 400 [deg.] C or less may be used instead of copper to copper bond. In one embodiment of the present invention, (1) instead of a copper to copper bond. Gold - gold combination, (2). (1) to (2), composed of aluminum to aluminum bond, can be used.

In one embodiment of the present invention, the transistor of the present invention

a. Barrier layer / insulating layer / island electrode / insulating layer (or an insulating layer including an air layer) / graphene / insulating layer having a Young's modulus / magnetic particle / substrate layer,

b. Magnetic layer / barrier layer / insulating layer / vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer) / graphene / insulating layer / Young's modulus having Young's modulus / Substrate layer,

c. Barrier layer / insulating layer / island electrode / insulating layer (or an insulating layer including an air layer) / graphene / insulating layer / Young's modulus / particle / substrate layer having charge,

d. Barrier layer / insulating layer / vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer) / graphene / insulating layer / Young's modulus Particle / substrate layer,

e. (Or insulation layer including the air layer) / graphene / PDMS layer (or insulating layer) / magnetic particle / substrate layer,

f. (Or insulating layer) / magnetic particle / substrate layer, and / or a magnetic layer / insulating layer / vacuum layer (or air layer) / island electrode / insulating layer

g. Barrier layer / insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / particle /

h. Barrier layer / insulating layer / vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / particle having charge / substrate layer ,

i. (Or insulating layer) / magnetic particle / substrate layer, or a magnetic layer / insulation layer (or an insulating layer including an air layer) / a graphene / PDMS layer

j. (Or an insulating layer including an air layer) / graphene / PDMS layer (or insulating layer) / particle / substrate layer having charge,

, And a selected from the above a to j constituted by a plurality of layers.

In one embodiment of the present invention, the transistor of the present invention

a. Insulating layer / island electrode / insulating layer (or insulating layer including air layer) / graphene / insulating layer having Young's modulus / Piezo material / barrier adjusting circuit,

b. Insulating layer / vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer) / graphene / insulation layer having Young's modulus / Piezo material / barrier Adjustment circuit,

c. (Insulating layer including air layer) / graphene / PDMS layer (or insulating layer) / Piezo material / barrier adjustment circuit,

d. PDMS layer (insulation layer) / Piezo material / barrier regulating circuit, dielectric layer / vacuum layer (or air layer) / island electrode / insulating layer (or insulating layer including air layer)

, And a selected from the above a to d constituted by a plurality of layers.

In one embodiment of the present invention, the graphene single electron transistor can significantly reduce power consumption, so that the use time of the battery can be significantly increased, and the size of the battery can be significantly reduced.

In one embodiment of the present invention, the present invention provides a method of fabricating a semiconductor device, comprising the steps of: providing at least one Piezo (piezo) electrode provided at the bottom of one or more graphenes, in the form of one or more graphene and drain electrodes having non- Wherein at least one graphene and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the at least one graphene,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, the present invention provides a method of forming a magnetic field, wherein at least one of a Piezo material, a magnetic particle, and a charge, provided at the bottom of at least one graphene, One or more graphenes may be provided with at least one bending deformation to control ON / OFF of electricity, and at least one bending deformation of at least one graphen is provided between at least one graphen and a drain electrode To control electricity on / off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, the present invention provides a method of controlling a barrier regulating circuit, comprising the steps of: providing at least one graphene and drain electrode with a non- Wherein at least one graphene is provided with at least one bending deformation to control electrical on / off, wherein at least one graphen and at least one graphen are provided with at least one graphen With at least one bending deformation of the graphene to control the electrical On / Off; And at least one bending deformation of the graphene to control on / off of electricity.

In one embodiment of the present invention, the at least one graphene comprises at least one layer of a PDMS layer, an elastomer layer, an insulating layer, on top of the at least one graphene layer; And FIG.

In one embodiment of the invention, the at least one graphene layer comprises a layer selected from the group consisting of a PDMS layer, a liquid polymer layer, an elastomer layer, an insulating layer, a vacuum layer, an Air layer (air layer) And FIG.

In one embodiment of the present invention, at least one graphene comprises an air layer (air layer) on top of said at least one graphene; And FIG.

In one embodiment of the present invention, at least one graphene comprises a vacuum layer on top of said at least one graphene; And FIG.

In one embodiment of the invention, the at least one graphene comprises at least one insulating layer on top of the at least one graphene; And FIG.

In one embodiment of the present invention, the drain electrode comprises a semiconductor; And a control unit.

In one embodiment of the present invention, the drain electrode comprises an electrically conductive material; However, the present invention is not limited to this, as long as it can constitute a drain electrode as long as it can be electrically conductive. In one embodiment of the present invention, the electrically conductive material may refer to a conductor.

In one embodiment of the present invention, the source electrode is selected from palladium (Pd), gold (Au), copper (Cu), aluminum (Al), tungsten (W) And FIG.

In one embodiment of the present invention, the drain electrode is selected from palladium (Pd), gold (Au), copper (Cu), aluminum (Al), tungsten (W) And FIG.

In one embodiment of the invention, the at least one bending deformation is provided as at least one Young's modulus in the state of a layer selected from one layer, a multilayer state, .

In one embodiment of the present invention, the at least one graphene comprises a layer selected from the group consisting of a PDMS layer, an elastomer layer, a layer having a Young's modulus, an insulating layer, And FIG.

In one embodiment of the present invention, it is preferred that the PDMS layer, the elastomer layer, the liquid polymer layer, the layer having the Young's modulus, the insulating layer, (E.g., an air layer) free from deformation such that the elastomer layer, the liquid polymer layer, the layer having the Young's modulus, and the insulating layer can be sufficiently deformed do. In one embodiment of the present invention, the deformable free layer may mean a strain free space (e.g., an air space).

In one embodiment of the present invention, the at least one graphene layer comprises a PDMS layer, an elastomeric layer, a layer having a Young's modulus at the bottom of the at least one graphene, an insulating layer, And FIG.

In one embodiment of the invention, the at least one graphene comprises an elastomeric layer on top of the at least one graphene; And FIG.

In one embodiment of the present invention, at least one graphene comprises a liquid polymer layer on top of said at least one graphene; And FIG.

In one embodiment of the invention, at least one graphene layer comprises a layer of poly (dimethylsiloxane) (PDMS) on top of the at least one graphene layer; And FIG.

In one embodiment of the present invention, at least one graphene layer comprises a layer of poly (dimethylsiloxane) (PDMS) at the bottom of the at least one graphene layer; And FIG.

In one embodiment of the present invention, at least one graphene layer has a low Young's modulus at the top of the at least one graphene layer; And FIG.

In one embodiment of the present invention, at least one graphene layer has a low Young's modulus at the bottom of the at least one graphene layer; And FIG.

In one embodiment of the present invention, at least one graphene comprises an insulating layer on top of the at least one graphene; And FIG.

In one embodiment of the invention, the at least one graphene comprises an insulating layer at the bottom of the at least one graphene; And FIG.

In one embodiment of the present invention, the at least one bending deformation comprises adjusting the on / off of electricity, with one or more contact areas; And FIG.

In one embodiment of the present invention,

a. It has one or more contact areas to control the electricity on / off,

b. Wherein the at least one contact area comprises at least one magnetic particle having at least one bending deformation of at least one graphene; .

In one embodiment of the present invention, the at least one bending deformation is to solve the standby power problem of the graphene by one or more bending deformation; And FIG.

In one embodiment of the invention, adjusting the height of the Fermi level

a. Bending the graphene higher than the Fermi level, but providing the electrons at the same time increases the Fermi level,

b. Bending deformation of graphene above the Fermi level but providing electrons at the same time,

, And a selected from the group consisting of a, b, .

In one embodiment of the present invention, one or more bending deformation of one or more graphenes is defined as a physical dimension that is greater than a selected dimension of 10 nm, 0.1 nm, so that no more than one physical contact with the drain electrode occurs. In one embodiment of the present invention, in one or more bending strains of one or more graphenes, electrons are implanted into the graphene layer in a range where the at least one bending strain of the graphene has a physical spacing of 60 nm or less in the state of the drain electrode and the vacuum layer, It is possible to quickly move to the drain electrode.

In one embodiment of the invention, adjusting the height of the Fermi level adjusts the height of the Fermi level of one or more grapins as one or more out-of-plane displacements < u > To do; And FIG.

In one embodiment of the invention, the bending deformation comprises a wavy form; . The wave form means a wave form selected from a waveform, a sine wave, a Gaussian wave, a Lorentzian wave, a periodic wave, and an aperiodic wave.

In one embodiment of the invention, the at least one bending deformation comprises one or more membranes; .

In one embodiment of the present invention, the at least one bending deformation comprises one or more buckling shapes; .

'' -

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one piezoelectric material disposed below the at least one graphene is provided with at least one graphene in one or more bending deformations due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, On / Off '

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, at least one Piezo material provided at the bottom of the at least one graphene is in contact with a voltage of a barrier regulating circuit One or more graphenes may be provided as one or more bending deformation to control on / off of electricity,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit which intersects the circuit of the at least one graphene, Adjust On / Off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, the particles having at least one charge provided at the bottom of the at least one graphene are mixed with the voltage of the barrier adjustment circuit crossing the circuit of the at least one graphene At least one graphene may be provided as one or more bending deformation to control on / off of electricity,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. One or more magnetic grains provided on the lower portion of the at least one graphene may have at least one graphene as one or more bending deformation owing to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, Off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, one or more magnetic particles provided at the bottom of one or more graphenes may be replaced by one The graphene is provided with at least one bending deformation to control the electric on / off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the invention, the physical spacing comprises an insulating layer; .

In one embodiment of the present invention, the at least one bending deformation comprises at least one Young's modulus; .

In one embodiment of the present invention, the present invention provides an elastomeric material comprising: an elastomeric layer on top of at least one graphene, wherein the at least one graphene and elastomeric layer are together bent or deformed; And FIG.

In one embodiment of the present invention, the present invention provides a liquid polymeric film comprising: a liquid polymer layer on top of at least one graphene; Is provided.

In one embodiment of the present invention, the present invention provides a photovoltaic cell comprising a layer of poly (dimethylsiloxane) (PDMS) on top of at least one graphene, wherein the at least one graphene and at least one of poly (dimethylsiloxane) ) The layers together being more than one bending deformation; And FIG.

In one embodiment of the present invention, the present invention is characterized in that a layer of poly (dimethylsiloxane) (PDMS) is provided on the lower part of at least one graphene, and at least one layer of poly (dimethylsiloxane) ) The layers together being more than one bending deformation; And FIG.

In one embodiment of the present invention, the present invention comprises a layer having a low Young's modulus on top of at least one graphene, wherein the layer having at least one graphene and a Young's modulus together One or more bending deformations; And FIG.

In one embodiment of the present invention, the present invention comprises a layer having a Young's modulus at the bottom of one or more graphenes, wherein the layer having at least one graphene and a Young's modulus One or more bending deformations; And FIG.

In one embodiment of the present invention, the present invention provides an air layer (air layer) on top of one or more graphenes; Is provided.

In one embodiment of the present invention, the present invention provides a vacuum deposition apparatus comprising: a vacuum layer on top of at least one graphene; Is provided.

In one embodiment of the present invention, the present invention provides a semiconductor device comprising: an insulating layer on top of at least one graphene, the at least one graphene and the insulating layer being bended together; And FIG.

In one embodiment of the present invention, the present invention provides a semiconductor device comprising: an insulating layer disposed underneath one or more graphenes, wherein the at least one graphene and the insulating layer are bent or deformed together; And FIG.

In one embodiment of the present invention, the at least one Piezo material presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, particles having at least one charge presented in the present invention are provided in an etched position of a layer of insulating material; .

In one embodiment of the invention, the at least one magnetic particle presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

In a form including a barrier adjustment circuit having physical spacing from the top of the at least one graphene and intersecting the circuit of the at least one graphene,

a. Due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, an electrostatic attraction may be induced in one or more graphenes provided at the bottom of the barrier regulating circuit so that one or more graphenes are provided with at least one bending deformation To control the electricity on / off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

In the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit intersecting the circuit of the at least one graphene, one or more graphenes provided below the barrier regulating circuit, And at least one graphene is provided with at least one bending deformation to control the electric on / off,

Adjusting the height of the Fermi level of one or more graphenes between one or more graphene and drain electrodes to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the invention, the bending deformation comprises a bending deformation of the plate; .

In one embodiment of the present invention, the bending deformation comprises a bending deformation of a dynamic Plate (plate); .

In one embodiment of the present invention, the at least one bending deformation comprises at least one graphene in the form of at least one graphene having a non-coplanar plane with physical spacing of the graphene and drain electrodes, To solve the standby power problem of the graphene by moving the electrons by narrowing the physical distance between the graphene and the drain electrode, And FIG.

In one embodiment of the invention, adjusting the height of the Fermi level

a. Bending the graphene higher than the Fermi level, but providing the electrons at the same time increases the Fermi level,

b. Bending deformation of graphene above the Fermi level but providing electrons at the same time,

c. Sputtering the graphene spatially above the Fermi level, but simultaneously providing electrons,

, A to c selected from the group consisting of: .

In one embodiment of the present invention, the at least one bending deformation comprises at least one Young's modulus; .

In one embodiment of the present invention, the at least one bending deformation is formed by modifying the height of the at least one graphene Fermi level (Fermi level) without one or more physical contact with the drain electrode, ; And a control unit.

In one embodiment of the present invention, the at least one bending deformation includes a shape in which at least one graphene is in physical contact with at least one drain electrode, and is configured to adjust a height of a Fermi level of at least one graphene; And a control unit.

In one embodiment of the present invention, the at least one bending deformation includes a shape having at least one out-of-plane displacement < u > of one or more graphenes; And a control unit.

In one embodiment of the present invention, the adjustment of the height of the Fermi level (Fermi level) may be performed in the form of one or more graphene and drain electrodes having a non-coplanar plane and an insulating layer therebetween, The insulating layer being provided with at least one bending deformation,

The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

Wherein at least one graphene is coupled to the drain electrode and the insulating layer by electron tunneling; And a control unit.

In one embodiment of the present invention, the height of the Fermi level (Fermi level) is controlled by connecting two electrodes, one of which is composed of a drain electrode connected to a common island electrode through a tunnel junction, Wherein at least one graphene and an insulating layer are provided with at least one bending deformation,

The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

Connecting at least one graphene to the drain electrode and electron tunneling at one common island electrode; And a control unit.

In one embodiment of the invention, the bending deformation comprises a curvature; .

In one embodiment of the invention, the bending deformation comprises a wavy form; .

In one embodiment of the invention, the at least one bending deformation comprises at least one spatial deformation; .

In one embodiment of the present invention, the bending deformation is such that the nanostructure of the uppermost portion of the deformation of the bending deformation is a quantum dot; And a control unit.

In one embodiment of the present invention, the bending deformation is caused by graphene graphene having an ultra-thin film on top of the graphene, a selective etched ultra thin film quantum dot, selectively etched graphene, selectively etched graphene quantum dots, Wherein the nano-form of the uppermost portion of the strain of the bending deformation is a quantum dot; And a control unit.

In one embodiment of the present invention, a transistor having on / off control of electricity with at least one bending deformation of graphene may be used as a central processing unit (CPU), a memory, an electronic device provided with a battery, One or more one-dimensional, two-dimensional, or three-dimensional selected from one or more selected from the group consisting of: .

In one embodiment of the present invention, the present invention relates to a method of controlling a transistor that has one or more bending deformation of graphene to control the on / off state of the electricity by one or more one-dimensional, two-dimensional, Or more.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

wherein the particles having at least one charge at the bottom of the at least one graphene are subjected to at least one bending deformation of the graphene and the insulating layer due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene Respectively,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

wherein a particle having at least one charge provided at the lower portion of the at least one graphene comprises an insulator layer and at least one graphene and insulator layer due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Bending deformation,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided on the one or more graphenes,

And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,

And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,

b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

c. Tunneling the electrons to the island electrode, and

d. A tunnel is located at the drain electrode, and

e. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,

Wherein at least one Piezo material at the lower end of the at least one graphene is provided with at least one graphene and an insulating layer in one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, ,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,

Wherein at least one graphene and at least one charge at the lower end of the at least one graphene layer comprises at least one graphene and an insulating layer in at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,

At least one magnetic particle provided at the lower end of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

a. Tunneling the electrons to the island electrode, and

b. A tunnel is located at the drain electrode, and

c. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,

Wherein at least one Piezo material at the lower end of the at least one graphene is provided with at least one graphene and an insulating layer in one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, ,

a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,

Wherein at least one graphene and at least one charge at the lower end of the at least one graphene layer comprises at least one graphene and an insulating layer in at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene,

a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the present invention,

In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,

At least one magnetic particle provided at the lower end of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and

b. Tunneling the electrons to the island electrode, and

c. A tunnel is located at the drain electrode, and

d. The electrons reaching the Fermi level of the drain electrode; To

And a graphene single electron transistor.

In one embodiment of the invention, the physical spacing comprises an insulating layer; .

In one embodiment of the invention, the physical spacing comprises an air layer; .

In one embodiment of the invention, the physical spacing comprises a vacuum layer; .

In one embodiment of the present invention, the island electrode has a low self-capacitance, low self-capacitance; And FIG.

In one embodiment of the present invention, the at least one bending deformation comprises at least one Young's modulus; .

In one embodiment of the invention, the deformable free layer comprises an air layer; .

In one embodiment of the present invention, the strain free layer comprises a vacuum layer; .

In one embodiment of the present invention, the insulating layer provided on one or more graphenes includes an insulating layer having a Young's modulus; And FIG.

In one embodiment of the present invention, the insulating layer provided on one or more graphenes comprises a thin insulating layer with a low Young's modulus; And FIG.

In one embodiment of the present invention, the insulating layer comprises an insulating layer having a Young's modulus; And FIG.

In one embodiment of the present invention, the insulating layer comprises a thin insulating layer having a low Young's modulus; And FIG.

In one embodiment of the present invention, the graphene single electron transistor is formed prior to the step of tunneling electrons to the island electrode

The insulating layer provided between at least one graphene and the island electrode is in contact with the island electrode and the thickness of the insulating layer provided between the at least one graphen and the island electrode is adjusted to several nanometers ; Further comprising a graphene single electron transistor.

In one embodiment of the present invention, the graphene single electron transistor is formed prior to the step of tunneling electrons to the island electrode

The several nanometer level insulating layer provided between the at least one graphene and the island electrode is brought into contact with the island electrode; Further comprising a graphene single electron transistor.

In one embodiment of the present invention, the at least one Piezo material presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, particles having at least one charge presented in the present invention are provided in an etched position of a layer of insulating material; .

In one embodiment of the invention, the at least one magnetic particle presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, the graphene single electron transistor may be one or more one-dimensional, one-dimensional, or one-dimensional, Two or three dimensional, or one or more selected; .

In one embodiment of the present invention, the present invention comprises an electronic device characterized in that it comprises at least one graphene single electron transistor selected from one or more, one-dimensionally, two-dimensionally, or three-dimensionally.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided between the at least one graphene and the drain electrode,

And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,

b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

d. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

At least one Piezo material disposed on the lower end of the at least one graphene in the form of at least one graphene and drain electrode having a non-coplanar plane and a deformable free layer and an insulating layer in between, At least one graphene and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the pin,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

In the form of one or more graphene and drain electrodes having a non-coplanar plane and a strained free layer and an insulating layer therebetween, particles having at least one charge at the lower end of the at least one graphene, One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of FIG.

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Wherein at least one graphene and a drain electrode have non-coplanar planes and a deformable free layer and an insulating layer therebetween, wherein at least one magnetic particle provided at the lower end of the at least one graphene, At least one graphene and an insulating layer are provided in at least one bending deformation,

a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

b. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Wherein at least one graphene and / or drain electrode has a non-coplanar plane and an insulating layer therebetween, at least one Piezo material provided at the lower end of the at least one graphene, Due to the voltage of the intersecting barrier regulating circuit, at least one graphene and an insulating layer are provided with at least one bending deformation,

a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

In which one or more graphene and drain electrodes have non-coplanar planes and an insulating layer therebetween, particles having at least one charge at the lower end of the at least one graphene are crossed with the circuit of the at least one graphene One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit,

a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor comprises:

Wherein at least one graphene and a drain electrode have non-coplanar surfaces and an insulating layer therebetween, at least one magnetic particle provided at the lower end of the at least one graphene has a barrier crossing the circuit of the at least one graphene Due to the voltage of the tuning circuit, one or more graphenes and an insulating layer are provided in at least one bending deformation,

a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and

b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and

c. Reaching the drain electrode; To

And an electron tunneling graphene transistor.

In one embodiment of the invention, the physical spacing comprises an insulating layer; .

In one embodiment of the invention, the physical spacing comprises an air layer; .

In one embodiment of the invention, the physical spacing comprises a vacuum layer; .

In one embodiment of the present invention, the insulating layer provided between the at least one graphene and the drain electrode includes a thin insulating layer having a Young's modulus; And FIG.

In one embodiment of the present invention, the insulating layer provided between the at least one graphene and the drain electrode includes a thin insulating layer having a Young's modulus; And FIG.

In one embodiment of the present invention, the insulating layer comprises a thin insulating layer having a low Young's modulus; Is characterized by comprising:

In one embodiment of the present invention, the at least one bending deformation comprises at least one Young's modulus; .

In one embodiment of the invention, the deformable free layer comprises an air layer; .

In one embodiment of the present invention, the strain free layer comprises a vacuum layer; .

In one embodiment of the present invention, an electron tunneling graphene transistor is fabricated prior to the step of passing through a tunnel of insulating layers in which one electron is provided between one or more graphene and drain electrodes

The insulating layer provided between the at least one graphene and the drain electrode is in contact with the drain electrode and the thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; And an electron tunneling graphene transistor.

In one embodiment of the present invention, an electron tunneling graphene transistor is fabricated prior to the step of passing through a tunnel of insulating layers in which one electron is provided between one or more graphene and drain electrodes

The several nanometer level insulating layer provided between the at least one graphene and the drain electrode is brought into contact with the drain electrode; And an electron tunneling graphene transistor.

In one embodiment of the present invention, the at least one Piezo material presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, particles having at least one charge presented in the present invention are provided in an etched position of a layer of insulating material; .

In one embodiment of the invention, the at least one magnetic particle presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the invention, the electron tunneling graphene transistor is one or more one-dimensional, one-dimensional, or one-dimensional, Two or three dimensional, or one or more selected; .

In one embodiment of the present invention, the present invention includes an electronic device characterized in that the electron tunneling graphene transistor is provided with one or more one-dimensional, two-dimensional, three-dimensional, or one or more selected.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one piezoelectric material disposed below the at least one graphene is provided with at least one graphene in one or more bending deformations due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, On / Off '

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit which intersects the circuit of the at least one graphene, Adjust On / Off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. One or more magnetic grains provided on the lower portion of the at least one graphene may have at least one graphene as one or more bending deformation owing to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, Off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

In a form including a barrier adjustment circuit having physical spacing from the top of the at least one graphene and intersecting the circuit of the at least one graphene,

a. Due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, an electrostatic attraction may be induced in one or more graphenes provided at the bottom of the barrier regulating circuit so that one or more graphenes are provided with at least one bending deformation To control the electricity on / off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the at least one graphene has at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene , Turn on / off electricity,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit in which the particles having at least one charge provided at the lower portion of the at least one graphen cross the circuit of the at least one graphen, Adjust the electricity on / off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided at the bottom of the at least one graphene comprises at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Adjust On / Off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And an insulating layer provided under the at least one graphene,

And at least one Piezo material disposed under the insulating layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material disposed below the at least one graphene has at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene , Turn on / off electricity,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including particles having at least one electric charge provided under the insulating layer,

a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit in which the particles having at least one charge provided at the lower portion of the at least one graphen cross the circuit of the at least one graphen, Adjust the electricity on / off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,

And an insulating layer provided under the at least one graphene,

In a form including at least one magnetic particle provided below the insulating layer,

a. Wherein at least one magnetic particle provided at the bottom of the at least one graphene comprises at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Adjust On / Off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a layer having a Young's modulus at the top of the at least one graphene,

And a selectively etched insulating material layer disposed below the at least one graphene,

At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,

In a form including a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,

a. Wherein at least one Piezo material on the bottom of the at least one graphene layer comprises at least one graphene and a layer having a Young's modulus due to the voltage of the barrier tuning circuit crossing the circuit of the at least one graphene, Is provided with at least one bending deformation to control the electric on / off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a layer having a Young's modulus at the top of the at least one graphene,

And a barrier adjustment circuit having physical spacing from the top of the layer having the Young's modulus and intersecting the circuit of the at least one graphene,

In the form including particles having at least one charge disposed under the at least one graphene,

a. Wherein the particles having at least one charge provided at the bottom of the at least one graphene are separated by at least one graphene and a layer having a Young's modulus due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, And at least one bending deformation is provided to adjust the on / off of electricity,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention, a transistor having on / off of electricity with one or more bending deformation of graphene,

Source electrode:

Drain electrode: and

And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,

And a layer having a Young's modulus at the top of the at least one graphene,

And a barrier adjustment circuit having physical spacing from the top of the layer having the Young's modulus and intersecting the circuit of the at least one graphene,

In a form including at least one magnetic particle provided below the at least one graphene,

a. Wherein at least one magnetic particle provided at the lower portion of the at least one graphene layer comprises at least one graphene layer and a layer having a Young's modulus due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene layer, Bending deformation to adjust the electric on / off,

b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the invention, the physical spacing comprises an insulating layer; .

In one embodiment of the present invention, the present invention provides an air layer (air layer) on top of one or more graphenes; Is provided.

In one embodiment of the present invention, the present invention provides a vacuum deposition apparatus comprising: a vacuum layer on top of at least one graphene; Is provided.

In one embodiment of the present invention, the present invention provides an air layer (air layer) on top of a layer having a low Young's modulus; Is provided.

In one embodiment of the present invention, the present invention provides a semiconductor device comprising a vacuum layer on top of a layer having a low Young's modulus; Is provided.

In one embodiment of the present invention, a layer having a Young's modulus comprises a thin insulating layer having a Young's modulus; And FIG.

In one embodiment of the present invention, the at least one Piezo material presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, particles having at least one charge presented in the present invention are provided in an etched position of a layer of insulating material; .

In one embodiment of the invention, the at least one magnetic particle presented in the present invention is provided in an etched position of a layer of insulating material; .

In one embodiment of the present invention, the at least one bending deformation comprises at least one Young's modulus; .

In one embodiment of the present invention, the at least one bending deformation comprises at least one graphene being in physical contact with at least one drain electrode, the electrons moving from the at least one graphene to the drain electrode; And a control unit.

In one embodiment of the invention, the at least one bending deformation comprises the steps of: one or more graphenes not physically contacting at least one physical contact with the drain electrode, but the electrons moving from the at least one graphene to the drain electrode; And a control unit.

In one embodiment of the present invention, the at least one bending deformation includes a shape having at least one out-of-plane displacement < u > of one or more graphenes; And a control unit.

In one embodiment of the invention, the bending deformation comprises a curvature; .

In one embodiment of the invention, the at least one bending deformation comprises at least one spatial deformation; .

In one embodiment of the present invention, a transistor having on / off control of electricity with at least one bending deformation of graphene may be used as a central processing unit (CPU), a memory, an electronic device provided with a battery, One or more one-dimensional, two-dimensional, or three-dimensional selected from one or more selected from the group consisting of: .

In one embodiment of the present invention, the present invention relates to a method of controlling a transistor that has one or more bending deformation of graphene to control the on / off state of the electricity by one or more one-dimensional, two-dimensional, Or more.

In one embodiment of the present invention,

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, at least one Piezo material provided at the bottom of the at least one graphene is in contact with a voltage of a barrier regulating circuit One or more graphenes may be provided as one or more bending deformation to control on / off of electricity,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention,

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, the particles having at least one charge provided at the bottom of the at least one graphene are mixed with the voltage of the barrier adjustment circuit crossing the circuit of the at least one graphene At least one graphene may be provided as one or more bending deformation to control on / off of electricity,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention,

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,

a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, one or more magnetic particles provided at the bottom of one or more graphenes may be replaced by one The graphene is provided with at least one bending deformation to control the electric on / off,

b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention,

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,

In the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit intersecting the circuit of the at least one graphene, one or more graphenes provided below the barrier regulating circuit, And at least one graphene is provided with at least one bending deformation to control the electric on / off,

Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention,

Providing at least one bending deformation of one or more graphenes between one or more graphene and drain electrodes to control electrical on / off; of

The graphene has a bending deformation of at least one of the graphene grains.

In one embodiment of the present invention,

Characterized in that at least one transistor having at least one bending deformation of graphene and controlling electric on / off is provided in at least one or more selected one-dimensional, two-dimensional or three-dimensional manner. do.

In one embodiment of the present invention,

Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; And a transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene.

'' -

In one embodiment of the present invention, the transistor of the present invention may have various shapes, but basically, one or more graphenes are provided with at least one bending deformation to control electricity on / off.

A transistor fabricated by a method for manufacturing a low-temperature substrate straight-grown graphene

The graphene growth method using the catalyst metal, which is the most widely used method for growing graphene, is a method in which graphenes are once formed, the metal of the catalyst is sandwiched between the graphene and the substrate, Much effort is needed, and complete removal is not easy. In addition, the provision of graphene as a transfer facilitates defects when transferring graphene. Therefore, there is a need for a technique for manufacturing graphene that directly contacts the surface of a substrate without leaving a catalyst metal on the substrate. In addition, there is a need for a technique to grow graphene at low temperature, which is the temperature at which a CMOS process can be formed, since no thermal budget problem should occur in the CMOS process.

Thus, in one embodiment of the present invention, a method of making low temperature substrate straight-

(One). (Or deposition) of a metal layer on a substrate,

(2). A carbon-containing gas and an etching gas are supplied at a temperature of 500 ° C or lower and inductively coupled plasma-chemical vapor deposition (ICP-CVD) is performed,

(3). Supplying an etchant gas of a metal together in the carbon-containing gas supply, growing graphene on the metal layer,

(4). In the process (3), the inductively coupled plasma-chemical vapor deposition (ICP-CVD) is continuously performed, and the etching gas is supplied (or the etching gas is continuously supplied) And a method of manufacturing low-temperature substrate-grown graphene in which graphenes are directly contacted on a substrate.

To be more specific, the method includes a removing step of removing the metal layer with an etching gas while supplying a carbon-containing gas and an etching gas at a low temperature of 500 ° C or less and maintaining inductively coupled plasma chemical vapor deposition (ICP-CVD) Growing the graphene on the substrate without including the graphene; The method comprising the steps of:

"Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD)" as presented in the present invention can be expressed by "ICP-CVD ".

In one embodiment of the present invention, the ICP-CVD process involves the step of etching the metal layer into an ICP-CVD process to directly grow the graphene onto the substrate, Means an ICP-CVD process as a manufacturing method.

In one embodiment of the present invention, the method of manufacturing low-temperature substrate straight-grained graphene comprises the steps of removing the metal layer while ICP-CVD is maintained, so that the carbon that can not grow on the metal to be removed maintains high mobility, Gt; graphene &lt; / RTI &gt; In one embodiment of the present invention, nucleation of a new graphene can be inhibited, since carbon with high mobility is transferred to graphene nucleated for the first time by metal layer (metal) removal, The crystal grain size of the fin can be increased.

In one embodiment of the present invention, in the metal layer removing step in the method for producing low-temperature substrate straight-grained graphene, an etching gas is supplied to remove the metal layer. When etching is performed for a sufficient time until the metal layer is completely removed according to the present manufacturing method, the graphen comes into contact with the substrate without interposing the metal layer therebetween.

In one embodiment of the present invention, the method of making the low temperature substrate straight grain grains is also described below. With the ICP-CVD maintained, the metal layer is removed by an etching gas such as chlorine. Then, on the surface of the metal layer, carbon grows as graphene. If the etching is continued while maintaining the ICP-CVD, the grown graphene grows further. The etching is performed while ICP-CVD is maintained. Therefore, carbon grows to have a crystal structure with already-grown graphene. Finally, the metal layer is completely removed and the graphene comes into direct contact with the surface of the substrate.

Therefore, unlike a conventional method using a metal catalyst, graphene can be directly grown on a substrate without a metal layer. Further, by appropriately setting the shape of the metal layer, graphene can be finely formed by a method of transferring graphene produced by a conventional manufacturing method.

In one embodiment of the present invention, in the process for producing low temperature substrate straight grain grains, the metal of the metal layer is nickel and chlorine can be used as an etching gas. However, in one embodiment of the present invention, the method for producing low temperature substrate straight grain grains may use any metal capable of growing carbon to graphene and an etching gas for the metal. In one embodiment of the present invention, the arbitrary metal may mean a metal selected from a single crystal metal, a polycrystalline metal, and the like. In one embodiment of the present invention, the optional metal may refer to a metal in which the atoms are aligned.

In one embodiment of the invention, in the method of making low temperature substrate straight grain grains, the metal layer may refer to a metal layer in which the atoms are aligned.

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight grain grains, the metal of the metal layer may be a pure metal composed of one metal element capable of being grown as graphene by carbon, An alloy composed of a metal element may be used.

In one embodiment of the present invention, in the method of making low temperature substrate straight grain grains, the metal layer can be subjected to CMP as an additional option to adjust the thickness and flatness of the metal layer to a desired level

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight growth graphene, the metal layer may mean a metal layer subjected to the deposition of the metal layer and selective etching. Here, the selective etching means performing the etching process to leave only a desired portion.

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight growth graphene, the metal layer may refer to a metal layer which has undergone the deposition of a metal layer and CMP, followed by selective etching.

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight grain grains, the metal layer may refer to a metal layer subjected to at least one selected process of CMP process, selective etching.

In one embodiment of the present invention, in the method of manufacturing low-temperature substrate straight-grained graphene, the substrate may be placed in an ICP-CVD chamber with a metal layer provided thereon to perform the method of manufacturing the substrate-grafted graphene.

In one embodiment of the present invention, the method of manufacturing the low temperature substrate straight growth graphene can use a load-locked chamber, but is not limited thereto.

In one embodiment of the present invention, it is contemplated that the method of making low temperature substrate straight grain grains may utilize a roll to roll method.

In an embodiment of the present invention, in the method of manufacturing a low temperature substrate straight growth graphene, the step of providing the metal layer provided on the substrate includes at least one of deposition, electron beam deposition, sputtering, atomic layer deposition ALD), physical vapor deposition (PVD), and chemical vapor deposition (CVD).

In one embodiment of the present invention, in the method of manufacturing low temperature substrate straight grained graphene, forming graphene by ICP-CVD means generating high density plasma at low pressure to form graphene. The chamber of the ICP-CVD apparatus is formed by implanting the carbon-containing gas and the etching gas while maintaining a degree of vacuum of, for example, several to several hundreds of mTorr, and applying a high frequency power of several hundred kHz to several hundred MHz Graphene is formed by the reaction of the carbon-containing gas on the metal layer formed on the substrate in the chamber by forming a plasma in the chamber by the induced magnetic field. Therefore, the method of fabricating the low-temperature substrate straight-grained graphene can be performed by continuously performing the ICP-CVD (Inductively Coupled Plasma-Chemical Vapor Deposition) ), And a method for producing low-temperature direct-grown graphene in which the metal layer is entirely removed and graphene is directly in contact with the substrate. In the ICP-CVD process, it is important that the carbon-containing gas is uniformly injected in the entire metal layer region to form a uniform plasma. In addition, it is important to uniformly spray the etching gas to uniformly remove the metal layer Do. By performing the above-described process, the temperature of the substrate can be maintained at a low temperature of 500 ° C or less, and the low-temperature substrate direct growth graphene on which the graphene directly contacts can be formed.

In one embodiment of the present invention, the method of making the low temperature substrate straight grain grains can perform a cooling method on the formed graphene after the ICP-CVD process. The cooling method is a method for uniformly growing the formed graphene and uniformly arranging the graphene. Since the rapid cooling may cause cracking of the graphene, it is preferable to cool the graphene slowly at a constant speed. For example, It is also possible to use a method such as natural cooling. The natural cooling is obtained by simply removing the heat source used for the heat treatment. Thus, it is possible to obtain a sufficient cooling rate even by removing the heat source.

In one embodiment of the present invention, the method of making the low temperature substrate straight growth graphene may comprise further supplying a reducing gas with the carbon-containing gas and the etching gas. For example, the reducing gas may comprise hydrogen, helium, argon, or nitrogen.

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight grain grains, the etching gas may mean an etching gas containing chlorine or chlorine. In one embodiment of the present invention, the etching gas is not limited to an etching gas containing chlorine or chlorine, and is usable if it is a gas capable of etching a metal layer.

In one embodiment of the present invention, in the method of manufacturing the low temperature substrate straight growth graphene, the number of graphene layers may be from several to 50 layers, but is not limited thereto. The ICP-CVD process, the metal layer removal (etching) process, and the cooling process for providing the number of graphene layers are performed at least once.

In one embodiment of the present invention, in the method of making low temperature substrate direct growth graphene, the carbon gas may refer to a carbon-containing compound having from about 1 to about 10 carbon atoms, but is not limited thereto. For example, the carbon gas may be selected from the group consisting of cyclopentane, cyclopentadiene, hexane, hexene, cyclohexane, cyclohexadiene, benzene, toluene, carbon monoxide, carbon dioxide, methane, ethane, ethylene, ethanol, acetylene, propane, Butene, butadiene, pentane, pentene, pentyne, pentadiene, and combinations thereof, but is not limited thereto.

In an embodiment of the present invention, in the method of manufacturing the low temperature substrate straight grain grains, the carbon-containing gas and the etching gas in the chamber of the ICP-CVD apparatus are only present in the carbon gas and the etching gas or in the argon, helium, It is also possible to exist with the same inert gas. In addition, the carbon-containing gas and the etching gas may include hydrogen as well as the carbon gas and the etching gas.

In one embodiment of the present invention, the method for producing low temperature substrate straight grain grains can be provided with a large area graphene by freely adjusting the size of the metal layer. In addition, since the carbon-containing gas and the etching gas are fed in the vapor phase, there is no restriction on the shape of the metal layer, so that various types of graphenes can be provided. For example, graphene having a three-dimensional solid shape may also be provided.

In one embodiment of the present invention, the method of manufacturing the low temperature substrate straight grain grains can control the thickness of the graphene by appropriately controlling the ICP-CVD execution time, the etching execution time, and the graphen forming environment.

In one embodiment of the present invention, a method of making a low temperature substrate straight growth graphene comprises providing a metal layer on a substrate, thereafter supplying a carbon-containing gas and an etching gas at a low temperature of 500 DEG C or less, And removing the metal layer with an etching gas while maintaining an inductively coupled plasma-chemical vapor deposition (ICP-CVD), thereby growing graphene on the substrate without a metal layer; The method comprising the steps of:

In one embodiment of the present invention, in the method of manufacturing low temperature substrate straight grain grains, the substrate is provided with one or more Piezo material, magnetic particles, particles having charge, . &Lt; / RTI &gt;

In one embodiment of the present invention, in the method of manufacturing low temperature substrate straight grain grains, the metal of the metal layer is nickel and the etching gas is chlorine; The method comprising the steps of:

In one embodiment of the present invention, the method of making low temperature substrate straight grain grains

a. Loading a substrate into a deposition chamber to form a metal layer on the substrate; And

b. Loading the substrate into an ICP-CVD chamber, supplying a carbon-containing gas and an etching gas, and forming a substrate straight grain graphene at a low temperature by ICP-CVD; , &Lt; / RTI &

c. Wherein the substrate is sequentially loaded into the deposition chamber and the ICP-CVD chamber using a load-locked chamber; The method comprising the steps of: In addition, in one embodiment of the present invention, the method of manufacturing the low temperature substrate straight grain grains may further include cooling the substrate straight grain grains.

In one embodiment of the present invention, the method of making low temperature substrate straight grain grains

a. Loading a substrate into a deposition chamber to form a metal layer on the substrate; And

b. Selectively etching the metal layer on the substrate by loading the substrate into an etch chamber; And

c. Loading the substrate into an ICP-CVD chamber, supplying a carbon-containing gas and an etching gas, and forming a substrate straight grain graphene at a low temperature by ICP-CVD; , &Lt; / RTI &

d. The substrate being sequentially loaded using a load-locked chamber; The method comprising the steps of: In addition, in one embodiment of the present invention, the method of manufacturing the low temperature substrate straight grain grains may further include cooling the substrate straight grain grains.

In one embodiment of the present invention, the method of making low temperature substrate straight grain grains

a. Loading a substrate into a deposition chamber to form a metal layer on the substrate; And

b. Loading the substrate into a CMP chamber to perform a CMP process on the metal layer on the substrate; And

c. Loading the substrate into an ICP-CVD chamber, supplying a carbon-containing gas and an etching gas, and forming a substrate straight grain graphene at a low temperature by ICP-CVD; , &Lt; / RTI &

d. The substrate being sequentially loaded using a load-locked chamber; The method comprising the steps of: In addition, in one embodiment of the present invention, the method of manufacturing the low temperature substrate straight grain grains may further include cooling the substrate straight grain grains.

In one embodiment of the present invention, the method of making low temperature substrate straight grain grains

a. Loading a substrate into a deposition chamber to form a metal layer on the substrate; And

b. Loading the substrate into a CMP chamber to perform a CMP process on the metal layer on the substrate; And

c. Selectively etching the metal layer on the substrate by loading the substrate into an etch chamber; And

d. Loading the substrate into an ICP-CVD chamber, supplying a carbon-containing gas and an etching gas, and forming a substrate straight grain graphene at a low temperature by ICP-CVD; , &Lt; / RTI &

e. The substrate being sequentially loaded using a load-locked chamber; The method comprising the steps of: In addition, in one embodiment of the present invention, the method of manufacturing the low temperature substrate straight grain grains may further include cooling the substrate straight grain grains.

In one embodiment of the present invention, the method of making low temperature substrate straight grain grains may further comprise several steps, but it is generally possible to provide a carbon-containing gas and etch gas at a low temperature, And removing the metal layer with an etching gas while maintaining a bonded plasma chemical vapor deposition (ICP-CVD), thereby growing graphene on the substrate without the metal layer.

''

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Equipped with an intersecting barrier regulating circuit, (2). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). An insulating layer is provided on top of the selectively etched graphene, (7). And an insulating layer on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selection) ) To (7).

<B>

(One). Equipped with an intersecting barrier regulating circuit, (2). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). A PMMA layer is provided on top of the selectively etched graphene, (7). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). (1) to (8), wherein the PMMA layer is dissolved in acetone.

<C>

(One). Equipped with an intersecting barrier regulating circuit, (2). At least one Piezo material, (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). An insulating layer is provided on top of the selectively etched graphene, (7). And an insulating layer on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selection) ) To (7).

<D>

(One). Equipped with an intersecting barrier regulating circuit, (2). At least one Piezo material, (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). A PMMA layer is provided on top of the selectively etched graphene, (7). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). (1) to (8), wherein the PMMA layer is dissolved in acetone.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (4) step (1) to the <A> (5) a step, from (1) a <C> (4 ) Process, a process selected from the processes (1) to (5) of FIG. C ). Thereafter, the drain electrode is provided with a non-coplanar plane as an insulating layer at a position where the drain electrode is to be provided. (or, in <A>, <C>, the process is selected from that presented in one embodiment, (1) one or more piezo (piezo) non-coplanar with the insulating layer to be located prior to a drain electrode provided to the material comprising Techniques for forming a non-coplanar plane with an insulating layer are known to those skilled in the art and are therefore not described further herein. (2) Thereafter, one or more Piezo materials are provided, (3) (4) deposition of a metal layer and selective etching, (5). Thereafter, a low temperature substrate direct growth (1) to (5), wherein the method further comprises, in an embodiment of the present invention, (6) optionally etching the grown graphene, (1) to (6).)

Thereafter, the source electrode (the electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhesion with the metal and a further device circuit (or metal layer / or wafer) (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - meaning non-coplanar) - is bonded to the metal and then to additional device circuitry (or metal layer / or wafer) (Cu) capable of adhesion, or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (A) to (B), wherein the upper portion is provided with copper (Cu) capable of adhesion with an additional device circuit (or a metal layer / or wafer) at a later stage. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, a metal capable of good contact with graphene, and the second metal layer (upper part) is bonded to an additional device circuit (or metal layer / Copper (Cu) which is possible. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). An insulating layer is provided on top of the graphene (or selectively etched graphene), (b). Resist removal, (c). (D) providing an insulating layer on the insulating layer; CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). Resist removal, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). CMP is carried out one or more times to remove excess metal and to reduce the thickness of the insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the insulating layer to a certain thickness, or (2). (E) forming at least one alignment structure that causes the counterpart to fit into the copper by etching the copper to a constant thickness. And the PMMA layer is melted to form a vacuum layer, an air layer, or the like (the method is described in one aspect). The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). PMMA layer, (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). Dissolve the PMMA layer with acetone, (6). An insulating layer (ultra thin layer) is provided on top of the selectively etched graphene, (7). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (8). (1) to (8), each of which is constituted by a barrier control circuit and an intersecting barrier control circuit.

<B>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). An insulating layer (ultra thin layer) is provided on top of the selectively etched graphene, (6). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (7). (1) to (7), each of which is constituted by a barrier-regulating circuit which intersects with each other.

In one embodiment of the invention, the step of presenting on the one side (from (1) the <A> (3) processes, or from 1 of <A> (4) step) After that, the substrate (the source electrode and The source electrode (the electroconductive material connected to the graphene-the left hand side), which is connected to the substrate (the substrate on which the drain electrodes having non-coplanar planes are formed), is bonded to the metal and the additional device circuit (or metal layer / (A), which is made of copper (Cu) capable of adhesion and is connected to a substrate (a substrate on which a part of drain electrodes having a plane which is not coplanar with the source electrode is partially formed). The drain electrode (the material on which the physical distance (in this case, physical distance - meaning the non-coplanar plane) with the graphene - the right side) is bonded to the metal and then to an additional device circuit (or metal layer / or wafer) (Cu), or (B) in one embodiment of the present invention. Drain electrodes may be formed on top of the electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) (A) to (B) consisting of copper (Cu) capable of adhesion with an additional device circuit (or metal layer / or wafer). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Etch one or more times so that the top and drain electrodes of the graphene bending circuit and the graphene bending circuit are spaced sufficiently (in this case, the horizontal physical spacing) to fall off (exactly the upper and drain electrodes of the graphene bending circuit, Etching the metal layer or the copper (Cu) layer and the metal layer only one or more times so that the pin bending circuit can fall sufficiently spatially. Thus, the etching portion has a stepped shape. ) In one embodiment of the present invention, PMMA is further provided on top of the resist of the source electrode and the drain electrode to which the resist is applied in order to facilitate removal of a portion other than that required in a subsequent process.

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with the partially formed source electrode and the drain electrode, and the second metal layer (upper part) is formed by an additional device circuit (or metal layer / And copper (Cu) capable of adhesion. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Then, the are In one embodiment of the present invention, the resist top portion of a source electrode and a drain electrode that is resist is applied as an additional selection with a PMMA to facilitate part removal of the other necessary in a later step, it consists of - <A> -or- <B> - .

The contents described by the above - mentioned <A> -or- <B> - are as follows. Ⅰ. (a). An insulating layer (ultra thin layer) is provided on top of graphene (or selectively etched graphene), (b). Deposition and selective etching of insulating material, (c). Resist removal, (d). Thereafter, at least one Piezo material is provided at the etched location of the layer of insulating material (e). (F). (G). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). An insulating layer (ultra-thin film) after resist removal and resist removal, (8). (9) A method for manufacturing a low-temperature substrate direct-grown graphene. The grown graphene is selectively etched, (10). An insulating layer is provided on top of the selectively etched graphene, (11). An insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (12), each of which is constituted by a plurality of barrier control circuits and a barrier control circuit which intersects with each other.

<B>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). An insulating layer (ultra-thin film) after resist removal and resist removal, (8). (9) A method for manufacturing a low-temperature substrate direct-grown graphene. The grown graphene is selectively etched, (10). A PMMA layer is provided on top of the selectively etched graphene, (11). (In one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to desired levels by performing CMP with additional selection). Dissolve the PMMA layer with acetone, (13). (1) to (13), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<C>

(One). At least one magnetic particle, and particles having electric charge, (2). Thereafter, an insulating layer (ultra thin film) is provided (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). An insulating layer is provided on top of the selectively etched graphene, (6). An insulating layer is provided on the insulating layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (7), each of which is constituted by a plurality of barrier control circuits and a barrier control circuit which intersects each other.

<D>

(One). At least one magnetic particle, and particles having electric charge, (2). Thereafter, an insulating layer (ultra thin film) is provided (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). A PMMA layer is provided on top of the selectively etched graphene, (6). (In one embodiment of the present invention, CMP can be performed with additional choice to adjust the thickness and flatness of the insulating layer to desired levels. Dissolve the PMMA layer with acetone, (8). (1) to (8), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (8) step (1) to the <A> (9) step, from (1) a <C> (3 ) Process, a process selected from among the processes (1) to (4) of <C> . Thereafter, the drain electrode is provided with a non-coplanar surface as an insulating layer at a position where the drain electrode is to be provided. (or, in <A>, <C>, the process is selected from: (1) one or more magnetic particles, to provided that the particles have a charge, the selection of the position be transferred to the drain electrode provided on one face presenting at (2). Thereafter, one or more magnetic particles, particles having electric charge, particles having electric charges, and particles having electric charges are formed on the insulating layer, (3), wherein at least one of the magnetic particles, the particles having electric charges, In addition, in an embodiment of the present invention, a method of fabricating a low-temperature substrate-grafted graphene layer (6) is further provided, (1) to (5) and a process sequence selected from the process sequence of (1) to (6).

Thereafter, the source electrode (the electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhesion with the metal and a further device circuit (or metal layer / or wafer) (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - meaning non-coplanar) - is bonded to the metal and then to additional device circuitry (or metal layer / or wafer) (or Cu) that is capable of adhesion (or the contact portion of the wafer is made of copper (Cu) capable of adhesion), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (A) to (B), wherein the upper portion is provided with copper (Cu) capable of adhesion with an additional device circuit (or a metal layer / or wafer) at a later stage. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, a metal capable of good contact with graphene, and the second metal layer (upper part) is bonded to an additional device circuit (or metal layer / Copper (Cu) which is possible. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). An insulating layer is provided on top of the graphene (or selectively etched graphene), (b). An insulating layer is provided on the insulating layer, (c). (D). Resist removal, (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). Resist removal, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). (F). (G). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). (3) A method for manufacturing a low-temperature substrate direct-growing graphene, The grown graphene is selectively etched, (4). A PMMA layer is provided on top of the selectively etched graphene, (5). Equipped with an intersecting barrier regulating circuit, (6). And dissolving the PMMA layer in acetone. The process sequence of (1) to (6) is as follows.

In one embodiment of the invention, the step of presenting on the one side (from (1) the <A> (2) step, (1) to the <A> (3) step of the process selected) after which graphene provided with this having a non-co-planar with the insulating layer in a position to be provided with a drain electrode as compared with the position (or, in <A> step of presenting at one side, (1) be a drain electrode provided on the substrate after washing (2) deposition of a metal layer and selective etching, (3) etching of the metal layer, and (4) etching of the metal layer. (1) to (4), wherein the method further comprises the step of (4) optionally etching the grown graphene in an embodiment of the present invention. 3), the process sequence of (1) to (4) .

Thereafter, the source electrode (the electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhesion with the metal and a further device circuit (or metal layer / or wafer) (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - meaning non-coplanar) - is bonded to the metal and then to additional device circuitry (or metal layer / or wafer) (or Cu) that is capable of adhesion (or the contact portion of the wafer is made of copper (Cu) capable of adhesion), or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (A) to (B), wherein the upper portion is provided with copper (Cu) capable of adhesion with an additional device circuit (or a metal layer / or wafer) at a later stage. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, a metal capable of good contact with graphene, and the second metal layer (upper part) is bonded to an additional device circuit (or metal layer / Copper (Cu) which is possible. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). Resist removal, (b). A PMMA layer is provided on top of the graphene (or selectively etched graphene), (c). (D). The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Equipped with an intersecting barrier regulating circuit, (2). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). (7) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selection). ) To (7).

<B>

(One). Equipped with an intersecting barrier regulating circuit, (2). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). (7) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. A PMMA layer is provided on the island electrode, (8). An insulating layer on top of the PMMA layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to a desired level by performing CMP as an additional option). (1) to (9) in which the PMMA layer is dissolved in acetone.

<C>

(One). Equipped with an intersecting barrier regulating circuit, (2). At least one Piezo material, (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). (7) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selection). ) To (7).

<D>

(One). Equipped with an intersecting barrier regulating circuit, (2). At least one Piezo material, (3). An insulating layer (thin layer of foil) on top of at least one Piezo material, (4). (5) A method for manufacturing a low-temperature substrate-direct-grown graphene. Selectively etch the grown graphene, (6). (7) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. A PMMA layer is provided on the island electrode, (8). An insulating layer on top of the PMMA layer (in one embodiment of the present invention, the thickness and flatness of the insulating layer can be adjusted to a desired level by performing CMP as an additional option). (1) to (9) in which the PMMA layer is dissolved in acetone.

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (4) step (1) to the <A> (5) a step, from (1) a <C> (4 ) Process, a process selected from the processes (1) to (5) of FIG. C ). Thereafter, the drain electrode is provided with a non-coplanar plane as an insulating layer at a position where the drain electrode is to be provided. (or, in <A>, <C>, the process is selected from that presented in one embodiment, (1) one or more piezo (piezo) non-coplanar with the insulating layer to be located prior to a drain electrode provided to the material comprising Techniques for forming a non-coplanar plane with an insulating layer are known to those skilled in the art and are therefore not described further herein. (2) Thereafter, one or more Piezo materials are provided, (3) (4) deposition of a metal layer and selective etching, (5). Thereafter, a low temperature substrate direct growth (1) to (5), wherein the method further comprises, in an embodiment of the present invention, (6) optionally etching the grown graphene, (1) to (6).)

Thereafter, the source electrode (the electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhesion with the metal and a further device circuit (or metal layer / or wafer) (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - meaning non-coplanar) - is bonded to the metal and then to additional device circuitry (or metal layer / or wafer) (Cu) capable of adhesion, or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (A) to (B), wherein the upper portion is provided with copper (Cu) capable of adhesion with an additional device circuit (or a metal layer / or wafer) at a later stage. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, a metal capable of good contact with graphene, and the second metal layer (upper part) is bonded to an additional device circuit (or metal layer / Copper (Cu) which is possible. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); Resist removal, (c). An insulating layer is provided on the island electrode, (d). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); Resist removal, (c). A PMMA layer is provided on the island electrode, and (d). (E). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). (F) forming at least one alignment structure that causes the counterpart to fit into the copper by etching the copper to a constant thickness. And the PMMA layer is melted to form a vacuum layer, an air layer, or the like (the method is described in one aspect). The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). PMMA layer, (3). (4) An island electrode (a tunnel junction / insulating layer on the island electrode side and the island electrode side (drain side)) is provided on the PMMA layer. Dissolve the PMMA layer with acetone, (5). (6) A method for manufacturing a low-temperature substrate direct-grown graphene. Selectively etch the grown graphene, (7). An insulating layer (ultra thin layer) is provided on top of the selectively etched graphene, (8). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (9). (1) to (9), each of which is constituted by a barrier control circuit and an intersecting barrier control circuit.

<B>

(One). Substrate cleaning (a substrate on which a part of the drain electrode having a nonuniform plane with the source electrode is formed), (2). The island electrode (island electrode and tunnel junction / insulating layer on the island electrode side (drain side)), (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). An insulating layer (ultra thin layer) is provided on top of the selectively etched graphene, (6). Deposition and selective etching of the insulating material, followed by at least one Piezo material at the etched location of the layer of insulating material (7). (1) to (7), each of which is constituted by a barrier-regulating circuit which intersects with each other.

From (1) in one embodiment of the present invention, since the step (1 of <A> presented on one surface (4) step (1) to the <A> (5) a step, <B> (3 ) processes, or from (1) <B> (4) process, the process selected in) after that, the substrate (, a source electrode connected to a drain portion configured substrate electrode) having a source electrode and a non-coplanar (Electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhering to metal and a further device circuit (or metal layer / or wafer) (A) a drain electrode connected to a substrate on which a part of the drain electrode having the same plane is formed; The drain electrode (the material on which the physical distance (in this case, physical distance - meaning the non-coplanar plane) with the graphene - the right side) is bonded to the metal and then to an additional device circuit (or metal layer / or wafer) (Cu), or (B) in one embodiment of the present invention. Drain electrodes may be formed on top of the electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) (A) to (B) consisting of copper (Cu) capable of adhesion with an additional device circuit (or metal layer / or wafer). In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Etch one or more times so that the top and drain electrodes of the graphene bending circuit and the graphene bending circuit are spaced sufficiently (in this case, the horizontal physical spacing) to fall off (exactly the upper and drain electrodes of the graphene bending circuit, Etching the metal layer or the copper (Cu) layer and the metal layer only one or more times so that the pin bending circuit can fall sufficiently spatially. Thus, the etching portion has a stepped shape. ) In one embodiment of the present invention, PMMA is further provided on top of the resist of the source electrode and the drain electrode to which the resist is applied in order to facilitate removal of a portion other than that required in a subsequent process.

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, metal capable of good contact with the partially formed source electrode and the drain electrode, and the second metal layer (upper part) is formed by an additional device circuit (or metal layer / And copper (Cu) capable of adhesion. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition. (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Then, the are In one embodiment of the present invention, the resist top portion of a source electrode and a drain electrode that is resist is applied as an additional selection with a PMMA to facilitate part removal of the other necessary in a later step, it consists of - <A> -or- <B> - .

The contents described by the above - mentioned <A> -or- <B> - are as follows. Ⅰ. (a). An insulating layer (ultra thin layer) is provided on top of graphene (or selectively etched graphene), (b). Deposition and selective etching of insulating material, (c). Resist removal, (d). Thereafter, at least one Piezo material is provided at the etched location of the layer of insulating material (e). (F). (G). CMP is performed at least once to remove excess metal and to polish the thickness of the topmost insulating layer to reduce it to a desired level, for example, from about 10 nanometers to about 1 micrometer. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention can be provided with at least one selected from among additional devices, a metal layer, a graphene bending circuit, and the like on the structure of the graphene bending circuit wafer.

In one embodiment of the present invention, the transistor of the present invention may have the following process.

<A>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). An insulating layer (ultra-thin film) after resist removal and resist removal, (8). (9) A method for manufacturing a low-temperature substrate direct-grown graphene. The grown graphene is selectively etched, (10). (11) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP with additional selection). (1) to (12), each of which is constituted by a plurality of barrier control circuits and a barrier control circuit which intersects with each other.

<B>

(One). Substrate cleaning, (2). Deposition of insulating material, application of resist, (3). Exposure, (4). Phenomenon, (5). Etching, (6). At least one magnetic particle, and particles having a charge, (7). An insulating layer (ultra-thin film) after resist removal and resist removal, (8). (9) A method for manufacturing a low-temperature substrate direct-grown graphene. The grown graphene is selectively etched, (10). (11) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. A PMMA layer is provided on the island electrode, (12). (13). Dissolve the PMMA layer with acetone, (14). (In one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by further selecting) (1) to (14) ).

<C>

(One). At least one magnetic particle, and particles having electric charge, (2). Thereafter, an insulating layer (ultra thin film) is provided (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). (5) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. An insulating layer is provided on the island electrode (in one embodiment of the present invention, the thickness and flatness of the insulating layer provided on the uppermost layer can be adjusted to a desirable level by carrying out CMP by additional selection). (1) to (6), each of which is constituted by a plurality of barrier control circuits and provided with intersecting barrier control circuits.

<D>

(One). At least one magnetic particle, and particles having electric charge, (2). Thereafter, an insulating layer (ultra thin film) is provided (3). (4) A method for manufacturing a low-temperature substrate straight-grained graphene. Selectively etch the grown graphene, (5). (6) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the selectively etched graphene. A PMMA layer is provided on the island electrode, (7). Equipped with an intersecting barrier regulating circuit, (8). Dissolve the PMMA layer with acetone, (9). (In one embodiment of the present invention, the thickness and the flatness of the insulating layer provided on the uppermost layer can be adjusted to a desired level by performing CMP by further selecting) (1) to (9) ).

In an embodiment of the present invention, since the step (1 of <A> presented on one surface (8) step (1) to the <A> (9) step, from (1) a <C> (3 ) Process, a process selected from among the processes (1) to (4) of <C> . Thereafter, the drain electrode is provided with a non-coplanar surface as an insulating layer at a position where the drain electrode is to be provided. (or, in <A>, <C>, the process is selected from: (1) one or more magnetic particles, to provided that the particles have a charge, the selection of the position be transferred to the drain electrode provided on one face presenting at (2). Thereafter, one or more magnetic particles, particles having electric charge, particles having electric charges, and particles having electric charges are formed on the insulating layer, (3), wherein at least one of the magnetic particles, the particles having electric charges, In addition, in an embodiment of the present invention, a method of fabricating a low-temperature substrate-grafted graphene layer (6) is further provided, (1) to (5) and a process sequence selected from the process sequence of (1) to (6).

Thereafter, the source electrode (the electrically conductive material connected to the graphene-left side) is made of copper (Cu) capable of adhesion with the metal and a further device circuit (or metal layer / or wafer) (A). The drain electrode (the electrically conductive material - the right-hand part of which is physically spaced from the graphene (here, physical distance (height) - meaning non-coplanar) - is bonded to the metal and then to additional device circuitry (or metal layer / or wafer) (Cu) capable of adhesion, or (B) in one embodiment of the present invention. Drain electrodes may be formed by depositing an electrically conductive material (e. G., Metal) with an electrically conductive material (e. G., Metal) having physical spacing from the graphene (A) to (B), wherein the upper portion is provided with copper (Cu) capable of adhesion with an additional device circuit (or a metal layer / or wafer) at a later stage. In one embodiment of the present invention, the source electrode and the drain electrode may be made of copper (Cu) alone. The following description refers to - <A> -or- <B> - .

- <A> -

Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering Copper (Cu) provided on the source and drain electrodes is deposited to a thickness of about 30 to 100 micrometers by vapor deposition,

- <B> -

(One). An insulating material is deposited at the top of the graphen (or selectively etched graphene) and at the top of the location where the drain electrode is to be provided and at the location where the transistor will form the structure (in one embodiment of the invention, CMP can be performed to adjust the thickness and flatness of the insulating material layer (insulating layer) to a desirable level). (2). A resist mask is formed on the insulating material layer (insulating layer), which can be used to define the source and drain contacts of the graphene bending circuit and the graphene bending circuit. Techniques for forming a resist mask are known to those skilled in the art and are therefore not described further herein. (3). The etch is then used to expose areas of the graphene layer (s) for the formation of the graphene bending circuit and the source electrode, and regions of the location where the drain electrode will be located. That is, the trenches etched into the insulating material layer (insulating layer) expose regions of the graphene bending circuit and regions where the graphene layer (s) and the drain electrode are to be provided for the formation of the source electrode. In one embodiment of the invention, wet etching may be used to form the trenches. The mask is used as a mask during etching and then removed. An exemplary mask formed by PMMA is removed in a solvent such as acetone. (4). Thereafter, a deposition process is performed in which the metal fills the trenches. The metal is made of two layers, a first metal layer and a second metal layer. The first metal layer (lower part) is made of, for example, a metal capable of good contact with graphene, and the second metal layer (upper part) is bonded to an additional device circuit (or metal layer / Copper (Cu) which is possible. Thus, the metal is provided at the source electrode (the electrically conductive material-the left side connected to the exposed portions of the graphene layer (s)) and the drain electrode (the electrically conductive material-the right side). In one embodiment of the present invention, the source electrode and the drain electrode may be made of the same metal, or may be made of different metals by using two or more depositions and selective etching. Therefore, the source and drain electrodes are formed by depositing a metal to a thickness of about 5 to 100 nm by using e-beam evaporation or e-beam evaporation and sputtering and that the copper (Cu) which is provided on the upper portion of the source electrode and the drain electrode is the thickness by a deposition is deposited to 100 microns degree of about 30 nanometers, composed of - <A> - or - <B > - .

After the contents described by - <A> -or- <B> - , (a). (In one embodiment of the present invention, CMP may be performed prior to performing resist coating to adjust the thickness and flatness of the copper (Cu) layer to desired levels); Exposure, (c). Phenomenon, (d). The remaining portions except the source and drain metal contacts are widely etched. (e). Resist removal, (f). Resist application, (g). Exposure, (h). The phenomenon, (i). Only the upper layer of the graphene bending circuit is etched at least once (more precisely, the metal layer provided on the upper part of the graphene bending circuit or the copper (Cu) layer and only the metal layer is etched at least once). Accordingly, the etching portion has a stepped shape. (j). Thereafter, in one embodiment of the present invention, the PMMA is provided on the upper portion of the resist of the source electrode and the drain electrode to which the resist is applied as an additional option, in order to facilitate removal of a portion other than that required in a subsequent process. The subsequent steps are as follows. Ⅰ. (a). (B) an island electrode (insulating layer / island electrode and tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); An insulating layer is provided on the island electrode, (c). (D). Resist removal, (e). (F). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). One or more alignment structures may be formed that cause the mating material to fit into the copper by etching the copper to a constant thickness, or II. (a). (A) an island electrode (an insulating layer / island electrode and a tunnel junction on the island electrode side (drain side)) on top of the graphene (or selectively etched graphene); A PMMA layer is provided on the island electrode, and (c). (C) having an intersecting barrier regulating circuit; The PMMA layer is melted to form a vacuum layer, an air layer, or a selected layer (the method has been described in one aspect), (e). Resist removal, (f). (G). Chemical mechanical polishing (CMP) is performed one or more times to remove excess metal and to increase the thickness of the topmost insulating layer to a desired level, for example, from about 10 nanometers to about 1 micrometer Polished to reduce. In one embodiment of the present invention, as an additional option (1). The copper is protruded by etching the uppermost insulating layer to a certain thickness, or (2). And may form one or more alignment structures that cause the mating material to fit into the copper by etching the copper to a constant thickness. The method described above is referred to as a 'graphene circuit wafer' or a 'graphene bending circuit wafer'. Using the method described in the above, the graphene can be grown without a transfer process, and the transistor can be manufactured in such a manner that there is no problem in the quality of the graphene. In one embodiment of the invention, an intersecting barrier adjustment circuit, as presented in one aspect, means having a plurality of metal contacts.

Instead of copper, the source and drain metal contacts of the graphene bend circuit wafer (1). Gold, (2). (1) to (2), composed of aluminum, can be used.

In one embodiment of the present invention, the source and drain of the graphene bend circuit wafer can be constructed with only the initial metal layer without using a metal contact. It is preferable that the initial metal layer is made of metal capable of good contact with graphene. In one embodiment of the present invention, the present invention may be provided with one or more of a further device, a metal layer, a graphene bending circuit, or the like on the structure of the graphene bending circuit wafer.

transistor

In one embodiment of the present invention, a transistor with one or more bending deformation of graphene to control the on / off of electricity may have "(bending deformation in the transistors proposed in the present invention) ". The above (bending deformation in the transistor shown in the present invention) is described as follows.

(001-001). Fermi level

(001-001-01). The Fermi level is the total chemical potential (or electrochemical potential for electrons) for an electron, usually expressed as μ or EF.

(001-001-02). A precise understanding of the Fermi level is explained as follows: how it relates to the electronic band structure in the process of determining the electrical properties, and also the voltage and charge at the electronic circuit, It is essential to understand solid-state physics as to how the flow of matter is related.

In the band structure picture, the Fermi level is the imaginary energy level of the electrons at which the energy level at a given time (any given time) will have a probability of being occupied by 50% in the thermodynamic equilibrium state hypothetical energy level.

(001-001-03). The Fermi level does not necessarily correspond to the actual energy level (the Fermi level of the insulator lies in the band gap) and does not even require the presence of a band structure .

(001-001-04). Nonetheless, the Fermi level is accurately defined as a thermodynamic quantity, and the difference in Fermi level can be measured simply with a voltmeter.

(001-002). Fermi level and voltage

(001-002-01). An oversimplified description of an electronic circuit explains that electric currents are driven by the difference in electrostatic potential, but this is not exactly true.

(001-002-02). Obviously, electrostatic potential is not the only factor that affects the charge flow of a material. Pauli repulsion and thermal effects also play an important role.

(001-002-03). In fact, the quantity called "voltage" measured in an electronic circuit is simply about the chemical potential for electrons (Fermi level).

(001-002-04). If the leads of the voltmeter are connected to two points of the circuit, the voltage displayed can be obtained per unit charge by allowing a small amount of charge to flow from one point to another. A measure of the total work.

(001-002-05). The body's Fermi level represents the work required to add an electron to it, or equally to remove an electron.

(001-002-06). Thus, in an electronic circuit, the difference (VA-VB) observed at the voltage between two points "A" and "B" is explained by the formula below exactly in relation to the difference (μA-μB) corresponding to the Fermi level,

Figure pat00002

(001-002-07). Where -e is the electron charge.

(001-002-08). If a simple path is provided, in the above discussion it can be seen that the electrons will move from a high μ body to a low μ.

(001-002-09). This flow of electrons can cause low μ to increase (due to charging or other repulsion effects) and can also cause high μ to decrease.

(001-002-10). Eventually, μ will settle down to the same value in both bodies.

(001-002-11). This leads to important facts about electronic circuits (explained below):

(001-002-12). In a thermodynamic equilibrium, an electronic circuit will have a constant Fermi level throughout its connection.

(001-002-13). This also means that the voltage between any two points (measured by a voltmeter) will be zero at equilibrium.

(001-002-14). Wherein the thermodynamic equilibrium is such that the circuit is internally connected and does not include any batteries or other power sources and any variations in temperature I demand that I should not.

(001-003-01). Fermi level and band structure

(001-003-02). In metal and semi-metal, the Fermi level EF lies in at least one band. Insulators and semiconductors have a Fermi level in the bandgap, but the thermally populated Fermi level with electrons or holes in the semiconductor is close enough to the band.

(001-003-03). In the solid band theory, electrons are considered to be the occupy series of bands composed of single-particle energy eigenstates, denoted by ε, respectively.

(001-003-04). Although a single particle picture is approximate, it greatly simplifies the understanding of electronic behavior and provides correct overall results when it is applied correctly.

(001-003-05). Fermi-Dirac distribution

Figure pat00003
Gives the probability that an electron will occupy energy ε with a state (in thermodynamic equilibrium).

(001-003-06). Instead, it gives the limit imposed by the Pauli exclusion principle, giving the average number of electrons that will occupy that state:

Figure pat00004

(001-003-07). Where T is the absolute temperature and K is the Boltzmann's constant.

(001-003-08). If the state is at the Fermi level (ε = μ), this state will have a 50% chance of being occupied at any given time.

(001-003-09). The location of μ within the material's band structure is important for determining the electrical behavior of the material.

(001-003-09-1). In an insulator, μ lies in a large bandgap, away from any state in which current can be carried.

(001-003-09-2). In metal and semimetal, μ lies in a delocalized band. Μ in the vicinity of multiple states is thermally active and easily carries current.

(001-003-09-3). In a lightly doped semiconductor, μ is close enough to the band edge. So μ is the dilute number of the thermally excited carrier that resides near the band edge.

(001-003-10). The position of μ associated with the band structure in semiconductors and semimetals can generally be controlled to a significant degree by doping or gating.

(001-003-11). The above theories can be usefully used in the circuit structure of the transistor or the structure of the drain electrode in the electrically conductive material which is electrically connected to the graphene in the present invention.

(001-004-01). parameter

Figure pat00005

(001-004-02). The Fermi-Dirac distribution function is expressed as:

Figure pat00006

(001-004-03).

Figure pat00007
Are directly related to the number of active charge carriers as well as their general kinetic energy so that it is possible to determine the local properties of the material (such as electrical conductivity) ) That are directly related.

(001-004-04). The above theory can be usefully used in the structure of the transistor presented in the present invention ......

(002-001-01). Bending

(002-002-01). Bending deformation of plate

(002-002-02). Deformation of a thin plate to emphasize displacement

(002-003-01). Kirchhoff-Love theory of plates

(002-003-02). Kirchhoff - a home of love

(002-003-02-1-1). Straight lines normal to the mid-surface maintain a straight line after deformation.

(002-003-02-1-2). Straight lines normal to the mid-surface remain normal to the mid-surface after deformation.

(002-003-02-1-3). The thickness of the plate does not change during deformation.

(002-003-02-2). Assuming that the elasticity of a thin curved plate is calculated from the solution.

① There is no expansion or contraction of the thickness, and the vertical line to the neutral plane before deformation maintains the straight line after deformation and becomes the vertical line to the neutral plane after deformation.

Since the thickness in the thickness direction is small, the term including t / R can be omitted

σZ = 0 τZ1 = 0, τZ2 = τ2Z = 0

(002-004-01). The Mindlin-Reissner theory of plates

(002-004-02). The special assumption of this theory is that the mid-surface normals remain straight and inextensible after deformation. But it's not just the normals on the mid-surface.

(002-004-03). In one embodiment of the present invention, the bending deformation of the plate is determined by the Kirchhoff-Love theory of plates, the Mindlin-Reissner theory of plates, - Risner Theory) form, among others.

(002-004-04). Graphene is a material made of cotton, grains that are selected from among one or more Piezo materials, magnetic particles, and charged particles in graphene. Graphene is then wrapped with one or more Piezo material, magnetic particles, charged particles, one or more Piezo materials, magnetic particles, charged particles, in the form of selected ones, . It is not a point but a face. The deformation of this thin film of graphene is best explained by the shape of the Mindlin-Reissner theory of plates.

(002-004-05). For reference, a detailed description of the Mindlin-Reissner theory of plates may be sufficient to explain the above-described deformation of graphene, but it is well known to those skilled in the art So here it is not explained anymore.

(002-005-01). In one embodiment of the present invention, the bending deformation of the dynamic plate (plate) includes the form of Dynamics of Thin Kirchhoff plates.

(002-006-01). Dynamics of Thin Kirchhoff plates (dynamics of thin Kirchhoff plates)

(002-006-02). The dynamic theory of plates determines the propagation of waves of plates and applies standing waves vibration modes.

(002-007). The above described description for the transistors presented in the present invention can be usefully used.

(001-001) to (002-007) described above, which is composed of (a), (b) and (c). The meaning of the description of one or more of (001-001) to (002-007) is selected, (b). (001-001) to (002-007) which are commonly used, and (c). A description of what is selected from one or more of (001-001) to (002-007) above, the overall scope of the description, the partial scope of the description; (A) to (d) composed of one or more of the above-mentioned (001-001) to (002-007).

In one embodiment of the present invention, the Young's modulus may comprise what is described below.

(001-1). Young's modulus E can be calculated by dividing the tensile stress by the extensional strain of the elastic (initial, linear) part of the stress-strain curve.

Figure pat00008

From here,

(001-2). E is the Young's modulus (modulus of elasticity)

(001-3). F is a force exerted on an object under tension,

(001-4). A 0 is the original cross-sectional area to which the force is applied

(001-5). ΔL is the amount of length of object changes

(001-6). L 0 is the original length of the object.

(002). The force exerted by the material being stretched or contracted

(002-1). Young's modulus of a material can be used to calculate the force exerted at a specific strain. (The force exerted in a multi-layered strain involving deformed graphene or graphene. One or more components (layers) in a multi-layer state, including pins or graphenes, can be calculated and solved respectively)

Figure pat00009

(002-2). F is the force exerted by the material when contracted or stretched by ΔL.

(002-3). Hooke's law can be derived from this formula, which describes the stiffness of an ideal spring:

Figure pat00010

(002-4). It comes from saturation

Figure pat00011
and
Figure pat00012
is.

(003). Elastic potential energy (elastic potential energy provided by deformation of a multi-layered state, including deformed graphene or graphene - one or more components in a multi-layered state comprising graphene or graphene) Each can be calculated and solved)

(003-1). The stored elastic potential energy is given by the integral of this equation for L:

Figure pat00013

(003-2). Where Ue is the elastic potential energy.

(003-3). The elastic site energy per unit volume is:

Figure pat00014

(003-4). here

Figure pat00015
Is the strain of the material

(003-5). This formula can also be expressed as the integral of the law of the hook:

Figure pat00016

(004). The above described description for the transistors presented in the present invention can be usefully used.

(001-1) to (004) described above, which is composed of (a) and (b). (001-1) to (004), (b). (001-1) to (004), (c). A description of what is selected from one or more of (001-1) to (004) above, the overall scope of the description, the partial scope of the description, and (d). (A) to (d) composed of one or more of the above-mentioned (001-1) to (004).

In one embodiment of the present invention, an electron tunneling graphene transistor (graphene electron transistor), a graphene single electron transistor (graphene single electron transistor) may be provided as described below.

(001). electron tunneling graphene transistor (electron tunneling graphene transistor)

(001-1). The tunnel junction is the simplest form, which means a thin insulating barrier between the graphene and drain electrodes.

(001-2). According to classical electrodynamic laws, current can not pass through an isolation barrier.

(001-3). However, according to the laws of quantum mechanics, there is a probability of nonvanishing (greater than 0) for electrons on one side of the barrier reaching the other side. (For more information on quantum tunnelling The description will be a sufficient supplementary description of the above description, but is well known to those skilled in the art and is therefore not further described herein)

(001-4). If a bias voltage is applied, this means that there will be current and ignore the additional effect, the tunnelling current will be proportional to the bias voltage.

(001-5). In electrical terms, the tunnel junction behaves like a resistor with a constant resistance known as an ohmic resistor.

(001-6). The resistance is exponentially dependent on the barrier thickness (in the present invention, one selected from the Piezo material, the magnetic particle, the charge, Can be understood as being provided with at least one bending deformation so that the distance (thickness) of the insulating layer provided between the graphene and the drain electrode is adjusted to several nanometers.

(001-7). Adjusted barrier thicknesses are in the several nanometers.

(001-8). Thus, the regulated insulating layer (the thickness of the regulated barrier) provided between two conductors (graphen and drain electrodes) can be interpreted as a finite capacitance as well as having resistance.

(001-9). The controlled insulating layer (the thickness of the controlled barrier) is called a dielectric in this context. The controlled insulating layer (the thickness of the controlled barrier) behaves like a capacitor.

(001-10). Due to the discreteness of the electrical charge, the current through the tuned insulating layer (the thickness of the controlled barrier) is exactly the same as the one electron tunneling through the tunnel barrier ) A series of events (we neglect cotunneling, in which the two electrons tunnel simultaneously)

(001-11). The controlled insulating layer (the thickness of the controlled barrier) The capacitor is charged with one elementary charge by the tunneling electron, which causes a voltage buildup ), Where e represents the elementary charge of 1.6 x 10 -19 coulombs, and

Figure pat00018
Is the capacitance of the regulated insulating layer (the thickness of the controlled barrier).

(001-12). If the capacitance is very small, the voltage buildup can be large enough to prevent other electrons from tunneling.

(001-13-1). In one embodiment of the present invention, the electron tunneling graphene transistor of the present invention includes at least one Piezo material, a magnetic material, and a magnetic material in the form of at least one graphene and drain electrode having a non- The grains and the particles having electric charge have one or more graphenes and an insulating layer formed by one or more bending deformation, and the distance (thickness) of the insulating layer provided between the at least one graphene and the drain electrode is several ) Nanometer level.

(001-13-2). In one embodiment of the present invention, the electron tunneling graphene transistor of the present invention is characterized in that, in the form of one or more graphene and drain electrodes having non-coplanar planes and a deformable free layer and an insulating layer therebetween, (A) a material, a magnetic particle, or a particle having a charge, wherein the graphen and the insulating layer are made of at least one bending deformation; The insulating layer provided between the at least one graphene and the drain electrode is in contact with the drain electrode and the distance (thickness) of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers (B). (A) to (b), in which an insulating layer of several nanometers, which is provided between at least one graphene and a drain electrode, is in contact with the drain electrode. Here, the deformation free layer means an air layer, a vacuum layer, or a layer selected from among them. Or air space, or vacuum space.

(001-13-3). In one embodiment of the present invention, the electron tunneling graphene transistor of the present invention is (1). At least one graphene and drain electrodes having a non-coplanar plane and an insulating layer interposed therebetween; (1) to (2), in which at least one graphene and drain electrodes have a non-coplanar plane and a deformed free layer and an insulating layer are interposed therebetween. In one embodiment of the present invention, the form of (1) above may be interpreted to include the form of (2) above.

(001-14). The illustrations described above for the electron tunneling graphene transistors presented in the present invention can be usefully used.

(002). graphene single electron transistor (graphene single electron transistor)

(002-1). A simple device in which the effect of the Coulomb blockade can be observed is called the so-called single electron transistor.

(002-2). A graphene single electron transistor consists of a drain (electrically conductive material) and an insulating layer connected through a tunnel junction to one common electrode, which is a low self-capacitance known as an island (or And a source (graphene) that is connected to the source (graphen). In one embodiment of the present invention, the island electrode can be understood as incorporating low self-capacitance and tunnel junctions and insulation layers known as islands.

(002-3). Non-accessible energy levels in the blocking state are outside the electron's tunneling range at the source contact.

(002-4). All energy levels on the island electrode occupy with low energy.

(002-5). When a positive voltage is applied to the gate electrode (intersecting barrier adjustment circuit described in the present invention), the energy level of the island electrode is lowered.

(002-5-1-1). (One action), one or more Piezo (piezoelectric) materials, magnetic particles, particles having charge, graphene having the upper part selected, and at least one bending deformation together with the insulating layer provided on the graphene (2 actions), the electrons occupy the island electrode (3 actions), the previously vacant energy level (occupying).

(002-5-1-2). I can do it from there. The tunnel is located on the drain electrode (4 actions). The electrons reach the Fermi level of the drain electrode (5 actions).

(002-5-2). In one embodiment of the present invention, the graphene single electron transistor of the present invention is formed in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer , At least one Piezo material, magnetic particles, particles having charge, at least one graphene and an insulating layer are provided in at least one bending deformation, It can be understood that the distance (thickness) of the insulating layer is adjusted to the level of several nanometers.

(002-5-3). In one embodiment of the present invention, the graphene single electron transistor of the present invention includes a drain electrode connected to a common island electrode through a tunnel junction, and a drain electrode composed of one or more graphenes connected in order of the strain free layer and the insulating layer. (A) at least one piezoelectric material, magnetic particles, particles having charge, wherein at least one graphene and an insulating layer are made of at least one bending deformation in the form of one or more electrodes. The insulating layer provided between the at least one graphene and the island electrode is in contact with the island electrode and the distance (thickness) of the insulating layer provided between the at least one graphene and the island electrode is several nanometers Controlled, (b). (A) to (b) consisting of at least one graphene and an insulating layer of several nanometers between the island electrode and the island electrode. Here, the deformation free layer means an air layer, a vacuum layer, or a layer selected from among them. Or air space, or vacuum space.

(002-5-4). In one embodiment of the present invention, the graphene single electron transistor of the present invention is (1). (2) a form consisting of a drain electrode connected to a common island electrode through a tunnel junction and two electrodes consisting of at least one graphen connected to the insulating layer; (1) to (2), in which the drain electrode is connected to a common island electrode through a tunnel junction, and the two electrodes are composed of one or more graphenes connected in order of the strain free layer and the insulating layer. It has a form to choose from. In one embodiment of the present invention, the form of (1) above may be interpreted to include the form of (2) above.

(002-6). The illustrations described above for the graphene single electron transistor presented in the present invention can be usefully used.

(001) to (002-6) described above, which is composed of (a), (b) and (c). (B) a meaning of explaining that at least one of (001) to (002-6) is selected; (001) to (002-6) which are commonly used, (c). A description of what is selected from one or more of (001) to (002-6) above, the overall scope of the description, the partial scope of the description, among which, (d). (A) to (d) composed of one or more of the above-mentioned (001) to (002-6).

Here, "to be described" means "to be enumerated or described and described as it is with the contents and features of the object or process".

The present invention has been described as an upper group, a group, a range of a group, a lower range of a group, and an inclusion range of a group.

Advantages and features of the present invention and methods for accomplishing the same will become apparent with reference to the embodiments described in detail in the foregoing. However, the present invention is not limited to the embodiments described in detail, but may be embodied in various forms.

In one embodiment of the present invention, there are generally known methods, generally known mathematical formulas, generally known laws, generally known explanations, generally known devices, generally known device elements, general , A generally known sequence, and a generally known technique can be applied to embodiments of the present invention that are widely apparent without resort to unnecessary experimentation.

In one embodiment of the present invention, methods, apparatus, devices, devices, materials, sequences and, in particular, techniques known in the art, which are identically known to those specifically described herein, can be applied to embodiments of the present invention without intending to.

Those skilled in the art will readily appreciate that a person skilled in the art will readily appreciate that there are generally known methods, generally known mathematical formulas, generally known laws, generally known explanations, generally known devices, generally known device elements, It will be appreciated that the present invention is feasible without resort to any overarching description, such as generally known sequences and generally known techniques.

The terms and expressions which have been employed herein are used as terms of the detailed description of the invention but are not intended to be limiting and are not intended to limit the terms or expressions of the described or illustrated features. However, various modifications are possible within the scope of the present invention. It is, therefore, to be understood that the exemplary embodiments and optional features, as well as modifications and variations of the concepts described herein, may be resorted to by the prior art and the like, even though the invention has been described by some preferred embodiments, Variations may be considered within the scope of the invention as defined by the appended claims.

In one embodiment of the present invention, the specific embodiments provided are illustrative of useful embodiments of the present invention, and those of ordinary skill in the art should understand that the present invention is applicable to devices, components, methods It will be understood that the invention may be practiced using variations of steps.

Those skilled in the art will appreciate that in one embodiment of the invention particular embodiments of the invention may be used including various optional configurations and methods and steps.

In one embodiment of the present invention, equivalently known components that may be substituted for the presented components may be considered to be capable of being used in place of the presented components. For example, it can be understood that the magnet may be selected from a magnet, a magnet particle, and a magnetic nanoparticle.

In an embodiment of the invention, what has been described in the singular can mean plural. For example, a magnetic particle can mean one or more magnetic particles, and a graphene can mean one or more graphene layer (s).

In one embodiment of the present invention, when a manufacturing process is presented, the manufacturing process may refer to a manufacturing process that is performed more than once. For example, deposition may mean more than one deposition.

The specific names of the materials or components of the components described or illustrated herein are to be construed as merely exemplary insofar as those of ordinary skill in the art to which the invention pertain may denote specific names of materials or components of the same component Can be called. Accordingly, the specific names of the materials or components of the components described or illustrated herein should be understood based on the overall description of the invention as set forth.

In one embodiment of the present invention, it is contemplated that combinations of the described or described groups of the present invention may be used to practice the present invention, if not otherwise stated.

In one embodiment of the present invention, combinations of the groups described or described that may be included in a higher group of the present invention may be used within a higher group of the present invention, unless otherwise stated.

In an embodiment of the present invention, individual values that may be included in the scope of the group described or described above as well as when the scope of the group described or described is given in detail may be used in the scope of the above described or described group.

In an embodiment of the present invention, combinations of groups that can be included in the scope of the groups described or described above as well as when the scope of the groups described or described is given in detail may be used in the scope of the groups described or described above .

In an embodiment of the present invention, when a range of the described or described group is given in detail, a group which can be included in the range of the above described or described group can be used in the range of the above described or described group.

In one embodiment of the present invention, equivalently known components or variants of the components described or illustrated can be used to practice the invention without intending to be mentioned otherwise.

In one embodiment of the present invention, the contents of the present invention have been described at the level of those skilled in the art. In addition, when an important combination is claimed, in one embodiment of the invention, the Piezo material provided is a Piezo material that is known and available in the prior art of the Applicant, which is replaceable with the Piezo material provided above. &Lt; / RTI &gt; may be understood as being included in an unintended manner in the important combinations claimed herein. In addition, when an important combination is claimed, in one embodiment of the present invention, the particles having a charge provided are different types of particles with charge known and available in the prior art of the applicant, May be understood to be included in an unintended inclusion of the important combinations claimed herein. Also, when an important combination is claimed, in one embodiment of the present invention, the magnetic particles provided are capable of replacing the provided magnetic particles, and that the various forms of magnetic particles known and available in the prior art of the applicant, As will be understood by those skilled in the art.

In one embodiment of the invention, the description set forth in the context of groups, ranges of groups, sub-ranges of groups, and ranges of groups can be realized within the scope of the description of a possible higher group of the invention.

Those skilled in the art will appreciate that the various ways of practicing the invention may be employed in the practice of the invention without undue experimentation.

Further, those skilled in the art will appreciate that those skilled in the art can make and use the embodiments of the present invention in the context of the present invention, which is fully capable of describing the group, the scope of the group, the sub-scope of the group, You can see that it can be.

While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, the invention is not limited to the disclosed exemplary embodiments. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit and scope of the invention.

It is also to be understood that the present invention which is properly illustrated schematically is merely illustrative and that those skilled in the art will appreciate that various modifications and equivalent embodiments are possible without departing from the scope of the present invention . Accordingly, the true scope of the present invention should be determined by the technical idea of the appended claims.

In one embodiment of the present invention, methods known equivalently to the described methods may be used in an embodiment of the present invention without intending to do so. .............

100: means the direction of motion of at least one of the magnetic particles and the particles having the electric charge.
110: means selected from among at least one magnetic particle, and a particle having a charge.
200: More than one graphene.
300: In one embodiment of the present invention, 300 may refer to an electrically conductive material. In one embodiment of the invention, 300 may refer to a metal or a conductor. In one embodiment of the invention, 300 may mean 300 in a multi-layered state. In one embodiment of the invention, 300 may refer to a drain electrode. In one embodiment of the present invention, one of the magnetic particles and the particles having a charge may be a circuit connected to the movement of electrons by having at least one graphene in at least one bending deformation.
400: Shaded 400 shown in the figure refers to a barrier adjustment circuit that intersects the graphene circuit.
600: means empty space. In one embodiment of the present invention, the empty space means a layer selected from a vacuum layer and an air layer (air layer).

Claims (161)

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one piezoelectric material disposed below the at least one graphene is provided with at least one graphene in one or more bending deformations due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, On / Off '
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, at least one Piezo material provided at the bottom of the at least one graphene is in contact with a voltage of a barrier regulating circuit One or more graphenes may be provided as one or more bending deformation to control on / off of electricity,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit which intersects the circuit of the at least one graphene, Adjust On / Off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, the particles having at least one charge provided at the bottom of the at least one graphene are mixed with the voltage of the barrier adjustment circuit crossing the circuit of the at least one graphene At least one graphene may be provided as one or more bending deformation to control on / off of electricity,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. One or more magnetic grains provided on the lower portion of the at least one graphene may have at least one graphene as one or more bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, Off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, at least one magnetic particle provided at the bottom of the at least one graphene, due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, The graphene is provided with at least one bending deformation to control the electric on / off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to claim 3 or 5,
The physical spacing
Including an insulating layer; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
Wherein the at least one bending deformation comprises:
Provided with at least one Young's modulus; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
An elastomeric layer on top of the at least one graphene, wherein the at least one graphene and elastomeric layer together are at least one bending deformation; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
A liquid polymer layer on top of said at least one graphene; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Wherein at least one layer of poly (dimethylsiloxane) (PDMS) is provided on the at least one graphene layer, wherein the at least one graphene layer and the poly (dimethylsiloxane) (PDMS) layer are subjected to at least one bending deformation; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
Wherein at least one graphene layer is provided with a poly (dimethylsiloxane) (PDMS) layer under the at least one graphene layer, and at least one bending deformation of the at least one graphene layer and a poly (dimethylsiloxane) (PDMS) layer; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
A layer having a Young's modulus at the top of the at least one graphene, wherein the at least one graphene and the layer having a Young's modulus together are at least one bending deformation; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
A layer having a low Young's modulus at the bottom of the at least one graphene, wherein the at least one graphene and the layer having a low Young's modulus together are subjected to at least one bending deformation; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
An air layer (air layer) on the one or more graphenes; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
A vacuum layer on top of said at least one graphene; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
The at least one graphen having an insulating layer on top of the at least one graphene and the insulating layer together with at least one bending deformation; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
Wherein at least one graphene and at least one insulating layer are bended together to form an insulating layer below the at least one graphene; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to claim 2,
The at least one Piezo material
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 3 to 4,
The particles having at least one charge
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 5 to 6,
The one or more magnetic particles
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
In a form including a barrier adjustment circuit having physical spacing from the top of the at least one graphene and intersecting the circuit of the at least one graphene,
a. Due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, an electrostatic attraction may be induced in one or more graphenes provided at the bottom of the barrier regulating circuit so that one or more graphenes are provided with at least one bending deformation To control the electricity on / off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
In the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit intersecting the circuit of the at least one graphene, one or more graphenes provided below the barrier regulating circuit, And at least one graphene is provided with at least one bending deformation to control the electric on / off,
Adjusting the height of the Fermi level of one or more graphenes between one or more graphene and drain electrodes to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
The bending deformation
Having a bending deformation of the plate; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
The bending deformation
Having a bending deformation of a dynamic plate (plate); of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 22 to 23,
The bending deformation
Having a bending deformation of the plate; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
Wherein the at least one bending deformation comprises:
One or more graphenes may be provided in one or more bending deformations in the form of at least one graphene and drain electrode having a non-coplanar plane with physical spacing to narrow the physical distance between one or more graphene and drain electrodes, Solving graphene's standby power problem by moving; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
Wherein the at least one bending deformation comprises:
One or more graphenes may be provided in one or more bending deformations in the form of at least one graphene and drain electrode having a non-coplanar plane with physical spacing to narrow the physical distance between one or more graphene and drain electrodes, Solving graphene's standby power problem by moving; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
A vacuum layer on top of said at least one graphene; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
An air layer (air layer) on the one or more graphenes; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Adjusting the height of the Fermi level (Fermi level)
a. Bending the graphene higher than the Fermi level, but providing the electrons at the same time increases the Fermi level,
b. Bending deformation of graphene above the Fermi level but providing electrons at the same time,
c. Sputtering the graphene spatially above the Fermi level, but simultaneously providing electrons,
, A to c selected from the group consisting of: of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 22 to 23,
Adjusting the height of the Fermi level (Fermi level)
a. Bending the graphene higher than the Fermi level, but providing the electrons at the same time increases the Fermi level,
b. Bending deformation of graphene above the Fermi level but providing electrons at the same time,
c. Sputtering the graphene spatially above the Fermi level, but simultaneously providing electrons,
, A to c selected from the group consisting of: of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 22 to 23,
Wherein the at least one bending deformation comprises:
Provided with at least one Young's modulus; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
Wherein the at least one bending deformation comprises:
Wherein at least one graphen is not in physical contact with at least one of the drain electrodes, but is configured to adjust a height of a Fermi level of at least one graphene; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Wherein the at least one bending deformation comprises:
Wherein at least one graphene is in physical contact with at least one of the drain electrodes, the height of the at least one graphene being adjusted by adjusting the height of the Fermi level; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
Wherein the at least one bending deformation comprises:
Wherein at least one graphene is in physical contact with at least one of the drain electrodes, the height of the at least one graphene being adjusted by adjusting the height of the Fermi level; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
Wherein the at least one bending deformation comprises:
Wherein at least one graphen is not in physical contact with at least one of the drain electrodes, but is configured to adjust a height of a Fermi level of at least one graphene; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Wherein the at least one bending deformation comprises:
A shape having one or more out-of-plane displacements < u > of one or more graphenes; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
Wherein the at least one bending deformation comprises:
A shape having one or more out-of-plane displacements < u > of one or more graphenes; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Adjusting the height of the Fermi level (Fermi level)
One or more graphenes and an insulating layer are provided in at least one bending deformation in the form of at least one graphene and drain electrode having a non-coplanar plane and an insulating layer interposed therebetween,
The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
Wherein at least one graphene is coupled to the drain electrode and the insulating layer by electron tunneling; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
Adjusting the height of the Fermi level (Fermi level)
And at least one graphene and an insulating layer are provided in at least one bending deformation in the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,
The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
Connecting at least one graphene to the drain electrode and electron tunneling at one common island electrode; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
The bending deformation
Having curvature; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
The bending deformation
Having wave forms; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
The at least one bending deformation
Having one or more spatial deformations; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 1 to 6,
The bending deformation
Wherein the nanostructure of the uppermost portion of the deformation of the bending deformation is a quantum dot; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 1 to 6,
The bending deformation
Wherein the graphene is graphene having an ultra-thin layer on the graphene, a selective etched ultra-thin quantum dot, a selectively etched graphene, and an optional etched graphene quantum dot,
Wherein the nanostructure of the uppermost portion of the deformation of the bending deformation is a quantum dot; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 22 to 23,
The bending deformation
Wherein the nanostructure of the uppermost portion of the deformation of the bending deformation is a quantum dot; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to claim 1, claim 2, claim 3, claim 4 or claim 5 or claim 6 or claim 22 or claim 23,
A transistor that controls ON / OFF of electricity with at least one bending deformation of the graphene
Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
Claims: 1. A method for controlling on / off of electricity by providing at least one bending deformation of graphene according to claim 1, claim 2, claim 3, claim 4, claim 5 or claim 6 or claim 22 or claim 23 One or more transistors selected from the group consisting of one-dimensional, two-dimensional, and three-dimensional.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
wherein the particles having at least one charge at the bottom of the at least one graphene are subjected to at least one bending deformation of the graphene and the insulating layer due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene Respectively,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
wherein a particle having at least one charge provided at the lower portion of the at least one graphene comprises an insulator layer and at least one graphene and insulator layer due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Bending deformation,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene with an insulating layer and a strain free layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided on the one or more graphenes,
And a common island electrode connected to the drain electrode through a tunnel junction and connected to the at least one graphene through an insulating layer,
And a barrier adjustment circuit having physical spacing with an upper portion of the one common island electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,
b. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
c. Tunneling the electrons to the island electrode, and
d. A tunnel is located at the drain electrode, and
e. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,
Wherein at least one Piezo material at the lower end of the at least one graphene is provided with at least one graphene and an insulating layer in one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, ,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,
Wherein at least one graphene and at least one charge at the lower end of the at least one graphene layer comprises at least one graphene and an insulating layer in at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to one common island electrode through a tunnel junction and one or more graphenes connected in order of the strain free layer and the insulating layer,
At least one magnetic particle provided at the lower end of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. Tunneling the electrons to the island electrode, and
b. A tunnel is located at the drain electrode, and
c. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,
Wherein at least one Piezo material at the lower end of the at least one graphene is provided with at least one graphene and an insulating layer in one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, ,
a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,
Wherein at least one graphene and at least one charge at the lower end of the at least one graphene layer comprises at least one graphene and an insulating layer in at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene,
a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
In a graphene single electron transistor,
In the form of two electrodes composed of a drain electrode connected to a common island electrode through a tunnel junction and one or more graphenes connected to an insulating layer,
At least one magnetic particle provided at the lower end of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
a. The thickness of the insulating layer provided between at least one graphene and one common island electrode is adjusted to several nanometer levels, and
b. Tunneling the electrons to the island electrode, and
c. A tunnel is located at the drain electrode, and
d. The electrons reaching the Fermi level of the drain electrode; To
Wherein the graphene single-electron transistor is a single graphen transistor.
The method of claim 51, 52, 54, 55, 57, 58, 60, or 61,
The physical spacing
Including an insulating layer; of
Features a graphene single-electron transistor.
The method of claim 51, 52, 54, 55, 57, 58, 60, or 61,
The physical spacing
Including an air layer; of
Features a graphene single-electron transistor.
The method of claim 51, 52, 54, 55, 57, 58, 60, or 61,
The physical spacing
Including a vacuum layer; of
Features a graphene single-electron transistor.
66. The method of any one of claims 50-67,
The island electrode
(low self-capacitance) low self-capacitance; of
Wherein the graphene single-electron transistor is a single graphen transistor.
66. The method of any one of claims 50-67,
Wherein the at least one bending deformation comprises:
Provided with at least one Young's modulus; of
Features a graphene single-electron transistor.
51. The method of claim 50, 51, 52, 56, 57, 58, 62, 63, or 64,
The deformation-
Including an air layer; of
Features a graphene single-electron transistor.
51. The method of claim 50, 51, 52, 56, 57, 58, 62, 63, or 64,
The deformation-
Including a vacuum layer; of
Features a graphene single-electron transistor.
64. The method of any one of claims 50-61,
The insulating layer provided on the at least one graphene layer
An insulating layer having a Young's modulus; of
Wherein the graphene single-electron transistor is a single graphen transistor.
64. The method of any one of claims 50-61,
The insulating layer provided on the at least one graphene layer
A thin insulating layer having a Young's modulus; of
Wherein the graphene single-electron transistor is a single graphen transistor.
The method of any of claims 62 to 67,
The insulating layer
An insulating layer having a Young's modulus; of
Wherein the graphene single-electron transistor is a single graphen transistor.
The method of any of claims 62 to 67,
The insulating layer
A thin insulating layer having a Young's modulus; of
Wherein the graphene single-electron transistor is a single graphen transistor.
51. The method of claim 50, 51, 52, 56, 57, 58, 62, 63, or 64,
Before the step of tunneling the electrons to the island electrode
The insulating layer provided between at least one graphene and the island electrode is in contact with the island electrode and the thickness of the insulating layer provided between the at least one graphen and the island electrode is adjusted to several nanometers ; To
Wherein the graphene single-electron transistor further comprises:
51. The method of claim 50, 51, 52, 56, 57, 58, 62, 63, or 64,
Before the step of tunneling the electrons to the island electrode
The several nanometer level insulating layer provided between the at least one graphene and the island electrode is brought into contact with the island electrode; To
Wherein the graphene single-electron transistor further comprises:
The method of claim 56, claim 59, claim 62 or claim 65,
The at least one Piezo material
At the etched location of the layer of insulating material; of
Features a graphene single-electron transistor.
The method of claim 51, 54, 57, 60, 63, or 66,
The particles having at least one charge
At the etched location of the layer of insulating material; of
Features a graphene single-electron transistor.
The method of claim 52, 55, or 58, 61, or 64 or 67,
The one or more magnetic particles
At the etched location of the layer of insulating material; of
Features a graphene single-electron transistor.
66. The method of any one of claims 50-67,
The graphene single electron transistor
Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; of
Features a graphene single-electron transistor.
Claim 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 or 62 Or one or more graphene single electron transistors according to claim 63 or claim 64 or claim 65 or claim 66 or claim 67 as one or more one-dimensional, two-dimensional, three- And the electronic device.
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the one or more graphenes has at least one graphene and an insulating layer as one or more bending deformations due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphen However,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene has at least one graphene and an insulating layer as at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, ,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided on the lower portion of the at least one graphene has at least one graphene and an insulating layer as at least one bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a deformation-free layer and an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed on the bottom of the at least one graphene is subjected to at least one bending of the insulating layer and at least one graphene and insulating layer due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, And,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene and at least one charge at the bottom of the at least one graphene is subjected to at least one bending strain due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Respectively,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having physical spacing from the top of the insulating layer and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided between the at least one graphene and the drain electrode,
And a barrier adjustment circuit having a physical distance from an upper portion of the drain electrode and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided below the at least one graphene comprises at least one graphene and an insulating layer with at least one bending deformation due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene However,
b. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
c. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
d. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
At least one Piezo material disposed on the lower end of the at least one graphene in the form of at least one graphene and drain electrode having a non-coplanar plane and a deformable free layer and an insulating layer in between, At least one graphene and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of the pin,
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
In the form of one or more graphene and drain electrodes having a non-coplanar plane and a strained free layer and an insulating layer therebetween, particles having at least one charge at the lower end of the at least one graphene, One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit intersecting the circuit of FIG.
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Wherein at least one graphene and a drain electrode have non-coplanar planes and a deformable free layer and an insulating layer therebetween, wherein at least one magnetic particle provided at the lower end of the at least one graphene, At least one graphene and an insulating layer are provided in at least one bending deformation,
a. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
b. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Wherein at least one graphene and / or drain electrode has a non-coplanar plane and an insulating layer therebetween, at least one Piezo material provided at the lower end of the at least one graphene, Due to the voltage of the intersecting barrier regulating circuit, at least one graphene and an insulating layer are provided with at least one bending deformation,
a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
In which one or more graphene and drain electrodes have non-coplanar planes and an insulating layer therebetween, particles having at least one charge at the lower end of the at least one graphene are crossed with the circuit of the at least one graphene One or more graphenes and an insulating layer are provided in at least one bending deformation due to the voltage of the barrier adjusting circuit,
a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
For an electron tunneling graphene transistor,
Wherein at least one graphene and a drain electrode have non-coplanar surfaces and an insulating layer therebetween, at least one magnetic particle provided at the lower end of the at least one graphene has a barrier crossing the circuit of the at least one graphene Due to the voltage of the tuning circuit, one or more graphenes and an insulating layer are provided in at least one bending deformation,
a. The thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; and
b. Passing one electron through a tunnel of an insulating layer provided between one or more graphene and drain electrodes, and
c. Reaching the drain electrode; To
Wherein the electron tunneling graphene transistor comprises:
Claim 87, claim 88, or claim 91, claim 92, claim 93, claim 95, claim 96, claim 98, claim 99, claim 100 or claim 101,
The physical spacing
Including an insulating layer; of
Characterized by an electron tunneling graphene transistor.
Claim 87, claim 88, or claim 91, claim 92, claim 93, claim 95, claim 96, claim 98, claim 99, claim 100 or claim 101,
The physical spacing
Including an air layer; of
Characterized by an electron tunneling graphene transistor.
Claim 87, claim 88, or claim 91, claim 92, claim 93, claim 95, claim 96, claim 98, claim 99, claim 100 or claim 101,
The physical spacing
Including a vacuum layer; of
Characterized by an electron tunneling graphene transistor.
The method of any of claims 86 to 101,
And an insulating layer provided between the at least one graphene and the drain electrode
A thin insulating layer having a Young's modulus; of
Wherein the electron tunneling graphene transistor comprises:
The method of any of claims 86 to 101,
And an insulating layer provided between the at least one graphene and the drain electrode
A thin insulating layer having a Young's modulus; of
Wherein the electron tunneling graphene transistor comprises:
The method of any one of claims 102 to 107,
The insulating layer
A thin insulating layer having a Young's modulus; of
Wherein the electron tunneling graphene transistor comprises:
The method of any one of claims 102 to 107,
The insulating layer
A thin insulating layer having a Young's modulus; of
Wherein the electron tunneling graphene transistor comprises:
The method as claimed in any one of claims 86 to 107,
Wherein the at least one bending deformation comprises:
Provided with at least one Young's modulus; of
Characterized by an electron tunneling graphene transistor.
The method of claim 86, claim 87, claim 88, claim 94, claim 95, claim 96, claim 102 or claim 103 or claim 104,
The deformation-
Including an air layer; of
Characterized by an electron tunneling graphene transistor.
The method of claim 86, claim 87, claim 88, claim 94, claim 95, claim 96, claim 102 or claim 103 or claim 104,
The deformation-
Including a vacuum layer; of
Characterized by an electron tunneling graphene transistor.
The method of claim 86, claim 87, claim 88, claim 94, claim 95, claim 96, claim 102 or claim 103 or claim 104,
Before the one electron passes through the tunnel of the insulating layer provided between the at least one graphene and the drain electrode
The insulating layer provided between the at least one graphene and the drain electrode is in contact with the drain electrode and the thickness of the insulating layer provided between the at least one graphene and the drain electrode is adjusted to several nanometers; To
Further comprising an electron tunneling transistor.
The method of claim 86, claim 87, claim 88, claim 94, claim 95, claim 96, claim 102 or claim 103 or claim 104,
Before the one electron passes through the tunnel of the insulating layer provided between the at least one graphene and the drain electrode
The several nanometer level insulating layer provided between the at least one graphene and the drain electrode is brought into contact with the drain electrode; To
Further comprising an electron tunneling transistor.
The method of claim 94, 97, or 102 or 105,
The at least one Piezo material
At the etched location of the layer of insulating material; of
Characterized by an electron tunneling graphene transistor.
The method of claim 87, 90, or 91, 95, 98, 99, or 103 or 106,
The particles having at least one charge
At the etched location of the layer of insulating material; of
Characterized by an electron tunneling graphene transistor.
The method according to claim 88, claim 92, claim 93, claim 96 or claim 100 or claim 101 or claim 104 or claim 107,
The one or more magnetic particles
At the etched location of the layer of insulating material; of
Characterized by an electron tunneling graphene transistor.
The method as claimed in any one of claims 86 to 107,
The electron tunneling graphene transistor
Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; of
Characterized by an electron tunneling graphene transistor.
Claim 86, claim 87 or claim 88 or claim 89 or claim 90 or claim 91 or claim 92 or claim 93 or claim 94 or claim 95 or claim 96 or claim 97 or claim 98 Or an electron tunneling graphene transistor according to claim 99, claim 100, claim 101, claim 102 or claim 103 or claim 104 or claim 105 or claim 106 or claim 107 may be one- , Two-dimensionally, three-dimensionally, or the like.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one piezoelectric material disposed below the at least one graphene is provided with at least one graphene in one or more bending deformations due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, On / Off '
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit which intersects the circuit of the at least one graphene, Adjust On / Off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. One or more magnetic grains provided on the lower portion of the at least one graphene may have at least one graphene as one or more bending deformation due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene, Off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
In a form including a barrier adjustment circuit having physical spacing from the top of the at least one graphene and intersecting the circuit of the at least one graphene,
a. Due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, an electrostatic attraction may be induced in one or more graphenes provided at the bottom of the barrier regulating circuit so that one or more graphenes are provided with at least one bending deformation To control the electricity on / off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the at least one graphene has at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene , Turn on / off electricity,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit in which the particles having at least one charge provided at the lower portion of the at least one graphen cross the circuit of the at least one graphen, Adjust the electricity on / off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided at the bottom of the at least one graphene comprises at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Adjust On / Off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And an insulating layer provided under the at least one graphene,
And at least one Piezo material disposed below the insulating layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the at least one graphene has at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier adjusting circuit crossing the circuit of the at least one graphene , Turn on / off electricity,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including particles having at least one electric charge provided under the insulating layer,
a. Wherein at least one graphene is provided with at least one bending strain due to the voltage of the barrier regulating circuit in which the particles having at least one charge provided at the lower portion of the at least one graphen cross the circuit of the at least one graphen, Adjust the electricity on / off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a barrier adjustment circuit having physical spacing with the top of the at least one graphene and intersecting the circuit of the at least one graphene,
And an insulating layer provided under the at least one graphene,
In a form including at least one magnetic particle provided below the insulating layer,
a. Wherein at least one magnetic particle provided at the bottom of the at least one graphene comprises at least one bending deformation of the insulating layer and at least one graphene due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Adjust On / Off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a layer having a Young's modulus at the top of the at least one graphene,
And a selectively etched insulating material layer disposed below the at least one graphene,
At least one graphene layer and at least one Piezo material disposed at an etched position of the insulating material layer,
In the form of a barrier regulating circuit provided below the at least one Piezo material and intersecting the circuit of the at least one graphene,
a. Wherein at least one Piezo material disposed below the at least one graphene layer comprises a layer of at least one graphene and a layer of low Young's modulus due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, Is provided with at least one bending deformation to control the electric on / off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a layer having a Young's modulus at the top of the at least one graphene,
A barrier adjustment circuit having a physical spacing from the top of the layer having the Young's modulus and intersecting the circuit of the at least one graphene,
In the form including particles having at least one charge disposed under the at least one graphene,
a. The particles having at least one charge on the lower portion of the at least one graphene may have a layer having at least one graphene and a Young's modulus due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, And at least one bending deformation is provided to adjust the on / off of electricity,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
Source electrode:
Drain electrode: and
And at least one graphen connected to the source electrode and having a non-coplanar plane with the drain electrode,
And a layer having a Young's modulus at the top of the at least one graphene,
A barrier adjustment circuit having a physical spacing from the top of the layer having the Young's modulus and intersecting the circuit of the at least one graphene,
In a form including at least one magnetic particle provided below the at least one graphene,
a. Wherein at least one magnetic particle provided at the lower portion of the at least one graphene layer comprises at least one graphene layer and a layer having a Young's modulus due to the voltage of the barrier regulating circuit crossing the circuitry of the at least one graphene layer, Bending deformation to adjust the electric on / off,
b. Adjusting the height of the Fermi level of one or more graphenes between the at least one graphene and the drain electrode to adjust the electrical On / Off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method of claim 126, claim 127, claim 130, claim 131, claim 133, claim 134 or claim 136 or claim 137,
The physical spacing
Including an insulating layer; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method of any one of claims 125 to 134,
An air layer (air layer) on the one or more graphenes; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method of any one of claims 125 to 134,
A vacuum layer on top of said at least one graphene; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 135 to 137,
An air layer (air layer) on top of the layer having the Young's modulus; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 135 to 137,
A vacuum layer on top of the layer having the Young's modulus; this
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 135 to 137,
The layer having the Young's modulus
A thin insulating layer having a Young's modulus; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method of claim 129,
The at least one Piezo material
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method of claim 126, claim 130, or claim 133 or claim 136,
The particles having at least one charge
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method of claim 127, claim 131 or claim 134 or claim 137,
The one or more magnetic particles
At the etched location of the layer of insulating material; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 125 to 137,
Wherein the at least one bending deformation comprises:
Provided with at least one Young's modulus; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 125 to 137,
Wherein the at least one bending deformation comprises:
At least one graphene being in physical contact with at least one drain electrode, the electrons moving from the at least one graphene to the drain electrode; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 125 to 137,
Wherein the at least one bending deformation comprises:
The at least one graphen is not in physical contact with at least one of the drain electrodes, but electrons are moved from the at least one graphene to the drain electrode; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 125 to 137,
Wherein the at least one bending deformation comprises:
A shape having one or more out-of-plane displacements < u > of one or more graphenes; To
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
The method according to any one of claims 125 to 137,
The bending deformation
Having curvature; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 125 to 137,
The at least one bending deformation
Having one or more spatial deformations; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
The method according to any one of claims 125 to 137,
A transistor that controls ON / OFF of electricity with at least one bending deformation of the graphene
Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
Or claim 126 or claim 127 or claim 128 or claim 129 or claim 130 or claim 131 or claim 132 or claim 133 or claim 134 or claim 135 or claim 136 or claim 137 Dimensional, two-dimensional, three-dimensional, or one selected from the group consisting of one or more transistors for controlling on / off of electricity with at least one bending deformation of graphene Device.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having non-coplanar surfaces, at least one Piezo material provided at the bottom of the at least one graphene is in contact with a voltage of a barrier regulating circuit One or more graphenes may be provided as one or more bending deformation to control on / off of electricity,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, the particles having at least one charge provided at the bottom of the at least one graphene are mixed with the voltage of the barrier adjustment circuit crossing the circuit of the at least one graphene At least one graphene may be provided as one or more bending deformation to control on / off of electricity,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.

1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
a. In the form of one or more graphene and drain electrodes having a non-coplanar plane, at least one magnetic particle provided at the bottom of the at least one graphene, due to the voltage of the barrier regulating circuit crossing the circuit of the at least one graphene, The graphene is provided with at least one bending deformation to control the electric on / off,
b. Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
1. A transistor for controlling ON / OFF of electricity with at least one bending deformation of graphene,
In the form of one or more graphene and drain electrodes having non-coplanarity, due to the voltage of the barrier regulating circuit intersecting the circuit of the at least one graphene, one or more graphenes provided below the barrier regulating circuit, And at least one graphene is provided with at least one bending deformation to control the electric on / off,
Providing at least one bending deformation of one or more graphenes between the at least one graphene and the drain electrode to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
Providing at least one bending deformation of one or more graphenes between one or more graphene and drain electrodes to control electrical on / off; of
Wherein the graphene has at least one bending deformation of the graphene, thereby controlling on / off of electricity.
A transistor for controlling on / off of electricity with at least one bending deformation of graphene according to claim 155, claim 156, claim 157, claim 158 or claim 159, , Or three-dimensionally.
The method according to any one of claims 155 to 159,
A transistor that controls ON / OFF of electricity with at least one bending deformation of the graphene
Dimensional, two-dimensional, or three-dimensional, one or more selected from the group consisting of a central processing unit (CPU), a memory, an electronic device having a battery, an electronic component, Provided; of
Characterized in that it has at least one bending deformation of the graphene to control the electrical on / off.
KR1020150058619A 2015-04-27 2015-04-27 having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor KR20160127355A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150058619A KR20160127355A (en) 2015-04-27 2015-04-27 having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150058619A KR20160127355A (en) 2015-04-27 2015-04-27 having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor

Publications (1)

Publication Number Publication Date
KR20160127355A true KR20160127355A (en) 2016-11-04

Family

ID=57530053

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150058619A KR20160127355A (en) 2015-04-27 2015-04-27 having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor

Country Status (1)

Country Link
KR (1) KR20160127355A (en)

Similar Documents

Publication Publication Date Title
KR20150121680A (en) graphene manufacturing method and graphene atomic layer etching of graphene manufacturing method and wafer combination method of graphene bendng transistor and graphene bendng transistor
KR20150121590A (en) graphene manufacturing method and graphene atomic layer etching of graphene manufacturing method and wafer combination method of graphene bendng transistor and graphene bendng transistor
KR20160109539A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019353A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20150134188A (en) Graphyne transistors by using the selected one or more of Graphyne bending deformation, Graphyne position move, that the one or more of work-function controlling of the transistors
KR20160127355A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127353A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127557A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127354A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160127553A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160109538A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160109540A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160084775A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160086535A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160086537A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160086538A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160083768A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019350A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019352A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160019351A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160079213A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor
KR20160083769A (en) Transistor have graphene transistor by way of wafer union process
KR20160080027A (en) Transistor have graphene transistor by way of wafer union process
KR20160079196A (en) Transistor have graphene transistor by way of wafer union process
KR20160011146A (en) having one or more bending deformation of graphene that electric On/Off to control of the transistor and graphene single electron transistor and electron tunneling graphene transistor