KR20150145600A - Optical terminal redundancy and method for signal transmitting using the same - Google Patents

Optical terminal redundancy and method for signal transmitting using the same Download PDF

Info

Publication number
KR20150145600A
KR20150145600A KR1020140075844A KR20140075844A KR20150145600A KR 20150145600 A KR20150145600 A KR 20150145600A KR 1020140075844 A KR1020140075844 A KR 1020140075844A KR 20140075844 A KR20140075844 A KR 20140075844A KR 20150145600 A KR20150145600 A KR 20150145600A
Authority
KR
South Korea
Prior art keywords
optical
optical module
signal
module unit
detection signal
Prior art date
Application number
KR1020140075844A
Other languages
Korean (ko)
Inventor
임채형
김대환
김창유
Original Assignee
주식회사 케이티
(주)유비쿼스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 케이티, (주)유비쿼스 filed Critical 주식회사 케이티
Priority to KR1020140075844A priority Critical patent/KR20150145600A/en
Publication of KR20150145600A publication Critical patent/KR20150145600A/en

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/07Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems
    • H04B10/075Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal
    • H04B10/077Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal using a supervisory or additional signal
    • H04B10/0771Fault location on the transmission path
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/03Arrangements for fault recovery
    • H04B10/032Arrangements for fault recovery using working and protection systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/25Arrangements specific to fibre transmission
    • H04B10/2581Multimode transmission
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J14/00Optical multiplex systems
    • H04J14/02Wavelength-division multiplex systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Small-Scale Networks (AREA)
  • Optical Communication System (AREA)

Abstract

The present invention relates to an optical communications terminal having a dualization function. The optical communications terminal confirms whether a first optical module unit has an error based on a signal generated from the first optical module unit transceiving an optical signal. When the first optical module unit is confirmed to have an error, a changeover from the first optical module unit to a second optical module unit is controlled, and an optical signal is transceived through the second optical module unit.

Description

이중화 기능을 갖는 광통신 단말 및 이를 이용한 신호 전송 방법{Optical terminal redundancy and method for signal transmitting using the same}TECHNICAL FIELD The present invention relates to an optical communication terminal having a redundancy function and a signal transmission method using the optical communication terminal.

본 발명은 이중화 기능을 갖는 광통신 단말 및 이를 이용한 신호 전송 방법에 관한 것이다.The present invention relates to an optical communication terminal having a redundancy function and a signal transmission method using the same.

광통신(GPON/GEPON: Gigabit Passive Optical Network/Gigabit Ethernet Passive Optical Network) 시스템은 하나의 광 선로에 다수의 광통신 단말(Optical Network Terminal, 이하, 'ONT'라 지칭함)이 연결되어 인터넷 서비스를 사용자에게 제공한다. 2. Description of the Related Art [0002] In an optical communication system (GPON / GEPON: Gigabit Passive Optical Network), a plurality of optical network terminals (hereinafter referred to as 'ONTs') are connected to a single optical line, do.

만약 ONT의 통신을 담당하는 PON MAC(Media Access Control) 칩에 장애가 발생하거나 광 모듈에 장애가 발생하여 연속적으로 비정상 광이 출력되면, 하나의 광 선로에 연결되어 있는 모든 ONT들은 인터넷 서비스를 제공할 수 없는 장애 상태가 발생한다. If a failure occurs in the PON MAC (Media Access Control) chip that is responsible for the communication of the ONT, or if the optical module fails and the abnormal light is continuously output, all the ONTs connected to one optical line can provide the Internet service There is no fault condition.

즉, 하나의 광 회선 종단 장치(Optical Line Termination, 이하 'OLT'라 지칭함)에 다수의 ONT들이 연결된 광통신 시스템에서, 다수의 ONT들은 OLT로 자신에 할당된 시간에만 광 신호 즉, 상향 데이터를 전송한다. 만약 다수의 ONT들 중 어느 하나의 ONT가 자신에 할당된 시간 외에 광 신호를 전송하거나, 자신에 할당된 시간을 초과하여 OLT로 광 신호를 전송하게 되는 경우, 다른 ONT들과의 광 신호 전송에 따른 충돌이 발생하여 광통신 네트워크 전체가 통신 불능 상태가 될 수 있다.That is, in an optical communication system in which a plurality of ONTs are connected to one optical line termination (OLT), a plurality of ONTs transmit optical signals, that is, uplink data, do. If one ONT among a plurality of ONTs transmits an optical signal outside the time allocated to the ONT or transmits an optical signal to the OLT beyond the time allocated to the ONT, Collision occurs and the entire optical communication network can be in a communication disabled state.

일반적으로는 이러한 장애가 발생하는 경우 광 신호를 차단하기 때문에, 장애를 야기한 네트워크에서는 통신 불능 상태가 된다. 이러한 통신 불능 상태를 광 선로와 네트워크 장치를 이중화하여 해결하고자 시도하였으나, 네트워크 구축 비용이 높아지는 단점이 있다.Generally, when such a fault occurs, the optical signal is blocked, so that the communication is disabled in the network causing the fault. Although attempts have been made to solve this problem by duplicating the optical line and the network device, there is a disadvantage that the network construction cost is increased.

따라서, 본 발명은 광 모듈의 상태나 장애 신호, 광 링크 등의 상태에 따라 광통신 단말을 이중화 절체하는 단말 및 이를 이용한 신호 전송 방법을 제공한다.Accordingly, the present invention provides a terminal for duplicating an optical communication terminal according to a status of an optical module, a failure signal, an optical link, and the like, and a signal transmission method using the same.

상기 본 발명의 기술적 과제를 달성하기 위한 본 발명의 하나의 특징인 광통신 단말은,According to an aspect of the present invention, there is provided an optical communication terminal,

광 신호를 송수신하며, 광 신호를 송수신하며 송신 탐지 신호 또는 비정상 탐지 신호를 발생하는 광 모듈; 상기 광 모듈에서 발생한 탐지 신호를 토대로 상기 광 모듈의 이상 여부를 판단하고, 광 모듈에 이상이 발생하면 광 모듈 내에 포함된 광 송수신기를 절체하도록 제어하는 제어부; 및 상기 제어부의 제어를 토대로 상기 광 모듈 내의 광 송수신기를 절체하는 스위치를 포함하며,An optical module that transmits and receives an optical signal, transmits and receives an optical signal, and generates a transmission detection signal or an abnormal detection signal; A controller for determining whether the optical module is abnormal based on a detection signal generated in the optical module, and controlling the optical transceiver included in the optical module to be switched if an error occurs in the optical module; And a switch for switching the optical transceiver in the optical module on the basis of the control of the control unit,

상기 광 모듈은, 광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제1 광 송수신기; 및 상기 제1 광 송수신기에 이상이 발생하면, 상기 제어부의 제어를 통해 오프 상태에서 온 상태로 상태가 변화되어 광 신호를 송수신하는 제2 광 송수신기를 포함한다.The optical module includes: a first optical transceiver for transmitting and receiving an optical signal and generating either the transmission detection signal or the abnormal detection signal; And a second optical transceiver that changes state from an off state to an on state through control of the controller to transmit and receive an optical signal when an error occurs in the first optical transceiver.

상기 스위치 및 제어부와 연동하며, 광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 PON(Passive Optical Network) 칩; 및 상기 제1 광 송수신기 및 제2 광 송수신기와 연동하며, 광 신호의 파장을 제1 광 송수신기 또는 제2 광 송수신기 중 어느 하나로 분기하는 멀티플렉서를 포함할 수 있다.A passive optical network (PON) chip interlocked with the switch and the control unit, generating a link-on signal indicating whether an optical communication connection is established, and transmitting the generated link-on signal to the control unit; And a multiplexer interlocked with the first optical transceiver and the second optical transceiver and branching the wavelength of the optical signal to either the first optical transceiver or the second optical transceiver.

상기 단말로 전원을 제공하며, 상기 제1 광 송수신기 또는 제2 광 송수신기 중 어느 하나의 광 송수신기에 전원을 제공하여, 상기 광 모듈이 절체되도록 하는 전원 공급부를 포함할 수 있다.And a power supply unit for providing power to the terminal and supplying power to any one of the first optical transceiver and the second optical transceiver to switch the optical module.

상기 본 발명의 기술적 과제를 달성하기 위한 본 발명의 또 다른 특징인 광통신 단말은,According to another aspect of the present invention, there is provided an optical communication terminal including:

광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성하고, 광 신호를 송수신하며 송신 탐지 신호 또는 비정상 탐지 신호를 발생하는 제1 광 모듈부; 상기 제1 광 모듈부에 이상이 발생하면, 광 신호를 대신 송수신하며, 상기 리이크 온 신호 및 상기 송신 탐지 신호, 비정상 탐지 신호를 발생하는 제2 광 모듈부; 상기 제1 광 모듈부에서 생성되거나 발생한 링크 온 신호와 송신 탐지 신호 또는 비정상 탐지 신호를 토대로 상기 제1 광 모듈부에 이상이 발생하였는지 판단하고, 상기 제1 광 모듈부에 이상이 발생하면 상기 제2 광 모듈부를 통해 광 신호가 송수신되도록 제어하는 제어부; 및 상기 제어부의 제어에 따라 상기 제1 광 모듈부 또는 상기 제2 광 모듈부 중 어느 하나의 광 모듈부를 이용하여 광 신호를 송수신하도록 스위칭하는 스위치를 포함한다.A first optical module unit for generating a link-on signal indicating whether or not an optical communication connection is established, transmitting and receiving an optical signal, and generating a transmission detection signal or an abnormal detection signal; A second optical module unit for transmitting / receiving an optical signal instead of the first optical module unit when an error occurs in the first optical module unit, and generating the lock-on signal, the transmission detection signal, and the abnormal detection signal; Wherein the control unit determines whether an abnormality has occurred in the first optical module unit based on a link-on signal, a transmission detection signal, or an abnormality detection signal generated or generated in the first optical module unit, A control unit for controlling the optical signals to be transmitted and received through the two optical module units; And a switch for switching an optical signal to be transmitted and received using any one of the first optical module unit and the second optical module unit under the control of the controller.

상기 제1 광 모듈부는, 광통신 연결 여부를 알리는 링크 온 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 제1 PON 칩; 광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제1 광 송수신기; 및 상기 단말에 할당되어 있는 MAC 주소를 저장하고 관리하는 제1 MAC 주소 저장부를 포함할 수 있다.Wherein the first optical module unit comprises: a first PON chip for generating a link-on signal indicating whether the optical communication connection is established and for transmitting the generated link-on signal to the control unit; A first optical transceiver for transmitting and receiving optical signals and generating either the transmission detection signal or the abnormal detection signal; And a first MAC address storage unit for storing and managing a MAC address allocated to the MS.

상기 제2 광 모듈부는, 광통신 연결 여부를 알리는 링크 온 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 제2 PON 칩; 상기 제1 광 모듈부에 이상이 발생하면 상기 제1 광 모듈부를 대신하여 광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제2 광 송수신기; 및 상기 단말에 할당되어 있으며, 상기 제1 MAC 주소 저장부에 저장된 MAC 주소와 동일한 MAC 주소를 저장하고 관리하는 제2 MAC 주소 저장부를 포함할 수 있다.Wherein the second optical module unit comprises: a second PON chip for generating a link-on signal indicating whether the optical communication connection is established and for transmitting the generated link-on signal to the control unit; A second optical transceiver that transmits and receives optical signals instead of the first optical module unit when an error occurs in the first optical module unit and generates either the transmission detection signal or the abnormal detection signal; And a second MAC address storage unit for storing and managing the same MAC address as the MAC address stored in the first MAC address storage unit.

상기 제1 광 모듈부 및 제2 광 모듈부와 연동하며, 광 신호의 파장을 제1 광 모듈부 또는 제2 광 모듈부 중 어느 하나로 분기하는 멀티플렉서; 및 상기 단말로 전원을 제공하며, 상기 제1 광 모듈부 또는 제2 광 모듈부 중 어느 하나의 광 모듈부에 전원을 제공하여, 광 모듈부가 절체되도록 하는 전원 공급부를 포함할 수 있다.A multiplexer interlocked with the first optical module unit and the second optical module unit and branching the wavelength of the optical signal to either the first optical module unit or the second optical module unit; And a power supply unit for providing power to the terminal and supplying power to any one of the first optical module unit and the second optical module unit to allow the optical module unit to be switched.

상기 본 발명의 기술적 과제를 달성하기 위한 본 발명의 또 다른 특징인 이중화 기능을 갖는 광통신 단말이 신호를 전송하는 방법은,According to another aspect of the present invention, there is provided a method of transmitting a signal by an optical communication terminal having a redundancy function,

제1 광 모듈부를 통해 광 신호를 송수신하는 단계; 상기 제1 광 모듈부로부터 발생하는 신호를 토대로, 상기 제1 광 모듈부에 장애가 있는지 여부를 확인하는 단계; 상기 제1 광 모듈부에 장애가 있는 것으로 확인하면, 상기 제1 광 모듈부에서 제2 광 모듈부로 절체하도록 제어하는 단계; 및 상기 제2 광 모듈부를 통해 광 신호를 송수신하는 단계를 포함한다.Transmitting and receiving an optical signal through the first optical module unit; Determining whether the first optical module unit has a fault based on a signal generated from the first optical module unit; Controlling the first optical module unit to switch from the first optical module unit to the second optical module unit if it is determined that the first optical module unit is faulty; And transmitting and receiving an optical signal through the second optical module unit.

상기 장애가 있는지 여부를 확인하는 단계는, 상기 제1 광 모듈부로부터 상기 제1 광 모듈부 내의 광 송수신기로부터 비정상 탐지 신호가 발생하였는지 확인하는 단계; 상기 광 송수신기로부터 연속적으로 광 신호가 출력되고 있음을 알리는 송신 탐지 신호가 발생하였는지 확인하는 단계; 및 상기 제1 광 모듈부로부터 비정상 탐지 신호 또는 송신 탐지 신호 중 어느 하나가 발생하였다면, 상기 제1 광 모듈부에 장애가 있는 것으로 확인하는 단계를 포함할 수 있다.Wherein the step of verifying whether or not the fault is present comprises the steps of: checking whether an abnormal detection signal is generated from the optical transceiver in the first optical module unit from the first optical module unit; Confirming whether a transmission detection signal indicating that an optical signal is continuously output from the optical transceiver is generated; And confirming that the first optical module unit has a fault if any one of the abnormality detection signal or the transmission detection signal is generated from the first optical module unit.

상기 제1 광 모듈부 내의 PON 칩으로부터 수신한 링크 온 신호를 토대로 상기 제1 광 모듈부에 장애가 있는지 확인하는 단계를 포함할 수 있다. And checking whether the first optical module unit has a failure based on a link-on signal received from the PON chip in the first optical module unit.

본 발명에 따르면 이중화된 광통신 단말 모듈을 통해 광통신 단말의 장애를 감지하고, 광통신 네트워크에 장애가 발생하지 않도록 한다.According to the present invention, a failure of an optical communication terminal is detected through a duplicated optical communication terminal module, and a failure does not occur in an optical communication network.

또한, 인터넷 서비스가 중단되지 않도록 하며, 장애가 발생한 모듈의 수리가 용이하다.In addition, the Internet service is not interrupted, and repair of a faulty module is easy.

도 1은 본 발명의 제1 실시예에 따른 단말의 구조도이다.
도 2는 본 발명의 제2 실시예에 따른 단말의 구조도이다.
도 3은 본 발명의 실시예에 따른 신호 송신 방법에 대한 흐름도이다.
도 4는 본 발명의 실시예에 따른 동작 예시도이다.
1 is a structural diagram of a terminal according to a first embodiment of the present invention.
2 is a structural diagram of a terminal according to a second embodiment of the present invention.
3 is a flowchart of a signal transmission method according to an embodiment of the present invention.
4 is a diagram illustrating an operation according to an embodiment of the present invention.

아래에서는 첨부한 도면을 참고로 하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can easily carry out the present invention. The present invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. In order to clearly illustrate the present invention, parts not related to the description are omitted, and similar parts are denoted by like reference characters throughout the specification.

명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다. Throughout the specification, when an element is referred to as "comprising ", it means that it can include other elements as well, without excluding other elements unless specifically stated otherwise.

본 명세서에서 단말(terminal)은, 이동국(Mobile Station, MS), 이동 단말(Mobile Terminal, MT), 가입자국(Subscriber Station, SS), 휴대 가입자국(Portable Subscriber Station, PSS), 사용자 장치(User Equipment, UE), 접근 단말(Access Terminal, AT) 등을 지칭할 수도 있고, 이동 단말, 가입자국, 휴대 가입자 국, 사용자 장치 등의 전부 또는 일부의 기능을 포함할 수도 있다.In this specification, a terminal includes a mobile station (MS), a mobile terminal (MT), a subscriber station (SS), a portable subscriber station (PSS) An access terminal (AT), and the like, and may include all or some of functions of a mobile terminal, a subscriber station, a mobile subscriber station, a user equipment, and the like.

본 명세서에서 기지국(Base Station, BS)은 접근점(Access Point, AP), 무선 접근국(Radio Access Station, RAS), 노드B(Node B), 송수신 기지국(Base Transceiver Station, BTS), MMR(Mobile Multihop Relay)-BS 등을 지칭할 수도 있고, 접근점, 무선 접근국, 노드B, 송수신 기지국, MMR-BS 등의 전부 또는 일부의 기능을 포함할 수도 있다.In this specification, a base station (BS) is an access point (AP), a radio access station (RAS), a node B, a base transceiver station (BTS) Mobile Multihop Relay) -BS, and may include all or some of the functions of an access point, a radio access station, a Node B, a base transceiver station, and an MMR-BS.

이하 도면을 참고로 하여 본 발명의 실시예에 따른 이중화 기능을 갖는 단말에 대해 설명한다. 본 발명의 실시예에서는 광 송수신기를 절체하는 제1 실시예와 PON(Passive Optical Network) 칩 및 광 송수신기를 절체하는 제2 실시예로 나누어 설명한다. 또한, 제1 실시예에서는 광 송수신기의 장애 여부만을 판단하는 것을 예로 하여 설명하며, 제2 실시예에서는 PON 칩과 광 송수신기에 장애 여부를 확인하여 절체하는 것을 예로 하여 설명한다.Hereinafter, a terminal having a redundancy function according to an embodiment of the present invention will be described with reference to the drawings. In the embodiment of the present invention, the first embodiment for switching the optical transceiver and the second embodiment for switching the PON (Passive Optical Network) chip and the optical transceiver will be described. In the first embodiment, it is assumed that only the optical transceiver is faulty. In the second embodiment, the PON chip and the optical transceiver are checked for failure, and the switching is described as an example.

도 1은 본 발명의 제1 실시예에 따른 단말의 구조도이다.1 is a structural diagram of a terminal according to a first embodiment of the present invention.

도 1에 도시된 바와 같이, 본 발명의 제1 실시예에 따른 단말(100)은 장애 빈도가 가장 많이 발생하는 광 모듈을 이중화하여 구현한 것으로, 이더넷 PHY(110), PON 칩(120), 스위치(130), 제1 광 송수신기(141)와 제2 광 송수신기(142)를 포함하는 광 모듈(140), 전원 공급부(150), 제어부(160) 및 멀티플렉서(170)를 포함한다. 본 발명의 실시예에 따른 단말(100)의 구조는 설명의 편의를 위하여 도 1에 도시한 바와 같이 구현되는 것을 예로 하여 설명하나, 단말의 일반적인 기능을 수행하는 다른 구성 요소도 포함될 수 있다.As shown in FIG. 1, the terminal 100 according to the first embodiment of the present invention is implemented by duplicating an optical module having the highest frequency of faults. The terminal 100 includes an Ethernet PHY 110, a PON chip 120, A switch 130, an optical module 140 including a first optical transceiver 141 and a second optical transceiver 142, a power supply 150, a controller 160 and a multiplexer 170. The structure of the UE 100 according to an embodiment of the present invention will be described with reference to FIG. 1 for convenience of description, but may include other components that perform general functions of the UE.

이더넷 PHY(110)는 이더넷 규격을 지원하는 랜 장비가 접속되는 이더넷 블록이다. 이더넷 PHY(110)의 기능은 이미 알려진 사항으로, 본 발명의 실시예에서는 상세한 설명을 생략한다.The Ethernet PHY 110 is an Ethernet block to which a LAN device supporting the Ethernet standard is connected. The function of the Ethernet PHY 110 is already known, and a detailed description thereof will be omitted in the embodiment of the present invention.

PON 칩(120)은 이더넷 PHY(110), 스위치(130) 및 제어부(160)와 연동하며, PON 칩(120)에서 출력되는 광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성한다. 그리고 생성한 링크 온 신호를 제어부(160)로 전달한다. The PON chip 120 interlocks with the Ethernet PHY 110, the switch 130 and the control unit 160 and generates a link-on signal indicating whether the optical communication connection is output from the PON chip 120. The generated link-on signal is transmitted to the controller 160.

스위치(130)는 제어부(160)의 제어에 따라 제1 광 송수신기(141) 또는 제2 광 송수신기(142) 중 어느 하나의 광 송수신기를 이용하여 광 신호를 송수신하도록 광 신호를 스위칭한다.The switch 130 switches the optical signal to transmit and receive an optical signal using either the first optical transceiver 141 or the second optical transceiver 142 under the control of the controller 160. [

제1 광 송수신기(141)와 제2 광 송수신기(142)를 포함하는 광 모듈(140)은 데이터가 포함된 광 신호를 송신하거나 수신하며, 스위치(130)의 제어에 따라 제1 광 송수신기(141) 또는 제2 광 송수신기(142) 중 어느 하나의 광 송수신기가 광 신호를 송수신한다. The optical module 140 including the first optical transceiver 141 and the second optical transceiver 142 transmits or receives an optical signal including data and controls the first optical transceiver 141 ) Or the second optical transceiver 142 transmits / receives the optical signal.

본 발명의 실시예에서는 기본적으로 단말(100)의 상태가 온(On) 상태일 때 제1 광 송수신기(141)의 상태가 온 상태로 되어 있어 광 신호를 송수신하고, 제1 광 송수신기(141)에 문제가 발생하면 제2 광 송수신기(142)의 상태가 오프(Off) 상태에서 온 상태로 변경되어 광 신호를 송수신하는 것을 예로 하여 설명한다. 또한, 제1 광 송수신기(141)와 제2 광 송수신기(142)는 장애가 발생하였을 때 수리가 용이하도록 실장/탈장이 용이한 구조로 설계된다.The first optical transceiver 141 is in an ON state to transmit and receive an optical signal and to transmit the optical signal to the first optical transceiver 141 when the terminal 100 is in an On state, The state of the second optical transceiver 142 is changed from the off state to the on state to transmit and receive the optical signal. In addition, the first optical transceiver 141 and the second optical transceiver 142 are designed to have a structure that facilitates mounting / disconnection for easy repair when a failure occurs.

그리고 광 모듈(140)의 제1 광 송수신기(141)와 제2 광 송수신기(142)는 각각 1490nm 파장의 광 신호를 송수신하면서, 탐지 신호(Signal Detect)를 발생하여 제어부(160)로 전달한다. 여기서 탐지 신호는 두 가지 신호로 구분되는데, 광 송수신기가 미리 설정된 시간 간격으로 전송할 광 신호가 있을 때 발생하는 송신 탐지 신호(Tx_SD)와, 광 모듈(140)이 송신 탐지 신호는 정상적으로 발생하나 기타의 이유로 인하여 장애가 생겼을 때 발생하는 비정상 탐지 신호가 있다.The first optical transceiver 141 and the second optical transceiver 142 of the optical module 140 transmit and receive an optical signal having a wavelength of 1490 nm and generate a detection signal and transmit the detection signal to the controller 160. Here, the detection signal is divided into two signals: a transmission detection signal Tx_SD generated when the optical transceiver has an optical signal to be transmitted at a preset time interval, and a transmission signal detected by the optical module 140, There is an abnormal detection signal that occurs when a fault occurs due to a reason.

송신 탐지 신호의 경우, 단말(100)이 광 신호를 전송할 시간이 미리 정해져 있기 때문에, 해당 시간 이후에도 송신 탐지 신호가 계속적으로 발생할 경우, 광 송수신기에 이상이 발생한 것으로 판단할 수 있다. 이는, 하나의 OLT에 다수의 광 통신 단말이 연결되어 있으며, 다수의 단말들은 각각 자신에게 할당된 시간에만 OLT로 광 신호를 전송할 수 있다. 따라서 송신 탐지 신호가 계속 발생한다는 것은 단말(100)이 OLT로 전송하는 계속적으로 광 신호를 전송한다는 것을 의미하게 되므로, 문제가 발생하였음을 알 수 있게 된다.In the case of the transmission detection signal, since the time for the terminal 100 to transmit the optical signal is predetermined, it can be determined that an abnormality has occurred in the optical transceiver when the transmission detection signal continues to occur after the predetermined time. This is because a plurality of optical communication terminals are connected to one OLT, and a plurality of terminals can transmit an optical signal to the OLT only at a time allocated to each of them. Therefore, the continuation of the transmission detection signal means that the terminal 100 continuously transmits an optical signal to be transmitted to the OLT, so that it can be known that a problem has occurred.

비정상 탐지 신호는 단말(100)이 광 신호를 송수신하던 중에 광 모듈(140)에 열이 발생하거나 전기적인 쇼크 등의 원인으로 광 송수신기(141, 142)가 비정상 상태가 되면, 광 송수신기는 NOK를 발생한다. 이때의 NOK를 비정상 탐지 신호라 지칭한다. 광 송수신기(141, 142)는 미리 설정한 시간 간격으로 비정상 탐지 신호 또는 정상 상태임을 알리는 OK 신호를 발생하여 제어부(160)로 전달한다.If the optical transceivers 141 and 142 are in an abnormal state due to heat generation or electrical shock in the optical module 140 while the terminal 100 is transmitting or receiving optical signals, the optical transceiver transmits NOK Occurs. The NOK at this time is referred to as an abnormal detection signal. The optical transceivers 141 and 142 generate an abnormal detection signal or an OK signal indicating that the optical transceivers 141 and 142 are in a normal state at predetermined time intervals, and transmit the generated OK signal to the control unit 160.

제1 광 송수신기(141)와 제2 광 송수신기(142)가 두 개의 탐지 신호를 발생하는 것은 이미 알려진 사항으로, 발생 방법 등에 대해서는 상세한 설명을 생략한다.It is already known that the first optical transceiver 141 and the second optical transceiver 142 generate two detection signals, and a detailed description thereof will be omitted.

전원 공급부(150)는 제어부(160)의 제어를 통해 단말(100)에 전원을 제공한다. 이때, 단말(100)에 제공되는 전원 중 광 모듈(140)의 제1 광 송수신기(141) 및 제2 광 송수신기(142)로 제공되는 전원의 온/오프(On/Off)를 통해 광 모듈(140)이 절체되도록 한다. The power supply unit 150 provides power to the terminal 100 under the control of the controller 160. At this time, power is supplied to the optical module 140 through on / off of the power provided to the first optical transceiver 141 and the second optical transceiver 142 of the optical module 140 among the power provided to the terminal 100 140).

제어부(160)는 광 모듈(140)로부터 전송되는 탐지 신호를 토대로 장애가 있는지 감시한다. 그리고 장애가 있는 경우 광 모듈(140)을 제어하여 제1 광 송수신기(141) 또는 제2 광 송수신기(142) 중 어느 하나의 광 송수신기를 이용하여 신호를 송수신하도록 스위치(130)와 전원 공급부(150)를 제어한다.The control unit 160 monitors whether there is a failure based on a detection signal transmitted from the optical module 140. The controller 130 controls the optical module 140 so that the switch 130 and the power supply unit 150 can transmit and receive signals using either the first optical transceiver 141 or the second optical transceiver 142. [ .

즉, 제어부(160)는 광 모듈(140)로부터 전송되는 신호를 토대로 광 모듈(140)에서 연속광 출력 장애를 감지하는 경우, 또는 비정상적인 탐지 신호가 발생하는 경우 등이 발생하는지 확인한다. 그리고 광 모듈(140)에 장애 발생을 인지하면, 광 모듈(140) 내 광 송수신기(141, 142)가 절체되도록 제어한다.That is, the control unit 160 determines whether a continuous optical output failure is detected or an abnormal detection signal is generated in the optical module 140 based on a signal transmitted from the optical module 140. [ When the optical module 140 detects a failure, the optical transceivers 141 and 142 in the optical module 140 are controlled to be switched.

멀티플렉서(170)는 광 모듈(140)의 제1 광 송수신기(141) 및 제2 광 송수신기(142)와 연동하며, 광 신호를 제1 광 송수신기(141) 또는 제2 광 송수신기(142) 중 어느 하나의 광 송수신기로 분기한다. 멀티플렉서(170)의 기능은 이미 알려진 사항으로, 본 발명의 실시예에서는 상세한 설명을 생략한다.The multiplexer 170 interlocks with the first optical transceiver 141 and the second optical transceiver 142 of the optical module 140 and transmits the optical signal to either the first optical transceiver 141 or the second optical transceiver 142 Branch to one optical transceiver. The function of the multiplexer 170 is already known, and a detailed description thereof will be omitted in the embodiment of the present invention.

본 발명의 제1 실시예에서는 제1 광 송수신기(141)와 제2 광 송수신기(142)를 포함하는 광 모듈(140)을 이중화로 설계하여, 장애가 감지되면 광 송수신기를 변경하여 광 신호를 송수신할 수 있도록 한다. 반면 본 발명의 제2 실시예에서는 PON 칩과 광 모듈을 모두 이중화로 설계하여 광모듈 장애뿐만 아니라 PON 칩의 장애에도 대응할 수 있도록 한다. 이에 대해 도 2를 참조로 설명한다.In the first embodiment of the present invention, the optical module 140 including the first optical transceiver 141 and the second optical transceiver 142 is designed to be redundant, and when a failure is detected, the optical transceiver is changed to transmit / receive an optical signal . On the other hand, in the second embodiment of the present invention, both the PON chip and the optical module are designed to be redundant so as to cope with the failure of the PON chip as well as the optical module failure. This will be described with reference to FIG.

도 2는 본 발명의 제2 실시예에 따른 단말의 구조도이다.2 is a structural diagram of a terminal according to a second embodiment of the present invention.

도 2에 도시된 바와 같이, 본 발명의 제2 실시예에 따른 단말(200)은 스위치(210), 광 모듈부(220), 제어부(230), 전원 공급부(240) 및 멀티플렉서(250)를 포함한다. 광 모듈부(220)는 제1 광 모듈부(220-1)와 제2 광 모듈부(220-2)를 포함하며, 각각의 광 모듈부(220-1, 220-2)는 PON 칩(221, 224), 광 송수신기(222, 225) 및 MAC 주소 저장부(223, 226)를 포함한다.2, the terminal 200 according to the second embodiment of the present invention includes a switch 210, an optical module unit 220, a controller 230, a power supply unit 240, and a multiplexer 250 . The optical module unit 220 includes a first optical module unit 220-1 and a second optical module unit 220-2 and each of the optical module units 220-1 and 220-2 includes a PON chip 221 and 224, optical transceivers 222 and 225, and MAC address storage units 223 and 226.

스위치(210)는 제어부(230)의 제어에 따라 제1 광 모듈부(220-1) 및 제2 광 모듈부(220-2) 중 어느 하나의 광 모듈부를 이용하여 광 신호를 송수신하도록 광 신호를 스위칭한다.The switch 210 is controlled by the controller 230 to transmit and receive optical signals using any one of the first optical module 220-1 and the second optical module 220-2, / RTI >

광 모듈부(220)의 제1 광 모듈부(220-1)는 제1 PON 칩(221), 제1 광 송수신기(222) 및 제1 MAC 주소 저장부(223)를 포함하고, 제2 광 모듈부(220-2)는 제2 PON 칩(224), 제2 광 송수신기(225) 및 제2 MAC 주소 저장부(226)를 포함한다. The first optical module unit 220-1 of the optical module unit 220 includes a first PON chip 221, a first optical transceiver 222 and a first MAC address storage unit 223, The module unit 220-2 includes a second PON chip 224, a second optical transceiver 225, and a second MAC address storage unit 226.

제1 PON 칩(221) 및 제2 PON 칩(224)은 각각의 PON 칩(221, 224)에서 출력되는 광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성한다. 그리고 생성한 링크 온 신호를 제어부(230)로 전달한다. 즉, PON 칩(221, 224)은 광통신에 연결되어 있는지 여부, 정상적으로 PON 칩(221, 224)이 부팅 되었는지 여부를 링크 온 신호로 생성하여 제어부(230)로 전달한다. 본 발명의 제2 실시예에서는 제1 광 모듈부(220-1) 또는 제2 광 모듈부(220-2) 중 어느 하나의 광 모듈부가 광통신에 연결되어 있는 경우, 광통신에 연결된 광 모듈부 내의 PON 칩이 활성화되는 것을 예로 하여 설명한다.The first PON chip 221 and the second PON chip 224 generate a link-on signal indicating whether or not the optical communication connection is output from each of the PON chips 221 and 224. And transmits the generated link-on signal to the controller 230. That is, the PON chips 221 and 224 generate a link-on signal to determine whether or not the PON chips 221 and 224 are normally connected to the optical communication, and transmit the signal to the controller 230. In the second embodiment of the present invention, when any one of the optical module units of the first optical module unit 220-1 or the second optical module unit 220-2 is connected to the optical communication, The PON chip is activated.

제1 광 송수신기(222)와 제2 광 송수신기(225)는 데이터를 송신하거나 수신하는 기능을 수행하며, 스위치(210)의 제어에 따라 제1 광 송수신기(222) 또는 제2 광 송수신기(225) 중 어느 하나의 광 송수신기가 데이터를 송수신한다. 이때, 제1 광 송수신기(222) 또는 제2 광 송수신기(225)는 제1 MAC 주소 저장부(223) 또는 제2 MAC 주소 저장부(226)에 저장되어 있는 MAC 주소를 이용하여 광 신호 송수신을 수행한다. The first optical transceiver 222 and the second optical transceiver 225 perform the function of transmitting or receiving data and are controlled by the first optical transceiver 222 or the second optical transceiver 225 under the control of the switch 210, The optical transceiver transmits and receives data. At this time, the first optical transceiver 222 or the second optical transceiver 225 transmits / receives optical signals using the MAC address stored in the first MAC address storage unit 223 or the second MAC address storage unit 226 .

그리고 제1 광 송수신기(222) 또는 제2 광 송수신기(225)는 각각 1490nm 파장의 광 신호를 송수신하면서, 탐지 신호(Signal Detect)를 발생하여 제어부(160)로 전달한다. 여기서 탐지 신호는 두 가지 신호로 구분되는데, 광 송수신기가 미리 설정된 시간 간격으로 전송할 광 신호가 있을 때 발생하는 송신 탐지 신호(Tx_SD)와, 광 모듈부(220-1, 220-2)가 송신 탐지 신호는 정상적으로 발생하나 기타의 이유로 인하여 장애가 생겼을 때 발생하는 비정상 탐지 신호가 있다.The first optical transceiver 222 or the second optical transceiver 225 transmits and receives optical signals having a wavelength of 1490 nm and transmits a detection signal to the controller 160. Here, the detection signal is divided into two signals. The detection signal is composed of a transmission detection signal Tx_SD generated when the optical transceiver has optical signals to be transmitted at predetermined time intervals, and a transmission detection signal Tx_SD generated by the optical module units 220-1 and 220-2. There is an abnormal detection signal that occurs when a signal occurs normally but a fault occurs due to other reasons.

송신 탐지 신호의 경우, 단말(200)이 광 신호를 전송할 시간이 미리 정해져 있기 때문에, 해당 시간 이후에도 송신 탐지 신호가 계속적으로 발생할 경우, 광 송수신기에 이상이 발생한 것으로 판단할 수 있다. 이는, 하나의 OLT에 다수의 광 통신 단말이 연결되어 있으며, 다수의 단말들은 각각 자신에게 할당된 시간에만 OLT로 광 신호를 전송할 수 있다. 따라서 송신 탐지 신호가 계속 발생한다는 것은 단말(200)이 OLT로 전송하는 계속적으로 광 신호를 전송한다는 것을 의미하게 되므로, 문제가 발생하였음을 알 수 있게 된다.In the case of the transmission detection signal, since the time for the terminal 200 to transmit the optical signal is predetermined, it can be determined that an abnormality has occurred in the optical transceiver when the transmission detection signal continuously occurs after the time. This is because a plurality of optical communication terminals are connected to one OLT, and a plurality of terminals can transmit an optical signal to the OLT only at a time allocated to each of them. Therefore, the continuation of the transmission detection signal means that the terminal 200 continuously transmits an optical signal to be transmitted to the OLT, so that it can be known that a problem has occurred.

비정상 탐지 신호는 단말(200)이 광 신호를 송수신하던 중에 광 모듈부(220-1, 220-2)에 열이 발생하거나 전기적인 쇼크 등의 원인으로 광 송수신기(222, 225)가 비정상 상태가 되면, 광 송수신기는 NOK를 발생한다. 이때의 NOK를 비정상 탐지 신호라 지칭한다. 광 송수신기(222, 225)는 미리 설정한 시간 간격으로 비정상 탐지 신호 또는 정상 상태임을 알리는 OK 신호를 발생하여 제어부(230)로 전달한다.The abnormal detection signal indicates that the optical transceivers 222 and 225 are in an abnormal state due to the occurrence of heat in the optical module units 220-1 and 220-2 or an electric shock while the terminal 200 is transmitting / , The optical transceiver generates a NOK. The NOK at this time is referred to as an abnormal detection signal. The optical transceivers 222 and 225 generate an abnormal detection signal or an OK signal indicating that the optical transceiver is in a normal state at a predetermined time interval, and transmit the signal to the controller 230.

제1 광 송수신기(222)와 제2 광 송수신기(225)가 두 개의 탐지 신호를 발생하는 것은 이미 알려진 사항으로, 발생 방법 등에 대해서는 상세한 설명을 생략한다. It is already known that the first optical transceiver 222 and the second optical transceiver 225 generate two detection signals, and a detailed description thereof will be omitted.

제1 MAC 주소 저장부(223) 및 제2 MAC 주소 저장부(226)는 단말(200)에 할당된 MAC 주소를 저장하고 관리한다. 본 발명의 실시예에서는 광 모듈이 제1 광 모듈부(220-1)에서 제2 광 모듈부(220-2)로 절체되더라도 동일한 MAC 주소를 이용하여 광 신호를 송수신하는 것을 예로 하여 설명한다. The first MAC address storage unit 223 and the second MAC address storage unit 226 store and manage MAC addresses allocated to the AT 200. [ Although the optical module is transferred from the first optical module 220-1 to the second optical module 220-2 in the embodiment of the present invention, transmission and reception of optical signals using the same MAC address will be described as an example.

따라서 제1 MAC 주소 저장부(223) 및 제2 MAC 주소 저장부(226)에 저장되어 있는 MAC 주소는 동일한 MAC 주소 인 것으로 설명한다. 본 발명의 실시예에서는 각각의 MAC 주소 저장부가 제1 광 모듈부(220-1) 및 제2 광 모듈부(220-2)에 각각 구비되어 있는 것을 예로 하여 설명하나, 광 모듈부(220)에 하나의 MAC 주소 저장부가 구비되고 제1 광 모듈부(220-1) 및 제2 광 모듈부(220-2)가 하나의 MAC 주소 저장부를 공유하여 사용할 수도 있다.Accordingly, it is assumed that the MAC addresses stored in the first MAC address storage unit 223 and the second MAC address storage unit 226 are the same MAC address. In the embodiment of the present invention, each MAC address storage unit is provided in the first optical module unit 220-1 and the second optical module unit 220-2, The first optical module unit 220-1 and the second optical module unit 220-2 may share one MAC address storage unit.

전원 공급부(240)는 제어부(230)의 제어를 통해 단말(100)에 전원을 제공한다. 이때, 단말(200)에 제공되는 전원 중 광 모듈부(220)의 제1 광 모듈부(220-1) 및 제2 광 모듈부(220-2)로 제공되는 전원의 온/오프(On/Off)를 통해 광 모듈부(220)가 절체되도록 한다. The power supply unit 240 provides power to the terminal 100 under the control of the controller 230. At this time, on / off of the power provided to the first optical module unit 220-1 and the second optical module unit 220-2 of the optical module unit 220 among power sources provided to the terminal 200, Off of the optical module unit 220.

제어부(230)는 광 모듈부(220)로부터 전송되는 탐지 신호 또는 링크 온 신호를 토대로 PON 칩 또는 광 송수신기에 장애가 있는지 감시한다. 그리고 장애가 있는 경우 광 모듈부(220)를 제어하여 제1 PON 칩(221) 또는 제2 PON 칩(224) 및 제1 광 송수신기(222) 또는 제2 광 송수신기(225) 중 어느 하나의 광 송수신기를 이용하여 신호를 송수신하도록 스위치(210)를 제어한다.The control unit 230 monitors the PON chip or the optical transceiver for a failure based on a detection signal or a link-on signal transmitted from the optical module unit 220. The optical PON chip 221 or the second PON chip 224 and the first optical transceiver 222 or the second optical transceiver 225 may be controlled by controlling the optical module unit 220. In this case, And controls the switch 210 to transmit and receive signals.

즉, 제어부(230)는 제1 광 모듈부(220-1) 또는 제2 광 모듈부(220-2)로부터 전송되는 신호들을 토대로 광 모듈부(220)의 제1 광 송수신기(222) 또는 제2 광 송수신기(224)에서 연속광 출력 장애를 감지하는 경우, 또는 비정상적인 탐지 신호가 발생하는 경우, 또는 PON 칩(221, 224)이 광통신에 연결되어 있지 않거나 정상적으로 부팅되지 않은 경우 등이 발생하는지 확인한다. 그리고 제1 광 모듈부(220-1) 또는 제2 광 모듈부(220-2)에 장애 발생을 인지하면, 광 모듈부(220-1, 220-2)가 절체되도록 제어한다.That is, the controller 230 controls the first optical transceiver 222 or the first optical transceiver 222 of the optical module unit 220 based on the signals transmitted from the first optical module 220-1 or the second optical module 220-2. 2 optical transceiver 224 detects a continuous optical output failure or when an abnormal detection signal is generated or when the PON chips 221 and 224 are not connected to optical communication or are not booted normally do. When the first optical module unit 220-1 or the second optical module unit 220-2 recognizes a failure, the optical module units 220-1 and 220-2 are controlled to be switched.

멀티플렉서(250)는 광 모듈부(220)와 연동하며, 광 신호의 파장을 다중화하여 전송한다. 멀티플렉서(250)의 기능은 이미 알려진 사항으로, 본 발명의 실시예에서는 상세한 설명을 생략한다.The multiplexer 250 interlocks with the optical module 220, multiplexes the wavelength of the optical signal, and transmits the multiplexed signal. The function of the multiplexer 250 is already known, and a detailed description thereof will be omitted in the embodiment of the present invention.

이상에서 설명한 광 통신 단말을 이용하여 신호를 송수신하는 방법에 대해 도 3을 참조로 설명한다. 본 발명의 실시예에서는 제2 실시예에 따른 단말(200)이 신호를 송수신하는 방법에 대해 설명하며, 제1 실시예에 따른 단말(100)에서도 이와 유사하게 신호를 송수신한다. 그리고 단말(200)의 제1 광 모듈부(220-1)가 기본적으로 활성화되어 신호를 송신하는 것을 예로 하여 설명하나, 반드시 이와 같이 한정되는 것은 아니다.A method for transmitting and receiving signals using the optical communication terminal described above will be described with reference to FIG. In the embodiment of the present invention, a method of transmitting and receiving a signal by the terminal 200 according to the second embodiment is described, and the terminal 100 according to the first embodiment also transmits and receives signals similarly. Although the first optical module unit 220-1 of the terminal 200 is basically activated and transmits a signal, it is not limited thereto.

도 3은 본 발명의 실시예에 따른 신호 송신 방법에 대한 흐름도이다.3 is a flowchart of a signal transmission method according to an embodiment of the present invention.

도 3에 도시된 바와 같이, 제1 PON 칩(221)과 제1 광 송수신기(222)를 포함하는 제1 광 모듈부(220-1)가 신호를 송수신한다(S100). 이때, 제1 PON 칩(221)과 제1 광 송수신기(222)는 각각 링크 온 신호나 두 개의 탐지 신호를 생성하여 제어부(230)로 전달한다. As shown in FIG. 3, the first optical module 220-1 including the first PON chip 221 and the first optical transceiver 222 transmits and receives a signal (S100). At this time, the first PON chip 221 and the first optical transceiver 222 generate a link-on signal or two detection signals, respectively, and transmit the link-on signal and the two detection signals to the controller 230.

여기서 제1 PON 칩(221)이 전송하는 링크 온 신호에는 제1 PON 칩(221)이 광통신에 연결되어 있는지 여부, 정상적으로 제1 PON 칩(221)이 부팅 되었는지 여부 등을 포함한다. 그리고 제1 광 송수신기(222)가 전송하는 탐지 신호에는 광 송신 신호 강도 정보, 광 수신 신호 강도 정보, 광 링크 연결 상태 정보 등이 포함되어 있다.Here, the link-on signal transmitted by the first PON chip 221 includes whether or not the first PON chip 221 is connected to the optical communication, whether or not the first PON chip 221 is normally booted, and the like. The detection signal transmitted by the first optical transceiver 222 includes optical transmission signal strength information, optical reception signal strength information, optical link connection status information, and the like.

제어부(230)는 S100 단계에서 제1 광 모듈부(220-1)가 전송한 신호를 수신하고(S110), 수신한 신호를 토대로 제1 광 모듈부(220-1)에 장애가 있는지 여부를 진단한다(S120). 만약 제1 PON 칩(221) 또는 제1 광 송수신기(222) 중 어느 하나 또는 둘 다 장애가 발생하였는지 여부를 판단하고(S130), 장애가 발생하지 않았다면 S100 단계 이후의 절차를 반복한다.The control unit 230 receives the signal transmitted from the first optical module unit 220-1 in step S100 (S110), and determines whether the first optical module unit 220-1 has a failure based on the received signal (S120). It is determined whether or not any one or both of the first PON chip 221 and the first optical transceiver 222 has failed (S130). If the failure has not occurred, the procedure after step S100 is repeated.

그러나, 제어부(230)가 제1 광 모듈부(220-1)에 장애가 발생한 것으로 확인하면, 제어부(230)는 제1 광 모듈부(220-1)에서 제2 광 모듈부(220-2)로 광 모듈 절체가 이루어지도록 제어한다(S140). 그리고 스위치(210)는 제1 광 모듈부(220-1)를 통해 신호를 송수신하던 것을 제2 광 모듈부(220-2)를 통해 신호를 송수신하도록 스위칭하고, 스위칭 후에는 제2 광 모듈부(220-2)를 통해 신호를 송수신한다(S150).However, if the control unit 230 determines that the first optical module unit 220-1 has failed, the controller 230 controls the second optical module unit 220-2 in the first optical module unit 220-1, (S140). The switch 210 switches the signal transmission / reception through the first optical module unit 220-1 to transmit / receive a signal through the second optical module unit 220-2, and after switching, (S150).

이때, S120 단계에서 제어부(230)가 장애 진단을 수행할 때, 제어부(230)는 제1 광 모듈부(220-1)에서 연속광 출력에 장애가 있는지 여부, 또는 제1 광 모듈부(220-1)로부터 비정상 탐지 신호가 수신되었는지 여부를 확인한다. 또한, 제어부(230)는 제1 PON 칩(221)으로부터 수신한 링크 온 신호를 토대로 PON 칩에 장애가 발생하였는지 여부를 확인하거나 정상적으로 동작하지 않았는지 여부를 확인하여 장애 진단을 수행한다.At this time, when the control unit 230 performs the fault diagnosis in step S120, the controller 230 determines whether there is a failure in the continuous optical output in the first optical module unit 220-1, 1) to determine whether an abnormal detection signal has been received. Also, the controller 230 checks whether or not the PON chip has failed, based on the link-on signal received from the first PON chip 221, or checks whether the PON chip has failed or not to perform the failure diagnosis.

먼저 제어부(230)가 연속광 출력에 장애가 있는지 여부를 판단하는 것은, 제1 광 모듈부(220-1)의 제1 광 송수신기(222)가 연속 광 출력 상태를 표시하는 광 송신 신호 강도 정보, 또는 도 4에 나타낸 Tx_SD 신호인 송신 탐지 신호를 이용한다. 즉, 단말(200)은 미리 설정된 시간에 데이터를 제1 광 모듈부(220-1)를 통해 송신하며, 데이터를 송신할 때 송신 탐지 신호를 발생한다. 이때, 미리 설정된 시간 간격이 아니라 연속적으로 송신 탐지 신호가 발생한다는 것은 제1 광 송수신기(222)에 문제가 발생하였음을 의미하는 것이므로, 제어부(230)는 제1 광 모듈부(220-1)에 장애가 발생한 것으로 인지한다.First, the control unit 230 determines whether or not there is a failure in the continuous optical output based on the optical transmission signal strength information indicating the continuous optical output state by the first optical transceiver 222 of the first optical module unit 220-1, Or a transmit detection signal which is the Tx_SD signal shown in Fig. That is, the terminal 200 transmits data through the first optical module unit 220-1 at a preset time, and generates a transmission detection signal when transmitting data. In this case, the fact that a transmission detection signal is continuously generated instead of a predetermined time interval means that a problem has occurred in the first optical transceiver 222, so that the controller 230 controls the first optical module 220-1 We recognize that a failure has occurred.

연속광 출력에 장애가 있는지 여부에 대해 도 4를 참조로 함께 설명한다.Whether there is a failure in the continuous light output will be described together with reference to Fig.

도 4는 본 발명의 실시예에 따른 동작 예시도이다.4 is a diagram illustrating an operation according to an embodiment of the present invention.

도 4에 도시된 바와 같이, 단말(200)의 제어부(230)는 제1 광 모듈(220-1)의 제1 광 송수신기(222)에서 발생하는 Tx_SD 신호를 이용하여 연속적인 비정상 광 출력 상태를 감지한다. 즉, 단말(200)은 미리 설정된 시간 간격으로 Tx_SD 신호를 생성하는데, Tx_SD 신호는 광 송수신기가 송신할 광 신호가 있음을 나타내는 신호이다. 4, the controller 230 of the terminal 200 uses the Tx_SD signal generated by the first optical transceiver 222 of the first optical module 220-1 to output a continuous abnormal optical output state Detection. That is, the terminal 200 generates a Tx_SD signal at a predetermined time interval, and the Tx_SD signal is a signal indicating that there is an optical signal to be transmitted by the optical transceiver.

하나의 광 선로에 연결되어 있는 복수의 단말들이 각각의 단말들에 할당된 시간에만 광 신호를 전송할 수 있기 때문에, Tx_SD 신호는 미리 단말(200)에 할당된 시간에만 발생한다. 따라서, Tx_SD 신호가 지속적으로 발생한다는 것은 광 모듈(140)에 문제가 발생하였음을 알려주는 것이다.Since a plurality of terminals connected to one optical line can transmit optical signals only at the time allocated to each of the terminals, the Tx_SD signal occurs only at a time allocated to the terminal 200 in advance. Accordingly, the continuous generation of the Tx_SD signal indicates that the optical module 140 has a problem.

TX_en은 단말(200)에 처리할 데이터가 있으니 광 신호를 전송할 것을 요청하는 신호이고, TX_en이 발생된 뒤 미리 설정된 시간 이후에 Tx_SD 신호가 발생된다. TD+/TD-은 데이터가 실린 신호로, 음영 처리된 부분에 실제 데이터가 실린다.TX_en is a signal requesting the terminal 200 to transmit an optical signal because there is data to be processed, and a Tx_SD signal is generated after a predetermined time after TX_en is generated. TD + / TD- is the signal with the data, and the actual data is loaded in the shaded area.

한편 도 3의 장애 진단에 대해 이어 설명하면, 제어부(230)는 제1 광 송수신기(222)로부터 비정상 탐지 신호를 수신하였다면, 제1 광 송수신기(222)에 장애가 발생한 것으로 인지한다. 비정상 탐지 신호는 광 송수신기가 서비스를 위하여 광 신호를 송수신하던 중에, 열이나 전기적 쇼크 등과 같은 이유로 광 송수신기가 비정상 상태가 되면 자체적으로 발생하는 신호이다. 3, the controller 230 recognizes that a failure has occurred in the first optical transceiver 222 if the first optical transceiver 222 receives an abnormal detection signal. The abnormal detection signal is a self-generated signal when the optical transceiver is in an abnormal state due to reasons such as heat or electric shock while the optical transceiver is transmitting or receiving optical signals for service.

또한, 제어부(230)는 수신 탐지 신호(Rx_SD)는 정상적으로 발생하더라도, 제1 PON 칩(221)에서 발생한 링크 온 신호를 토대로 제1 PON 칩(221)에 문제가 있는지 여부를 확인한다. PON 칩이 정상적으로 동작하지 않거나 광통신에 연결되지 않은 경우 장애가 발생한 것으로 판단하여 제2 광 모듈부(220-2)로 절체한다. In addition, the controller 230 determines whether there is a problem with the first PON chip 221 based on the link-on signal generated by the first PON chip 221, even if the reception detection signal Rx_SD normally occurs. If the PON chip does not operate normally or is not connected to the optical communication, it is determined that a failure has occurred and the second optical module unit 220-2 is switched.

도 3의 S150 단계를 통해 광 모듈부가 절체된다 하더라도, MAC 주소는 MAC 주소 저장부에 동일하게 저장되어 있는 MAC 주소를 이용하기 때문에, 상위 망에서 단말(200)의 관리가 용이하도록 한다.Even if the optical module unit is switched through step S150 in FIG. 3, since the MAC address is stored in the MAC address storage unit, the management of the terminal 200 is facilitated in the upper network.

이상에서 본 발명의 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, It belongs to the scope of right.

Claims (10)

광통신 단말에 있어서,
광 신호를 송수신하며, 광 신호를 송수신하며 송신 탐지 신호 또는 비정상 탐지 신호를 발생하는 광 모듈;
상기 광 모듈에서 발생한 탐지 신호를 토대로 상기 광 모듈의 이상 여부를 판단하고, 광 모듈에 이상이 발생하면 광 모듈 내에 포함된 광 송수신기를 절체하도록 제어하는 제어부; 및
상기 제어부의 제어를 토대로 상기 광 모듈 내의 광 송수신기를 절체하는 스위치
를 포함하며,
상기 광 모듈은,
광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제1 광 송수신기; 및
상기 제1 광 송수신기에 이상이 발생하면, 상기 제어부의 제어를 통해 오프 상태에서 온 상태로 상태가 변화되어 광 신호를 송수신하는 제2 광 송수신기
를 포함하는 광통신 단말.
In an optical communication terminal,
An optical module that transmits and receives an optical signal, transmits and receives an optical signal, and generates a transmission detection signal or an abnormal detection signal;
A controller for determining whether the optical module is abnormal based on a detection signal generated in the optical module, and controlling the optical transceiver included in the optical module to be switched if an error occurs in the optical module; And
A switch for switching the optical transceiver in the optical module on the basis of the control of the control unit;
/ RTI >
The optical module includes:
A first optical transceiver for transmitting and receiving optical signals and generating either the transmission detection signal or the abnormal detection signal; And
A second optical transceiver for transmitting and receiving an optical signal when an error occurs in the first optical transceiver, the optical transceiver being changed from an off state to an on state through control of the controller,
.
제1항에 있어서,
상기 스위치 및 제어부와 연동하며, 광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 PON(Passive Optical Network) 칩; 및
상기 제1 광 송수신기 및 제2 광 송수신기와 연동하며, 광 신호의 파장을 제1 광 송수신기 또는 제2 광 송수신기 중 어느 하나로 분기하는 멀티플렉서
를 포함하는 광통신 단말.
The method according to claim 1,
A passive optical network (PON) chip interlocked with the switch and the control unit, generating a link-on signal indicating whether an optical communication connection is established, and transmitting the generated link-on signal to the control unit; And
A multiplexer coupled to the first optical transceiver and the second optical transceiver and for branching the wavelength of the optical signal to either the first optical transceiver or the second optical transceiver,
.
제2항에 있어서,
상기 단말로 전원을 제공하며, 상기 제1 광 송수신기 또는 제2 광 송수신기 중 어느 하나의 광 송수신기에 전원을 제공하여, 상기 광 모듈이 절체되도록 하는 전원 공급부
를 더 포함하는 광통신 단말.
3. The method of claim 2,
A power supply unit for providing power to the terminal and supplying power to any one of the first optical transceiver and the second optical transceiver,
Further comprising:
광통신 단말에 있어서,
광통신 연결 여부를 알리는 링크 온(Link On) 신호를 생성하고, 광 신호를 송수신하며 송신 탐지 신호 또는 비정상 탐지 신호를 발생하는 제1 광 모듈부;
상기 제1 광 모듈부에 이상이 발생하면, 광 신호를 대신 송수신하며, 상기 리이크 온 신호 및 상기 송신 탐지 신호, 비정상 탐지 신호를 발생하는 제2 광 모듈부;
상기 제1 광 모듈부에서 생성되거나 발생한 링크 온 신호와 송신 탐지 신호 또는 비정상 탐지 신호를 토대로 상기 제1 광 모듈부에 이상이 발생하였는지 판단하고, 상기 제1 광 모듈부에 이상이 발생하면 상기 제2 광 모듈부를 통해 광 신호가 송수신되도록 제어하는 제어부; 및
상기 제어부의 제어에 따라 상기 제1 광 모듈부 또는 상기 제2 광 모듈부 중 어느 하나의 광 모듈부를 이용하여 광 신호를 송수신하도록 스위칭하는 스위치
를 포함하는 광통신 단말.
In an optical communication terminal,
A first optical module unit for generating a link-on signal indicating whether or not an optical communication connection is established, transmitting and receiving an optical signal, and generating a transmission detection signal or an abnormal detection signal;
A second optical module unit for transmitting / receiving an optical signal instead of the first optical module unit when an error occurs in the first optical module unit, and generating the lock-on signal, the transmission detection signal, and the abnormal detection signal;
Wherein the control unit determines whether an abnormality has occurred in the first optical module unit based on a link-on signal, a transmission detection signal, or an abnormality detection signal generated or generated in the first optical module unit, A control unit for controlling the optical signals to be transmitted and received through the two optical module units; And
A switch for switching to transmit and receive an optical signal using one of the first optical module unit and the second optical module unit under the control of the control unit;
.
제4항에 있어서,
상기 제1 광 모듈부는,
광통신 연결 여부를 알리는 링크 온 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 제1 PON 칩;
광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제1 광 송수신기; 및
상기 단말에 할당되어 있는 MAC 주소를 저장하고 관리하는 제1 MAC 주소 저장부
를 포함하는 광통신 단말.
5. The method of claim 4,
Wherein the first optical module unit comprises:
A first PON chip for generating a link-on signal indicating whether the optical communication connection is established and for transmitting the generated link-on signal to the control section;
A first optical transceiver for transmitting and receiving optical signals and generating either the transmission detection signal or the abnormal detection signal; And
A first MAC address storage unit for storing and managing a MAC address allocated to the UE,
.
제5항에 있어서,
상기 제2 광 모듈부는,
광통신 연결 여부를 알리는 링크 온 신호를 생성하고, 생성한 링크 온 신호를 상기 제어부로 전달하는 제2 PON 칩;
상기 제1 광 모듈부에 이상이 발생하면 상기 제1 광 모듈부를 대신하여 광 신호를 송수신하며, 상기 송신 탐지 신호 또는 비정상 탐지 신호 중 어느 하나를 발생하는 제2 광 송수신기; 및
상기 단말에 할당되어 있으며, 상기 제1 MAC 주소 저장부에 저장된 MAC 주소와 동일한 MAC 주소를 저장하고 관리하는 제2 MAC 주소 저장부
를 포함하는 광통신 단말.
6. The method of claim 5,
Wherein the second optical module unit comprises:
A second PON chip for generating a link-on signal indicating whether or not the optical communication connection is established, and transmitting the generated link-on signal to the control unit;
A second optical transceiver that transmits and receives optical signals instead of the first optical module unit when an error occurs in the first optical module unit and generates either the transmission detection signal or the abnormal detection signal; And
A second MAC address storage unit which is allocated to the terminal and stores and manages a MAC address identical to the MAC address stored in the first MAC address storage unit,
.
제4항에 있어서,
상기 제1 광 모듈부 및 제2 광 모듈부와 연동하며, 광 신호의 파장을 제1 광 모듈부 또는 제2 광 모듈부 중 어느 하나로 분기하는 멀티플렉서; 및
상기 단말로 전원을 제공하며, 상기 제1 광 모듈부 또는 제2 광 모듈부 중 어느 하나의 광 모듈부에 전원을 제공하여, 광 모듈부가 절체되도록 하는 전원 공급부
를 포함하는 광통신 단말.
5. The method of claim 4,
A multiplexer interlocked with the first optical module unit and the second optical module unit and branching the wavelength of the optical signal to either the first optical module unit or the second optical module unit; And
A power supply unit for providing power to the terminal and supplying power to any one of the first optical module unit and the second optical module unit,
.
이중화 기능을 갖는 광통신 단말이 신호를 전송하는 방법에 있어서,
제1 광 모듈부를 통해 광 신호를 송수신하는 단계;
상기 제1 광 모듈부로부터 발생하는 신호를 토대로, 상기 제1 광 모듈부에 장애가 있는지 여부를 확인하는 단계;
상기 제1 광 모듈부에 장애가 있는 것으로 확인하면, 상기 제1 광 모듈부에서 제2 광 모듈부로 절체하도록 제어하는 단계; 및
상기 제2 광 모듈부를 통해 광 신호를 송수신하는 단계
를 포함하는 신호 전송 방법.
A method for transmitting a signal by an optical communication terminal having a redundancy function,
Transmitting and receiving an optical signal through the first optical module unit;
Determining whether the first optical module unit has a fault based on a signal generated from the first optical module unit;
Controlling the first optical module unit to switch from the first optical module unit to the second optical module unit if it is determined that the first optical module unit is faulty; And
Transmitting and receiving an optical signal through the second optical module unit
/ RTI >
제8항에 있어서,
상기 장애가 있는지 여부를 확인하는 단계는,
상기 제1 광 모듈부로부터 상기 제1 광 모듈부 내의 광 송수신기로부터 비정상 탐지 신호가 발생하였는지 확인하는 단계;
상기 광 송수신기로부터 연속적으로 광 신호가 출력되고 있음을 알리는 송신 탐지 신호가 발생하였는지 확인하는 단계; 및
상기 제1 광 모듈부로부터 비정상 탐지 신호 또는 송신 탐지 신호 중 어느 하나가 발생하였다면, 상기 제1 광 모듈부에 장애가 있는 것으로 확인하는 단계
를 포함하는 신호 전송 방법.
9. The method of claim 8,
Wherein the step of determining whether the fault is present comprises:
Checking whether an abnormal detection signal is generated from the optical transceiver in the first optical module unit from the first optical module unit;
Confirming whether a transmission detection signal indicating that an optical signal is continuously output from the optical transceiver is generated; And
Confirming that the first optical module unit has a fault if any one of the abnormality detection signal or the transmission detection signal is generated from the first optical module unit
/ RTI >
제9항에 있어서,
상기 제1 광 모듈부 내의 PON 칩으로부터 수신한 링크 온 신호를 토대로 상기 제1 광 모듈부에 장애가 있는지 확인하는 단계
를 더 포함하는 신호 전송 방법.
10. The method of claim 9,
Checking whether the first optical module unit has a fault based on a link-on signal received from the PON chip in the first optical module unit
Further comprising the steps of:
KR1020140075844A 2014-06-20 2014-06-20 Optical terminal redundancy and method for signal transmitting using the same KR20150145600A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140075844A KR20150145600A (en) 2014-06-20 2014-06-20 Optical terminal redundancy and method for signal transmitting using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140075844A KR20150145600A (en) 2014-06-20 2014-06-20 Optical terminal redundancy and method for signal transmitting using the same

Publications (1)

Publication Number Publication Date
KR20150145600A true KR20150145600A (en) 2015-12-30

Family

ID=55087967

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140075844A KR20150145600A (en) 2014-06-20 2014-06-20 Optical terminal redundancy and method for signal transmitting using the same

Country Status (1)

Country Link
KR (1) KR20150145600A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102451708B1 (en) 2021-06-21 2022-10-07 (주)자람테크놀로지 PON transceiver equipped with the optical cable fault diagnosis and redundancy function, and optical cable fault diagnosis and redundancy providing method using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102451708B1 (en) 2021-06-21 2022-10-07 (주)자람테크놀로지 PON transceiver equipped with the optical cable fault diagnosis and redundancy function, and optical cable fault diagnosis and redundancy providing method using the same

Similar Documents

Publication Publication Date Title
EP2164189B1 (en) Passive optical network system and fault determination method
US8538261B2 (en) Immediate protection scheme for passive optical network
US8917990B2 (en) Fast protection scheme for passive optical network
EP3065321B1 (en) Communication method, apparatus and system for passive optical network
RU2580672C2 (en) Protection for fibre-optic access networks
AU2014385716B2 (en) Link switching method, device, and system
EP2556680B1 (en) Method and arrangements for protection in an optical network
US20140178067A1 (en) Data communication method in optical network system, optical network unit and system
JP2008160583A (en) Redundancy optical access system
KR101360848B1 (en) Optical network system
JP5335952B2 (en) Redundant transmission system in point-multipoint system
EP1887717B1 (en) Optical transmission method and device
JP2012049711A (en) Station side termination device and optical communication system
KR20150145600A (en) Optical terminal redundancy and method for signal transmitting using the same
US9585032B2 (en) Method and arrangement for providing data plane redundancy
JP2011259064A (en) Optical communication network system, slave station communication device and master station communication device
JP2016143950A (en) PON system
US20220224595A1 (en) Optical communication device and control method
JP6693521B2 (en) Home-side device, PON system, and home-side device control method
JP5089748B2 (en) Subscriber premises optical line termination equipment
WO2018173166A1 (en) Station-side device and communication method
WO2015022807A1 (en) Master station device, control device, communication system, and communication method
JP6181509B2 (en) Termination device, transmission device, and reception device
KR101459606B1 (en) Network equipment for duplexing optical network
JP2000312169A (en) Communication system, method therefor and communication station unit

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination