KR20150045883A - 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 Download PDF

Info

Publication number
KR20150045883A
KR20150045883A KR20140123449A KR20140123449A KR20150045883A KR 20150045883 A KR20150045883 A KR 20150045883A KR 20140123449 A KR20140123449 A KR 20140123449A KR 20140123449 A KR20140123449 A KR 20140123449A KR 20150045883 A KR20150045883 A KR 20150045883A
Authority
KR
South Korea
Prior art keywords
gas
supplying
film
process gas
substrate
Prior art date
Application number
KR20140123449A
Other languages
English (en)
Other versions
KR101680940B1 (ko
Inventor
요시토모 하시모토
요시로 히로세
다츠루 마츠오카
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150045883A publication Critical patent/KR20150045883A/ko
Application granted granted Critical
Publication of KR101680940B1 publication Critical patent/KR101680940B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 소정 원소, 탄소 및 질소를 포함하는 막을 형성할 때, 막 중에서의 조성의 제어성을 높일 수 있는 반도체 장치의 제조 방법을 제공한다. 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법은 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 소정 원소, 탄소 및 질소를 포함하는 막을 기판 위에 형성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM}
본 발명은 기판 위에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램에 관한 것이다.
반도체 장치(디바이스)의 제조 공정 중에, 실리콘 웨이퍼 등의 기판 위에 실리콘 질화막(SiN막) 등의 실리콘계 절연막, 즉 소정 원소로서의 실리콘을 포함하는 절연막을 형성하는 공정이 있다. SiN막은 절연성, 내식성, 유전성, 막 스트레스 제어성 등이 우수하여, 절연막이나 마스크막, 전하 축적막, 스트레스 제어막으로서 널리 사용되고 있다. 또한, 에칭 내성의 향상을 목적으로, 이 절연막에 카본(C)을 첨가하여, 실리콘 탄질화막(SiCN막)을 형성하는 기술도 알려져 있다.
그러나, SiN막 등의 막 중에 C를 고농도로 첨가하는 것은 곤란하다. 본 발명은, 소정 원소, 탄소 및 질소를 포함하는 막을 형성할 때에, 막 중에서의 조성의 제어성을 높이는 것이 가능한 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램을 제공한다.
본 발명의 일 형태에 의하면, 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 제1 가스 공급계와, 상기 처리실 내에 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 제2 가스 공급계와, 상기 처리실 내에 탄소를 포함하는 제3 처리 가스를 공급하는 제3 가스 공급계와, 상기 처리실 내의 상기 기판에 대하여 상기 제1 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제2 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제3 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계 및 상기 제3 가스 공급계를 제어하도록 구성되는 제어부를 갖는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면, 처리실 내의 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램이 제공된다.
본 발명에 따르면, 소정 원소, 탄소 및 질소를 포함하는 막을 형성할 때에, 막 중에서의 조성의 제어성을 높이는 것이 가능하게 된다.
도 1은 본 발명의 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면이다.
도 3은 본 발명의 실시 형태에서 적절하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4는 본 발명의 일 실시 형태에서의 성막 플로우를 도시하는 도면이다.
도 5는 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍 및 그 변형예를 도시하는 도면이다.
도 6은 실시예에 관한 SiCN막의 막 두께 분포, 면내 평균 막 두께, 웨이퍼면 내에서의 막 두께 균일성, 굴절률의 측정 결과를 도시하는 도면이다.
도 7은 실시예에 관한 SiCN막의 RMS 조도의 측정 결과를 도시하는 도면이다.
도 8은 실시예에 관한 SiCN막의 XPS 측정 결과를 도시하는 도면이다.
도 9는 실시예에 관한 SiCN막의 불화수소 내성의 측정 결과를 도시하는 도면이다.
도 10은 본 발명의 다른 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍 및 그 변형예를 도시하는 도면이다.
<본 발명의 일 실시 형태>
이하, 본 발명의 일 실시 형태에 대해서, 도 1 내지 도 3을 사용하여 설명한다.
(1) 기판 처리 장치의 구성
도 1에 도시한 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 통 중공부에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬한 상태에서 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 노즐(249a 내지 249c)이 반응관(203)의 하부를 관통하도록 설치되어 있다. 노즐(249a 내지 249c)에는, 가스 공급관(232a 내지 232c)이 각각 접속되어 있다. 이와 같이, 반응관(203)에는, 3개의 노즐(249a 내지 249c)과, 3개의 가스 공급관(232a 내지 232c)이 설치되어 있고, 처리실(201) 내에 복수의 종류, 여기에서는 3종류의 가스를 공급할 수 있도록 구성되어 있다.
단, 본 실시 형태에 따른 처리로(202)는 상술한 형태에 한정되지 않는다. 예를 들어, 반응관(203)의 하방에, 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을, 매니폴드의 측벽을 관통하도록 설치할 수도 있다. 이 경우, 매니폴드에, 후술하는 배기관(231)을 더 설치하도록 해도 된다. 이 경우에도, 배기관(231)을, 매니폴드가 아니라, 반응관(203)의 하부에 설치할 수도 있다. 이와 같이, 처리로(202)의 노구부를 금속제로 하고, 이 금속제의 노구부에 노즐 등을 설치하도록 해도 된다.
가스 공급관(232a 내지 232c)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a 내지 241c) 및 개폐 밸브인 밸브(243a 내지 243c)가 각각 설치되어 있다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다 하류측에는, 불활성 가스를 공급하는 가스 공급관(232d 내지 232f)이 각각 접속되어 있다. 가스 공급관(232d 내지 232f)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241d 내지 241f) 및 개폐 밸브인 밸브(243d 내지 243f)가 각각 설치되어 있다.
가스 공급관(232a 내지 232c)의 선단부에는, 상술한 노즐(249a 내지 249c)이 각각 접속되어 있다. 노즐(249a 내지 249c)은, 도 2에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200)의 사이에서의 원환 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 각각 설치되어 있다. 즉, 노즐(249a 내지 249c)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 노즐(249a 내지 249c)은, L자형의 롱 노즐로서 각각 구성되어 있고, 이들의 각 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 이들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승되도록 설치되어 있다. 노즐(249a 내지 249c)의 측면에는, 가스를 공급하는 가스 공급 구멍(250a 내지 250c)이 각각 형성되어 있다. 가스 공급 구멍(250a 내지 250c)은 반응관(203)의 중심을 향하도록 각각 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250a 내지 250c)은, 반응관(203)의 하부로부터 상부에 걸쳐 복수개가 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 소정의 개구 피치로 형성되어 있다.
이와 같이, 본 실시 형태에서는, 반응관(203)의 내벽과, 적재된 복수의 웨이퍼(200)의 단부(외주)로 정의되는 원환 형상의 세로로 길게 신장된 공간 내, 즉, 원통 형상의 공간 내에 배치한 노즐(249a 내지 249c)을 경유하여 가스를 반송하고 있다. 그리고, 노즐(249a 내지 249c)에 각각 개구된 가스 공급 구멍(250a 내지 250c)으로부터, 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시키고 있다. 그리고, 반응관(203) 내에서의 가스의 주된 흐름을, 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 하는 것이 가능하게 된다. 웨이퍼(200)의 표면 위를 흐른 가스, 즉, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해 흐른다. 단, 이 잔류 가스의 흐름의 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한정되는 것은 아니다.
가스 공급관(232a)으로부터는, 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스로서, 예를 들어 소정 원소로서의 실리콘(Si)과 할로겐 원소로서의 염소(Cl)를 포함하는 클로로실란계 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해 처리실(201) 내에 공급된다.
클로로실란계 원료 가스란, 기체 상태의 클로로실란계 원료, 예를 들어 상온 상압하에서 액체 상태인 클로로실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 클로로실란계 원료 등이다. 클로로실란계 원료란, 할로겐기로서의 클로로기를 갖는 실란계 원료이며, 적어도 Si 및 Cl을 포함하는 원료이다. 클로로실란계 원료는, 할로겐화물의 1종이라고도 할 수 있다. 본 명세서에서 「원료」라는 용어를 사용한 경우에는, 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는, 그 양쪽을 의미하는 경우가 있다. 따라서, 본 명세서에서 「클로로실란계 원료」라는 용어를 사용한 경우에는, 「액체 상태인 클로로실란계 원료」를 의미하는 경우, 「기체 상태인 클로로실란계 원료 가스」를 의미하는 경우, 또는, 그 양쪽을 의미하는 경우가 있다. 클로로실란계 원료로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS)을 사용할 수 있다. HCDS와 같이 상온 상압하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 제1 처리 가스(HCDS 가스)로서 공급하게 된다.
가스 공급관(232b)으로부터는, 탄소(C), 질소(N) 및 수소(H)의 3 원소로 구성되는 제2 처리 가스로서, 예를 들어 아민을 포함하는 가스, 즉, 아민계 가스가, MFC(241b), 밸브(243b), 노즐(249b)을 통해 처리실(201) 내에 공급된다.
아민계 가스란, 기체 상태의 아민, 예를 들어 상온 상압하에서 액체 상태인 아민을 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 아민 등의 아민기를 포함하는 가스이다. 아민계 가스는, 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민, 이소부틸아민 등의 아민을 포함한다. 아민이란, 암모니아(NH3)의 H 원자를 알킬기 등의 탄화수소기로 치환한 형태의 화합물의 총칭이다. 아민은, C 원자를 포함하는 리간드로서, 알킬기 등의 탄화수소기를 포함한다. 아민계 가스는, C, N 및 H의 3 원소를 포함하고 있고, Si를 포함하지 않은 점에서 Si 비함유의 가스라고도 할 수 있고, 나아가, Si 및 금속을 포함하지 않은 점에서 Si 및 금속 비함유의 가스라고도 할 수 있다. 또한, 아민계 가스는, 질소 함유 가스(질소 소스)이며, 탄소 함유 가스(카본 소스)이며, 수소 함유 가스이기도 하다. 아민계 가스는, C, N 및 H의 3 원소만으로 구성되는 물질이라고도 할 수 있다. 본 명세서에서 「아민」이라는 용어를 사용한 경우에는, 「액체 상태인 아민」을 의미하는 경우, 「기체 상태인 아민계 가스」를 의미하는 경우, 또는, 그 양쪽을 의미하는 경우가 있다. 아민계 가스로서는, 예를 들어 그 조성식 중(화학 구조식 중, 1 분자 중)에서의 C 원자를 포함하는 리간드(에틸기)의 수가 3이며, 그 조성식 중에서 N 원자의 수보다 C 원자의 수가 더 많은 트리에틸아민((C2H5)3N, 약칭: TEA) 가스를 사용할 수 있다. TEA와 같이 상온 상압하에서 액체 상태인 아민을 사용하는 경우에는, 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 제2 처리 가스(TEA 가스)로서 공급하게 된다.
가스 공급관(232c)으로부터는, 탄소(C)를 포함하는 제3 처리 가스로서, 예를 들어 탄화수소계 가스가, MFC(241c), 밸브(243c), 노즐(249c)을 통해 처리실(201) 내에 공급된다. 탄화수소계 가스는, 탄소 함유 가스(카본 소스)이며, 수소 함유 가스이기도 하다. 탄화수소계 가스는, C 및 H의 2 원소만으로 구성되는 물질이라고도 할 수 있다. 탄화수소계 가스로서는, 예를 들어 프로필렌(C3H6) 가스를 사용할 수 있다.
가스 공급관(232d 내지 232f)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241d 내지 241f), 밸브(243d 내지 243f), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해 처리실(201) 내에 공급된다.
각 가스 공급관으로부터 상술한 바와 같은 가스를 각각 흘리는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해, 소정 원소 및 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 제1 가스 공급계, 즉, 제1 처리 가스 공급계로서의 클로로실란계 원료 가스 공급계가 구성된다. 노즐(249a)을 클로로실란계 원료 가스 공급계에 포함하여 생각해도 된다. 클로로실란계 원료 가스 공급계를, 클로로실란계 원료 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해, C, N 및 H의 3 원소로 구성되는 제2 처리 가스를 공급하는 제2 가스 공급계, 즉, 제2 처리 가스 공급계로서의 아민계 가스 공급계가 구성된다. 노즐(249b)을 아민계 가스 공급계에 포함하여 생각해도 된다. 아민계 가스 공급계를, 아민 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해, C를 포함하는 제3 처리 가스를 공급하는 제3 가스 공급계, 즉, 제3 처리 가스 공급계로서의 탄화수소계 가스 공급계가 구성된다. 노즐(249c)을 탄화수소계 가스 공급계에 포함하여 생각해도 된다. 탄화수소계 가스 공급계를, 탄화수소 공급계라고 칭할 수도 있다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 도 2에 도시한 바와 같이, 횡단면시에 있어서, 배기관(231)은, 반응관(203)의 노즐(249a)의 가스 공급 구멍(250a), 노즐(249b)의 가스 공급 구멍(250b) 및 노즐(249c)의 가스 공급 구멍(250c)이 형성되는 측과 대향하는 측, 즉, 웨이퍼(200)를 사이에 두고 가스 공급 구멍(250a 내지 250c)과는 반대측에 설치되어 있다. 또한, 도 1에 도시한 바와 같이 종단면시에 있어서, 배기관(231)은, 가스 공급 구멍(250a 내지 250c)이 형성되는 부위보다 하방에 설치되어 있다. 이 구성에 의해, 가스 공급 구멍(250a 내지 250c)으로부터 처리실(201) 내의 웨이퍼(200)의 근방에 공급된 가스는, 수평 방향, 즉, 웨이퍼(200)의 표면과 평행한 방향을 향해 흐른 후, 하방을 향해 흘러, 배기관(231)으로부터 배기되게 된다. 처리실(201) 내에서의 가스의 주된 흐름이 수평 방향으로 향하는 흐름이 되는 것은 상술한 바와 같다.
배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다.
반응관(203)의 하방에는, 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 반응관(203)의 하단에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은, 예를 들어 스테인리스(SUS) 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 반응관(203)의 하단과 접촉하는 시일 부재로서의 O링(220)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외에 반입 및 반출하는 것이 가능하도록 구성되어 있다. 보트 엘리베이터(115)는, 보트(217) 즉 웨이퍼(200)를, 처리실(201) 내외에 반송하는 반송 장치(반송 기구)로서 구성되어 있다.
기판 지지구로서의 보트(217)는, 복수 장, 예를 들어 100 내지 200장의 웨이퍼(200)를, 수평 자세이고 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜서 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어진다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어지는 단열판(218)이 수평 자세로 다단으로 지지되어 있어, 히터(207)로부터의 열이 시일 캡(219)측에 전해지기 어려워지도록 구성되어 있다. 단, 본 실시 형태는 이와 같은 형태에 한정되지 않는다. 예를 들어, 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열통을 설치해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에 대한 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성되어 있다. 온도 센서(263)는, 노즐(249a 내지 249c)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는, 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 간단히 프로그램이라고도 한다. 본 명세서에서 프로그램이라는 용어를 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241f), 밸브(243a 내지 243f), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241f)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243f)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이와 같은 외부 기억 장치(123)를 사용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 간단히 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 용어를 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
이어서, 상술한 기판 처리 장치의 처리로(202)를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 웨이퍼(200) 위에 막을 형성하는 예에 대하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 웨이퍼(200)에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수(1회 이상) 행함으로써, 소정 원소, 탄소 및 질소를 포함하는 막을 웨이퍼(200) 위에 형성한다. 또한, 본 실시 형태에서는, 이 사이클에 있어서, 제3 처리 가스를 공급하는 공정을, 제2 처리 가스의 공급 기간에 행한다.
이하, 본 실시 형태의 성막 시퀀스를, 도 4, 도 5를 사용하여 구체적으로 설명한다.
여기에서는, 웨이퍼(200)에 대하여 제1 처리 가스로서 클로로실란계 원료 가스인 HCDS 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 제2 처리 가스로서 아민계 가스인 TEA 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 제3 처리 가스로서 탄화수소계 가스인 C3H6 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수(n회) 행함으로써, 적어도 Si, C 및 N을 포함하는 막으로서, 소정 조성 및 소정 막 두께의 Si계 절연막인 실리콘 탄질화막(SiCN막)을 웨이퍼(200) 위에 형성하는 예에 대하여 설명한다. 또한, 여기서는, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정과 동시에 행하는 예, 즉, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간에 행하고, TEA 가스의 공급 정지 기간에는 행하지 않는 예에 대하여 설명한다.
본 명세서에서 「웨이퍼」라는 용어를 사용한 경우에는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우, 즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라 칭하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼의 표면」이라는 용어를 사용한 경우에는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 위에 형성된 소정의 층이나 막 등의 표면, 즉, 적층체로서의 웨이퍼의 최외측 표면」을 의미하는 경우가 있다.
따라서, 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등에 대하여, 즉, 적층체로서의 웨이퍼의 최외측 표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼 위에 소정의 층(또는 막)을 형성한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면) 위에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등의 위, 즉, 적층체로서의 웨이퍼의 최외측 표면 위에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
본 명세서에서 「기판」이라는 용어를 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에서, 「웨이퍼」를 「기판」으로 바꾸어 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시한 바와 같이, 복수의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220)을 통해 반응관(203)의 하단을 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내의 압력, 즉, 웨이퍼(200)가 존재하는 공간의 압력이 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 진공 펌프(246)는, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포가 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에 대한 통전 상태가 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 계속해서 행해진다. 계속해서, 회전 기구(267)에 의해 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도, 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안에는 계속해서 행해진다.
(SiCN막 형성 공정)
그 후, 다음 2개의 스텝, 즉, 스텝 1, 2를 순차 실행한다.
[스텝 1]
(HCDS 가스 공급)
밸브(243a)를 개방하여, 가스 공급관(232a) 내에 HCDS 가스를 흘린다. HCDS 가스는, MFC(241a)에 의해 유량 조정되어, 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 HCDS 가스가 공급되게 된다. 이때 동시에 밸브(243d)를 개방하여, 가스 공급관(232d) 내에 N2 가스를 흘린다. N2 가스는, MFC(241d)에 의해 유량 조정되어, HCDS 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, 노즐(249b, 249c) 내로의 HCDS 가스의 침입을 방지하기 위해서, 밸브(243e, 243f)를 개방하여, 가스 공급관(232e, 232f) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232b, 232c), 노즐(249b, 249c)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300Pa, 바람직하게는 20 내지 1330Pa의 범위 내의 압력으로 한다. MFC(241a)에 의해 제어되는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241d 내지 241f)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. HCDS 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 이때 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도가 되는 온도로 설정한다.
웨이퍼(200)의 온도가 250℃ 미만이 되면, 웨이퍼(200) 위에 HCDS 가스가 화학 흡착되기 어려워져, 실용적인 성막 속도가 얻어지지 않게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써 이것을 해소하는 것이 가능하게 된다. 웨이퍼(200)의 온도를 300℃ 이상, 나아가 350℃ 이상으로 함으로써 웨이퍼(200) 위에 HCDS 가스를 보다 충분히 흡착시키는 것이 가능하게 되어, 보다 충분한 성막 속도가 얻어지게 된다.
웨이퍼(200)의 온도가 700℃를 초과하면, CVD 반응이 강해짐(기상 반응이 지배적으로 됨)으로써, 막 두께 균일성이 악화되기 쉬워져, 그 제어가 곤란해져 버린다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 막 두께 균일성의 악화를 억제할 수 있고, 그 제어가 가능하게 된다. 특히 웨이퍼(200)의 온도를 650℃ 이하, 나아가 600℃ 이하로 함으로써, 표면 반응이 지배적이 되고, 막 두께 균일성을 확보하기 쉬워져, 그 제어가 용이하게 된다.
따라서, 웨이퍼(200)의 온도는 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대하여 HCDS 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 위에 Si와 Cl을 포함하는 초기층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층이어도 되고, HCDS 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
Cl을 포함하는 Si층이란, Si에 의해 구성되고 Cl을 포함하는 연속적인 층 외에, 불연속적인 층이나, 이들을 겹쳐서 만드는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. Si에 의해 구성되고 Cl을 포함하는 연속적인 층을, Cl을 포함하는 Si 박막이라고 하는 경우도 있다. Cl을 포함하는 Si층을 구성하는 Si는, Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
HCDS 가스의 흡착층은, HCDS 가스의 가스 분자의 연속적인 흡착층 외에, 불연속적인 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 흡착층을 포함한다. HCDS 가스의 흡착층을 구성하는 HCDS 분자는, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 가스의 물리 흡착층이어도 되고, HCDS 가스의 화학 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, 1 원자층 미만의 두께의 층이란, 불연속적으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층이란, 연속적으로 형성되는 원자층을 의미하고 있다. 1 분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고 있고, 1 분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미하고 있다.
HCDS 가스가 자기 분해(열분해)하는 조건 하, 즉, HCDS 가스의 열분해 반응이 발생하는 조건 하에서는, 웨이퍼(200) 위에 Si가 퇴적됨으로써 Cl을 포함하는 Si층이 형성된다. HCDS 가스가 자기 분해(열분해)하지 않는 조건 하, 즉, HCDS 가스의 열분해 반응이 발생하지 않는 조건 하에서는, 웨이퍼(200) 위에 HCDS 가스가 흡착됨으로써 HCDS 가스의 흡착층이 형성된다. 웨이퍼(200) 위에 HCDS 가스의 흡착층을 형성하는 것보다, Cl을 포함하는 Si층을 형성하는 것이, 성막 레이트를 더 높게 할 수 있어 바람직하다.
웨이퍼(200) 위에 형성되는 Cl을 포함하는 Si 함유층의 두께가 수 원자층을 초과하면, 후술하는 스텝 2에서의 개질의 작용이 Cl을 포함하는 Si 함유층의 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 위에 형성 가능한 Cl을 포함하는 Si 함유층의 두께의 최소값은 1 원자층 미만이다. 따라서, Cl을 포함하는 Si 함유층의 두께는 1 원자층 미만 내지 수 원자층 정도로 하는 것이 바람직하다. 또한, Cl을 포함하는 Si 함유층의 두께를 1 원자층 이하, 즉, 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 2에서의 개질 반응의 작용을 상대적으로 높일 수 있고, 스텝 2에서의 개질 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1에서의 Cl을 포함하는 Si 함유층 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1 사이클당의 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것도 가능하게 된다. 즉, 성막 레이트를 높게 하는 것도 가능하게 된다. 또한, Cl을 포함하는 Si 함유층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능하게 된다.
(잔류 가스 제거)
초기층으로서의 Cl을 포함하는 Si 함유층이 형성된 후, 밸브(243a)를 폐쇄하여, HCDS 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 두어, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 초기층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제한다. 이때, 밸브(243d 내지 243f)는 개방한 상태로 두어, N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 초기층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2에서 악영향이 발생하지 않는다. 이때, 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 2에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
클로로실란계 원료 가스로서는, HCDS 가스 외에, 예를 들어 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 사용해도 된다.
불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
[스텝 2]
(TEA 가스 및 C3H6 가스 공급)
스텝 1이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243b)를 개방하여, 가스 공급관(232b) 내에 TEA 가스를 흘린다. TEA 가스는, MFC(241b)에 의해 유량 조정되어, 가스 공급 구멍(250b)으로부터 처리실(201) 내에 공급된다. 이때 동시에 밸브(243e)를 개방하여, 가스 공급관(232e) 내에 N2 가스를 흘린다. N2 가스는, MFC(241e)에 의해 유량 조정되어, TEA 가스와 함께 처리실(201) 내에 공급된다.
이때 동시에, 밸브(243c)를 개방하여, 가스 공급관(232c) 내에 C3H6 가스를 흘린다. C3H6 가스는, MFC(241c)에 의해 유량 조정되어, 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급된다. 이때 동시에 밸브(243f)를 개방하여, 가스 공급관(232f) 내에 N2 가스를 흘린다. N2 가스는, MFC(241f)에 의해 유량 조정되어, C3H6 가스와 함께 처리실(201) 내에 공급된다.
처리실(201) 내에 공급된 TEA 가스 및 C3H6 가스는, 각각 열로 활성화(여기)되어, 가스 공급관(232e, 232f)으로부터 공급된 N2 가스와 함께 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 열로 활성화된 TEA 가스 및 열로 활성화된 C3H6 가스가 동시에 공급되게 된다.
이때, 노즐(249a) 내로의 TEA 가스 및 C3H6 가스의 침입을 방지하기 위해서, 밸브(243d)를 개방하여, 가스 공급관(232d) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232a), 노즐(249a)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300Pa, 바람직하게는 399 내지 3990Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이러한 비교적 높은 압력대로 함으로써, TEA 가스 및 C3H6 가스를 논 플라즈마로 열적으로 활성화시키는 것이 가능하게 된다. TEA 가스 및 C3H6 가스를 열로 활성화시켜서 공급함으로써, 소프트한 반응을 발생시킬 수 있어, 후술하는 개질을 소프트하게 행할 수 있다. MFC(241b)에 의해 제어되는 TEA 가스의 공급 유량은, 예를 들어 100 내지 2000sccm의 범위 내의 유량으로 한다. MFC(241c)에 의해 제어되는 C3H6 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. MFC(241d 내지 241f)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 이때, 처리실(201) 내에서의 TEA 가스의 분압은, 예를 들어 0.01 내지 12667Pa의 범위 내의 압력으로 한다. 또한, 이때, 처리실(201) 내에서의 C3H6 가스의 분압은, 예를 들어 0.01 내지 13168Pa의 범위 내의 압력으로 한다. 열로 활성화시킨 TEA 가스 및 열로 활성화시킨 C3H6 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 이때의 히터(207)의 온도는, 스텝 1과 마찬가지로, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도가 되는 온도로 설정한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 TEA 가스를 공급함으로써, 스텝 1에서 웨이퍼(200) 위에 형성된 초기층으로서의 Cl을 포함하는 Si 함유층과, TEA 가스를 반응시킬 수 있다. 즉, 초기층으로서의 Cl을 포함하는 Si 함유층에 포함되는 할로겐 원소(할로겐기)인 Cl(클로로기)과, TEA 가스에 포함되는 리간드(에틸기)를 반응시킬 수 있다. 이에 의해, 초기층에 포함되는 Cl 중 적어도 일부의 Cl을 초기층으로부터 빼냄(분리시킴)과 함께, TEA 가스에 포함되는 복수의 에틸기 중 적어도 일부의 에틸기를 TEA 가스로부터 분리시킬 수 있다. 그리고, 적어도 일부의 에틸기가 분리된 TEA 가스의 N과, 초기층에 포함되는 Si를 결합시킬 수 있다. 즉, TEA 가스를 구성하는 N이며 적어도 일부의 에틸기가 떨어져나가 미 결합손(댕글링 본드)을 갖게 된 N과, 초기층에 포함되어 미 결합손을 갖게 된 Si, 또는, 미 결합손을 갖고 있었던 Si를 결합시켜서, Si-N 결합을 형성하는 것이 가능하게 된다. 또한 이때, TEA 가스로부터 분리된 에틸기(-CH2CH3)에 포함되는 C와, 초기층에 포함되는 Si를 결합시켜서, Si-C 결합을 형성하는 것도 가능하게 된다. 그 결과, 초기층 중으로부터 Cl이 탈리됨과 함께, 초기층 중에, N 성분이 새롭게 도입되게 된다. 또한 이때, 초기층 중에, C 성분도 새롭게 도입되게 된다.
또한, 웨이퍼(200)에 대하여 C3H6 가스를 공급하는 공정을, 웨이퍼(200)에 대하여 TEA 가스를 공급하는 공정과 동시에 행함으로써, 즉, C3H6 가스를 공급하는 공정을, 적어도 TEA 가스의 공급 기간에 행함으로써, 초기층 중에, C3H6 가스에 포함되는 C 성분도 새롭게 도입되게 된다. 즉, 웨이퍼(200)에 대하여 C3H6 가스를 공급함으로써, 초기층의 표면에 C3H6 가스가 흡착되고, 이때, 초기층 중에, C3H6 가스에 포함되는 C 성분도 새롭게 도입되게 된다. 이때, 예를 들어 C3H6 가스에 포함되는 C와, 초기층에 포함되는 Si를 결합시켜서, Si-C 결합을 형성하는 것도 가능하게 된다.
TEA 가스 및 C3H6 가스를 상술한 조건 하에서 공급함으로써, 초기층으로서의 Cl을 포함하는 Si 함유층과, TEA 가스 및 C3H6 가스를 적정하게 반응시킬 수 있어, 상술한 일련의 반응을 발생시키는 것이 가능하게 된다. 그리고 이 일련의 반응에 의해, 초기층 중으로부터 Cl이 탈리됨과 함께, 초기층 중에, N 성분과 C 성분이 새롭게 도입되어, 초기층으로서의 Cl을 포함하는 Si 함유층은, Si, C 및 N을 포함하는 층, 즉, 실리콘 탄질화층(SiCN층)으로 변화된다(개질된다). SiCN층은, 1 원자층 미만 내지 수 원자층 정도의 두께의 층이 된다. SiCN층은, Si 성분의 비율과 C 성분의 비율이 비교적 많은 층, 즉, Si 리치이며 또한 C 리치인 층이 된다.
상술한 바와 같이, SiCN층 중에는, TEA 가스에 포함되어 있었던 C 성분뿐만 아니라, C3H6 가스에 포함되어 있었던 C 성분도 새롭게 도입된다. 이 때문에, SiCN층은, 웨이퍼(200)에 대하여 C3H6 가스를 공급하지 않고 초기층을 개질하여 얻은 층(웨이퍼(200)에 대하여 TEA 가스를 단독으로 공급하여 초기층을 개질하여 얻은 층)과 비교하여, 층 중의 C 성분이 더욱 많은 층, 즉, 또한 C 리치인 층이 된다.
SiCN층을 형성할 때, 초기층에 포함되어 있었던 Cl이나, TEA 가스나 C3H6 가스에 포함되어 있었던 H는, TEA 가스 및 C3H6 가스에 의한 초기층의 개질 반응의 과정에서, Cl 및 H 중 적어도 어느 하나를 포함하는 가스 상태 물질을 구성하여, 배기관(231)을 통해 처리실(201) 내로부터 배출된다. 즉, 초기층 중의 Cl 등의 불순물은, 초기층 내로부터 빼내지거나, 탈리되거나 함으로써, 초기층으로부터 분리되게 된다. 이에 의해, SiCN층은, 초기층에 비해 Cl 등의 불순물이 적은 층이 된다.
(잔류 가스 제거)
SiCN층이 형성된 후, 밸브(243b, 243c)를 폐쇄하여, TEA 가스 및 C3H6 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 두어, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 SiCN층 형성에 기여한 후의 잔류 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 밸브(243d 내지 243f)는 개방한 상태로 두어, N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 SiCN층 형성에 기여한 후의 잔류 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1에서 악영향이 발생하지 않는다. 이때, 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 1에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
아민계 가스로서는, TEA 가스 외에, 예를 들어 디에틸아민((C2H5)2NH, 약칭: DEA), 모노에틸아민(C2H5NH2, 약칭: MEA) 등을 기화한 에틸아민계 가스, 트리메틸아민((CH3)3N, 약칭: TMA), 디메틸아민((CH3)2NH, 약칭: DMA), 모노메틸아민(CH3NH2, 약칭: MMA) 등을 기화한 메틸아민계 가스, 트리프로필아민((C3H7)3N, 약칭: TPA), 디프로필아민((C3H7)2NH, 약칭: DPA), 모노프로필아민(C3H7NH2, 약칭: MPA) 등을 기화한 프로필아민계 가스, 트리이소프로필아민([(CH3)2CH]3N, 약칭: TIPA), 디이소프로필아민([(CH3)2CH]2NH, 약칭: DIPA), 모노이소프로필아민((CH3)2CHNH2, 약칭: MIPA) 등을 기화한 이소프로필아민계 가스, 트리부틸아민((C4H9)3N, 약칭: TBA), 디부틸아민((C4H9)2NH, 약칭: DBA), 모노부틸아민(C4H9NH2, 약칭: MBA) 등을 기화한 부틸아민계 가스, 또는, 트리이소부틸아민([(CH3)2CHCH2]3N, 약칭: TIBA), 디이소부틸아민([(CH3)2CHCH2]2NH, 약칭: DIBA), 모노이소부틸아민((CH3)2CHCH2NH2, 약칭: MIBA) 등을 기화한 이소부틸아민계 가스를 바람직하게 사용할 수 있다. 즉, 아민계 가스로서는, 예를 들어(C2H5)xNH3-x, (CH3)xNH3 -x, (C3H7)xNH3 -x, [(CH3)2CH]xNH3 -x, (C4H9)xNH3 -x, [(CH3)2CHCH2]xNH3-x(화학식 중 x는 1 내지 3의 정수)를 기화한 가스 중 적어도 1종의 가스를 바람직하게 사용할 수 있다.
아민계 가스로서는, C, N 및 H의 3 원소로 구성되고, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 N 원자의 수보다 C 원자의 수가 더 많은 가스를 사용하는 것이 바람직하다. 즉, 아민계 가스로서는, TEA, DEA, MEA, TMA, DMA, TPA, DPA, MPA, TIPA, DIPA, MIPA, TBA, DBA, MBA, TIBA, DIBA 및 MIBA로 이루어지는 군에서 선택되는 적어도 1개의 아민을 포함하는 가스를 사용하는 것이 바람직하다.
제1 처리 가스로서, HCDS 가스 등과 같은, Si와 Cl을 포함하는 클로로실란계 원료 가스를 사용하는 경우에, 제2 처리 가스로서, TEA 가스나 DEA 가스 등과 같은, C, N 및 H의 3 원소로 구성되고, 그 조성식 중에서 N 원자의 수보다 C 원자의 수가 더 많은 아민계 가스를 사용함으로써 스텝 2에서 형성되는 SiCN층 중의 C 농도, 즉, 후술하는 소정 횟수 실시 공정에서 형성되는 SiCN막 중의 C 농도를 높게 할 수 있다.
이에 반해, 제1 처리 가스로서, HCDS 가스 등과 같은, Si와 Cl을 포함하는 클로로실란계 원료 가스를 사용하는 경우에, 제2 처리 가스로서, MMA 가스 등의 아민계 가스나, 후술하는 MMH 가스나 DMH 가스 등의 유기 히드라진계 가스 등과 같은, C, N 및 H의 3 원소로 구성되고, 그 조성식 중에서 N 원자의 수보다 C 원자의 수가 더 많지 않은 가스를 사용하는 경우, SiCN층 중의 C 농도, 즉, SiCN막 중의 C 농도를, 제2 처리 가스로서, C, N 및 H의 3 원소로 구성되고, 그 조성식 중에서 N 원자의 수보다 C 원자의 수가 더 많은 아민계 가스를 사용하는 경우만큼 높게 할 수 없어, 적정한 C 농도를 실현하는 것은 곤란해진다.
또한, 아민계 가스로서는, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 C 원자를 포함하는 리간드를 복수개 갖는 가스, 즉, 그 조성식 중에서 알킬기 등의 탄화수소기를 복수개 갖는 가스를 사용하는 것이 바람직하다. 구체적으로는, 아민계 가스로서는, 그 조성식 중에서 C 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개, 또는 2개 갖는 가스를 사용하는 것이 바람직하고, 예를 들어 TEA, DEA, TMA, DMA, TPA, DPA, TIPA, DIPA, TBA, DBA, TIBA 및 DIBA로 이루어지는 군에서 선택되는 적어도 1개의 아민을 포함하는 가스를 사용하는 것이 바람직하다.
제1 처리 가스로서, HCDS 가스 등과 같은, Si와 Cl을 포함하는 클로로실란계 원료 가스를 사용하는 경우에, 제2 처리 가스로서, TEA 가스나 DEA 가스 등과 같은, C, N 및 H의 3 원소로 구성되고, 그 조성식 중에서 C 원자를 포함하는 리간드를 복수개 갖는 아민계 가스, 즉, 그 조성식 중에서 알킬기 등의 탄화수소기를 복수개 갖는 아민계 가스를 사용함으로써 SiCN층 중의 C 농도, 즉, SiCN막 중의 C 농도를 보다 높게 할 수 있다.
이에 반해, 제1 처리 가스로서, HCDS 가스 등과 같은, Si와 Cl을 포함하는 클로로실란계 원료 가스를 사용하는 경우에, 제2 처리 가스로서, MMA 가스 등의 아민계 가스나, 후술하는 MMH 가스 등의 유기 히드라진계 가스 등과 같은, 그 조성식 중에서 C 원자를 포함하는 리간드를 복수개 갖고 있지 않은 가스를 사용하는 경우, SiCN층 중의 C 농도, 즉, SiCN막 중의 C 농도를, 제2 처리 가스로서, 그 조성식 중에서 C 원자를 포함하는 리간드를 복수개 갖는 아민계 가스를 사용하는 경우만큼 높게 할 수 없어, 적정한 C 농도를 실현하는 것은 곤란해진다.
또한, 제2 처리 가스로서, DEA 가스 등과 같은, 그 조성식 중에서 C 원자를 포함하는 리간드를 2개 갖는 아민계 가스를 사용함으로써, TEA 가스 등과 같은, 그 조성식 중에서 C 원자를 포함하는 리간드를 3개 갖는 아민계 가스를 사용하는 경우보다, 사이클 레이트(단위 사이클당 형성되는 SiCN층의 두께)를 향상시키는 것이 가능하게 되고, 또한 SiCN층 중의 C 농도에 대한 N 농도의 비(N 농도/C 농도비), 즉, SiCN막 중의 C 농도에 대한 N 농도의 비(N 농도/C 농도비)를 높게 하는 것이 가능하게 된다.
반대로, 제2 처리 가스로서, TEA 가스 등과 같은, 그 조성식 중에서 C 원자를 포함하는 리간드를 3개 갖는 아민계 가스를 사용함으로써, DEA 가스 등과 같은, 그 조성식 중에서 C 원자를 포함하는 리간드를 2개 갖는 아민계 가스를 사용하는 경우보다, SiCN층 중의 N 농도에 대한 C 농도의 비(C 농도/N 농도비), 즉, SiCN막 중의 N 농도에 대한 C 농도의 비(C 농도/N 농도비)를 높게 하는 것이 가능하게 된다.
즉, 제2 처리 가스에 포함되는 C 원자를 포함하는 리간드의 수(알킬기 등의 탄화수소기의 수)에 의해, 즉, 제2 처리 가스의 가스종을 적절히 바꿈으로써, 사이클 레이트나, SiCN막 중의 N 농도나 C 농도를 미세 조정하는 것이 가능하게 된다.
또한, 제3 처리 가스로서는, C3H6 가스 외에, 예를 들어 아세틸렌(C2H2) 가스나 에틸렌(C2H4) 가스 등의 탄화수소계의 가스를 사용하는 것이 바람직하다. 즉, 제3 처리 가스로서는, C 및 H의 2 원소로 구성되는 가스, 즉, N 비함유의 탄소 함유 가스를 사용하는 것이 바람직하다.
제3 처리 가스로서, C3H6 가스와 같은, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 C 원자를 포함하고, N 원자를 포함하지 않는 탄화수소계 가스를 사용함으로써, 스텝 2에서 제3 처리 가스를 웨이퍼(200)에 대하여 공급할 때에 초기층 중에, 즉, SiCN층 중에 제3 처리 가스 유래의 N 성분이 첨가되어 버리는 것을 방지할 수 있다. 즉, SiCN층 중에 N 성분을 첨가할 때의 질소원을, 제2 처리 가스만으로 할 수 있다. 결과적으로, 후술하는 소정 횟수 실시 공정에서 형성되는 SiCN막 중의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하는 것이 가능하게 된다.
이상 서술한 바와 같이 제2 처리 가스의 가스종(조성)이나, 제3 처리 가스의 가스종(조성)을 각각 적정하게 선택함으로써, SiCN막 중의 C 농도를 높게 할 수 있다.
여기서, SiCN막 중의 C 농도를 더 높이기 위해서는, 예를 들어 TEA 가스 및 C3H6 가스를 웨이퍼(200)에 대하여 동시에 공급할 때의 처리실(201) 내의 압력을, 스텝 1에서, HCDS 가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 즉, HCDS 가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P1[Pa]로 하고, TEA 가스 및 C3H6 가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P2[Pa]로 했을 때, 압력 P1, P2를, P2>P1의 관계를 만족하도록 설정하는 것이 바람직하다. C3H6 가스 등의 탄화수소계 가스는, 초기층에 대하여 비교적 흡착되기 어려운 경향이 있지만, 스텝 1, 2에서의 처리실(201) 내의 압력을 상술한 바와 같이 설정함으로써, 초기층에 대한 C3H6 가스의 흡착을 촉진할 수 있게 되고, 또한 초기층과 TEA 가스의 반응을 촉진시킬 수 있게 되어, 결과적으로, 스텝 2에서 형성되는 SiCN층 중의 C 농도, 즉, SiCN막 중의 C 농도를 더 높일 수 있게 된다.
반대로, SiCN막 중의 C 농도의 증가량을 적정하게 억제하기 위해서는, TEA 가스 및 C3H6 가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을, 스텝 1에서, HCDS 가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력 이하의 압력으로 설정하는 것이 바람직하다. 즉, 상술한 압력 P1, P2를, P1≥P2의 관계를 만족하도록 설정하는 것이 바람직하다.
즉, TEA 가스 및 C3H6 가스를 공급할 때의 처리실(201) 내의 압력을 적정하게 제어함으로써, 즉, C3H6 가스를 공급하는 공정에서의 처리실(201) 내의 압력이나 TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력을 적정하게 제어함으로써, SiCN막 중의 C 농도를 미세 조정하는 것이 가능하게 된다.
또한, SiCN막 중의 C 농도는, TEA 가스 및 C3H6 가스를 공급할 때의 처리실(201) 내의 압력을 상술한 바와 같이 제어할 뿐만 아니라, TEA 가스 및 C3H6 가스의 공급 시간이나 공급 유량 등의 공급 조건을 제어함으로써, 미세 조정하는 것이 가능하다.
예를 들어, 스텝 2에서, TEA 가스 및 C3H6 가스를 공급할 때의 가스 공급 시간을 길게 하거나, TEA 가스 및 C3H6 가스의 공급 유량을 크게 하거나 함으로써, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다. 또한, 예를 들어 TEA 가스의 공급 유량에 대한 C3H6 가스의 공급 유량의 비율을 크게 함으로써, 즉, 처리실(201) 내에서의 C3H6 가스의 분압을 TEA 가스의 분압보다 크게 함으로써, SiCN막 중의 C 농도를 높이는 것이 가능하게 된다.
또한 예를 들어, 스텝 2에서, TEA 가스 및 C3H6 가스를 공급할 때의 가스 공급 시간을 짧게 하거나, TEA 가스 및 C3H6 가스의 공급 유량을 작게 하거나 함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제하는 것이 가능하게 된다. 또한, 예를 들어 TEA 가스의 공급 유량에 대한 C3H6 가스의 공급 유량의 비율을 작게 함으로써, 즉, 처리실(201) 내에서의 C3H6 가스의 분압을 TEA 가스의 분압보다 작게 함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제하는 것이 가능하게 된다.
이와 같이, TEA 가스 및 C3H6 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 분압, 처리실(201) 내의 압력 등)을 제어함으로써, SiCN막 중의 C 농도를 미세 조정할 수 있게 된다.
불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
(소정 횟수 실시)
상술한 스텝 1, 2를 1 사이클로 하고, 이 사이클을 1회 이상(소정 횟수) 행함으로써, 소정 조성 및 소정 막 두께의 SiCN막을 웨이퍼(200) 위에 형성할 수 있다. 상술한 사이클은, 복수 회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성하는 SiCN층의 두께를 원하는 막 두께보다 작게 하고, 상술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
사이클을 복수 회 행하는 경우, 적어도 두번째 사이클 이후의 각 스텝에서, 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층에 대하여, 즉, 적층체로서의 웨이퍼(200)의 최외측 표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 위에 소정의 층을 형성한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층 위, 즉, 적층체로서의 웨이퍼(200)의 최외측 표면 위에 소정의 층을 형성한다」는 것을 의미하고 있다. 이 점은, 상술한 바와 같다. 이 점은, 후술하는 각 변형예, 다른 실시 형태에서도 마찬가지이다.
(퍼지 및 대기압 복귀)
소정 조성을 갖는 소정 막 두께의 SiCN막을 형성하는 성막 처리가 이루어지면, 밸브(243d 내지 243f)를 개방하여, 가스 공급관(232d 내지 232f) 각각으로부터 N2 가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내가 불활성 가스로 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 반응관(203)의 하단이 개구됨과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 나타내는 1개 또는 복수의 효과를 발휘한다.
(a) 웨이퍼(200)에 대하여 제3 처리 가스로서 탄화수소계 가스(C3H6 가스)를 공급하는 공정을, 웨이퍼(200)에 대하여 제2 처리 가스로서 아민계 가스(TEA 가스)를 공급하는 공정과 동시에 행함으로써, 즉, C3H6 가스를 공급하는 공정을, 적어도 TEA 가스의 공급 기간에 행함으로써, SiCN층 중에, 아민계 가스에 포함되어 있던 C 성분뿐만 아니라, C3H6 가스에 포함되어 있던 C 성분을 새롭게 첨가할 수 있다. 즉, 1 사이클 중에 2종류의 카본 소스(더블 카본 소스)를 사용하여 성막을 행함으로써, SiCN막 중에, TEA 가스에 포함되어 있던 C 성분뿐만 아니라, C3H6 가스에 포함되어 있던 C 성분을 새롭게 첨가하는 것이 가능하게 된다. 이에 의해, SiCN막 중의 C 농도를 높게 할 수 있다. 즉, 1 사이클 중에 1종류의 카본 소스(싱글 카본 소스)를 사용하여 성막을 행하는 경우보다, SiCN막 중의 C 농도를 높게 하는 것이 가능하게 된다.
(b) 웨이퍼(200)에 대하여 C3H6 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 TEA 가스를 공급하는 공정을 동시에 행함으로써, 이들 공정을 별도로 행하는 경우에 비해, 1 사이클당 소요 시간을 단축할 수 있다. 이에 의해, SiCN막을 형성할 때의 스루풋의 저하를 피할 수 있어, 성막 처리의 생산성의 저하를 피할 수 있다.
(c) 제3 처리 가스로서, 조성식 중에서 C 원자를 포함하고, N 원자를 포함하지 않는 탄화수소계 가스를 사용함으로써 스텝 2에서 제3 처리 가스를 웨이퍼(200)에 대하여 공급할 때에 SiCN층 중에 제3 처리 가스 유래의 N 성분이 첨가되어 버리는 것을 방지할 수 있게 된다. 이에 의해, SiCN막 중의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하는 것이 용이하게 된다.
(d) TEA 가스 및 C3H6 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 처리실(201) 내의 압력, C3H6 가스의 분압 등)을 적정하게 제어함으로써, SiCN막 중의 C 농도를 미세 조정하는 것이 가능하게 된다.
예를 들어, 스텝 2에서, TEA 가스 및 C3H6 가스를 웨이퍼(200)에 대하여 공급하는 공정에서의 처리실(201) 내의 압력을, 스텝 1에서, HCDS 가스를 웨이퍼(200)에 대하여 공급하는 공정에서의 처리실(201) 내의 압력보다 크게 함으로써, SiCN막 중의 C 농도를 더욱 높게 할 수 있다.
또한 예를 들어, 스텝 2에서, TEA 가스 및 C3H6 가스를 공급하는 공정에서의 TEA 가스 및 C3H6 가스의 가스 공급 시간을 길게 하거나, TEA 가스 및 C3H6 가스의 공급 유량을 크게 하거나 함으로써, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다. 또한, 예를 들어 TEA 가스 및 C3H6 가스를 공급하는 공정에서의 TEA 가스의 공급 유량에 대한 C3H6 가스의 공급 유량의 비율(C3H6 가스의 공급 유량/TEA 가스의 공급 유량)을 크게 함으로써, 즉, 처리실(201) 내에서의 C3H6 가스의 분압을 TEA 가스의 분압보다 크게 함으로써, SiCN막 중의 N 농도의 증가를 억제하면서, C 농도를 효율적으로 높이는 것이 가능하게 된다.
또한 예를 들어, 스텝 2에서, TEA 가스 및 C3H6 가스를 공급하는 공정에서의 TEA 가스 및 C3H6 가스의 가스 공급 시간을 짧게 하거나, TEA 가스 및 C3H6 가스의 공급 유량을 작게 하거나 함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제하는 것이 가능하게 된다. 또한, 예를 들어 TEA 가스의 공급 유량에 대한 C3H6 가스의 공급 유량의 비율(C3H6 가스의 공급 유량/TEA 가스의 공급 유량)을 작게 함으로써, 즉, 처리실(201) 내에서의 C3H6 가스의 분압을 TEA 가스의 분압보다 작게 함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제하는 것이 가능하게 된다.
(e) 제2 처리 가스로서, C, N 및 H의 3 원소로 구성되고, Si 및 금속 비함유의 아민계 가스인 TEA 가스를 사용함으로써, SiCN막을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시키는 것이 가능하게 된다. 즉, 제2 처리 가스로서, TEA 가스를 사용하는 본 실시 형태의 성막 시퀀스에서는, 제2 처리 가스로서, 예를 들어 하프늄(Hf), C, N 및 H의 4 원소로 구성되는 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH) 가스 등을 사용하는 성막 시퀀스와 비교하여, 제2 처리 가스와 초기층을 반응시켜서 SiCN층을 형성할 때의 반응 제어성, 특히 조성 제어성을 향상시킬 수 있게 된다. 이에 의해, SiCN막의 조성 제어를 용이하게 행할 수 있게 된다.
(f) 제2 처리 가스로서, C, N 및 H의 3 원소로 구성되고, Si 및 금속 비함유의 아민계 가스인 TEA 가스를 사용함으로써, SiCN막 중의 불순물 농도를 저감시키는 것이 가능하게 된다. 즉, 제2 처리 가스로서, TEA 가스를 사용하는 본 실시 형태의 성막 시퀀스에서는, 제2 처리 가스로서, 예를 들어 Hf, C, N 및 H의 4 원소로 구성되는 TEMAH 가스 등을 사용하는 성막 시퀀스와 비교하여, 제2 처리 가스와 초기층의 반응에 의해 형성되는 SiCN층 중으로의 불순물 원소의 혼입 확률을 저감시킬 수 있어, SiCN막 중의 불순물 농도를 저감시키는 것이 가능하게 된다.
(g) 제2 처리 가스로서, C, N 및 H의 3 원소로 구성되고, Si 및 금속 비함유의 아민계 가스인 TEA 가스를 사용함으로써, SiCN막의 웨이퍼(200) 면내 및 웨이퍼(200) 면간에서의 막 두께 균일성을 각각 향상시킬 수 있다. 즉, C, N 및 H의 3 원소로 구성되는 TEA 가스는, 예를 들어 Hf, C, N 및 H의 4 원소로 구성되는 TEMAH 가스 등과 비교하여, Cl을 포함하는 Si 함유층에 대하여 높은 반응성을 가지므로, 제2 처리 가스로서 TEA 가스를 사용하는 본 실시 형태의 성막 시퀀스는, 제2 처리 가스와 초기층의 반응을 웨이퍼(200) 면내 및 웨이퍼(200) 면간에 걸쳐 확실하면서도 또한 균일하게 행할 수 있게 된다. 그 결과, SiCN막의 웨이퍼(200) 면내 및 웨이퍼(200) 면간에서의 막 두께 균일성을 각각 향상시키는 것이 가능하게 된다.
(변형예)
도 4, 도 5를 사용하여 설명한 상술한 성막 시퀀스에서는, 제3 처리 가스로서 탄화수소계 가스(C3H6 가스)를 공급하는 공정을, 제2 처리 가스로서 아민계 가스(TEA 가스)를 공급하는 공정과 동시에 행하는 예, 즉, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간에 행하고, TEA 가스의 공급 정지 기간에는 행하지 않는 예에 대하여 설명하였다. 단, 본 실시 형태에 따른 성막 시퀀스는 이와 같은 형태에 한정되지 않고, 이하와 같이 변경해도 된다.
예를 들어, 도 5에 도시하는 변형예 1과 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정보다 선행하여 행하고, 또한 TEA 가스를 공급하는 공정과 동시에 행하도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간 및 TEA 가스의 공급 기간에 각각 행하고, TEA 가스의 공급 종료 후의 기간에 행하지 않도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 도 5에 도시하는 변형예 2와 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정과 동시에 행하고, 또한 TEA 가스를 공급하는 공정을 종료한 후에 행하도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간에 행하지 않고, TEA 가스의 공급 기간 및 TEA 가스의 공급 종료 후의 기간에 각각 행하도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 도 5에 도시하는 변형예 3과 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정보다 선행하여 행하고, 또한 TEA 가스를 공급하는 공정과 동시에 행하고, 또한 TEA 가스를 공급하는 공정을 종료한 후에 행하도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간, TEA 가스의 공급 기간, TEA 가스의 공급 종료 후의 기간에 각각 행하도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 도 5에 도시하는 변형예 4와 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정보다 선행하여 행하는 것만으로 하고, TEA 가스를 공급하는 공정과 동시에 행하지 않도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간에만 행하고, TEA 가스의 공급 기간 및 TEA 가스의 공급 개시 후의 기간에는 행하지 않도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 도 5에 도시하는 변형예 5와 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정과 동시에 행하지 않고, TEA 가스를 공급하는 공정을 종료한 후에만 행하도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간 및 TEA 가스의 공급 기간에는 각각 행하지 않고, TEA 가스의 공급 종료 후의 기간에만 행하도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 도 5에 도시하는 변형예 6과 같이, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스를 공급하는 공정보다 선행하여 행하고, TEA 가스를 공급하는 공정과 동시에 행하지 않고, TEA 가스를 공급하는 공정을 종료한 후에 행하도록 해도 된다. 즉, 스텝 2에서, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간에 행하지 않고, TEA 가스의 공급 개시 전 및 공급 종료 후에 각각 행하도록 해도 된다. 이 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
이들 변형예에서도, 도 4, 도 5를 사용하여 설명한 상술한 성막 시퀀스와 마찬가지의 효과를 발휘할 수 있다. 즉, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간에 행할 뿐만 아니라, TEA 가스의 공급 정지 기간에 행하거나, 또한, TEA 가스의 공급 기간에 행하지 않고, TEA 가스의 공급 정지 기간에 행해도, SiCN막 중의 C 농도를 높이는 것이 가능하게 된다. 나아가, 막 중에서의 Si 성분, C 성분, N 성분의 비율을 보다 치밀하게 제어할 수 있게 되어, SiCN막의 조성비 제어의 제어성을 향상시킬 수 있게 된다.
예를 들어, 변형예 1 내지 3에서는, 도 4, 도 5를 사용하여 설명한 상술한 성막 시퀀스에 비해, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다. 즉, 이들 변형예에서는, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간뿐만 아니라, TEA 가스의 공급 정지 기간에도 행하므로, 이 공정을 TEA 가스의 공급 기간에만 행하는 성막 시퀀스에 비해, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다.
또한, 변형예 4 내지 6에서는, 도 4, 도 5를 사용하여 설명한 상술한 성막 시퀀스에 비해, SiCN막의 조성비 제어의 제어성을 더욱 향상시키는 것이 가능하게 된다. 왜냐하면, 이들 변형예에서는, C3H6 가스를 공급하는 공정을, 질소 소스이기도 한 TEA 가스의 공급 기간에는 행하지 않고, TEA 가스의 공급 정지 기간에만 행하도록 하고 있다. 이와 같이, 카본 소스의 공급을 단독으로 행하도록 했을 경우, 초기층 중으로의 C 성분의 첨가, 즉, SiCN막 중으로의 C 성분의 첨가를, N 성분의 첨가와는 독립적으로 행하는 것이 가능하게 된다. 결과적으로, 카본 소스의 공급을 질소 소스의 공급과 동시에 행하는 다른 성막 시퀀스와 비교하여, SiCN막 중의 N 농도의 증가를 억제하면서, 막 중의 C 농도를 높이는 것 등이 더 용이하게 된다.
또한, 변형예 1, 3, 4, 6에서는, 도 4, 도 5를 사용하여 설명한 상술한 성막 시퀀스나 변형예 2, 5에 비해, SiCN막 중의 C 농도를 더 높이는 것이 용이하게 된다. 왜냐하면, 이들 변형예에서는, C3H6 가스를 공급하는 공정을, 질소 소스이기도 한 TEA 가스의 공급 개시 전에 행하도록 하고 있다. 이와 같이, 카본 소스의 공급을, 질소 소스의 공급에 앞서 개시하도록 했을 경우, 초기층 중으로의 C 성분의 첨가를 효율적으로 행하는 것이 가능하게 된다. 결과적으로, C3H6 가스의 공급을 TEA 가스의 공급 기간 중 또는 공급 기간 후에 행하는 다른 성막 시퀀스에 비해, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다. 단, SiCN막 중으로의 N 성분의 첨가를 확실하게 행하기 위해서는, TEA 가스의 공급을, 초기층 위로의 C3H6 가스 분자 등의 흡착 반응이 포화하기 전에, 즉, C3H6 가스의 흡착층(화학 흡착층)이 연속층으로 되기 전(불연속층인 동안에)에 개시하는 것이 바람직하다.
이들 변형예에서는, TEA 가스의 공급 기간 및/또는 공급 정지 기간에 행하는 C3H6 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 처리실(201) 내의 압력, C3H6 가스의 분압 등)을 적정하게 제어함으로써, SiCN막 중의 C 농도를 미세 조정하는 것이 가능하게 된다.
예를 들어, 스텝 2에서, C3H6 가스를 공급하는 공정을 TEA 가스의 공급 정지 기간에 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정(TEA 가스의 공급 기간)에서의 처리실(201) 내의 압력보다 크게 함으로써, SiCN막 중의 C 농도를 더욱 높게 할 수 있다. 즉, C3H6 가스를 공급하는 공정을 TEA 가스를 공급하는 공정보다 선행하여 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력보다 크게 함으로써, SiCN막 중의 C 농도를 더욱 높게 할 수 있다. 또한, C3H6 가스를 공급하는 공정을 TEA 가스를 공급하는 공정을 종료한 후에 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력보다 크게 함으로써, SiCN막 중의 C 농도를 더욱 높게 할 수 있다.
또한 예를 들어, 스텝 2에서, C3H6 가스를 공급하는 공정을 TEA 가스의 공급 정지 기간에 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정(TEA 가스의 공급 기간)에서의 처리실(201) 내의 압력 이하의 압력으로 설정함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제할 수 있다. 즉, C3H6 가스를 공급하는 공정을 TEA 가스를 공급하는 공정보다 선행하여 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력 이하의 압력으로 설정함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제할 수 있다. 또한, C3H6 가스를 공급하는 공정을 TEA 가스를 공급하는 공정을 종료한 후에 행하는 공정에서의 처리실(201) 내의 압력을, TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력 이하의 압력으로 설정함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제할 수 있다.
또한 예를 들어, 스텝 2에서, C3H6 가스를 공급하는 공정을 TEA 가스의 공급 정지 기간에 행하는 공정에서의 C3H6 가스의 가스 공급 시간을 길게 하거나, C3H6 가스의 공급 유량을 크게 하거나 함으로써, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다.
또한 예를 들어, 스텝 2에서, C3H6 가스를 공급하는 공정을 TEA 가스의 공급 정지 기간에 행하는 공정에서의 C3H6 가스의 가스 공급 시간을 짧게 하거나, C3H6 가스의 공급 유량을 작게 하거나 함으로써, SiCN막 중의 C 농도의 증가량을 적정하게 억제하는 것이 가능하게 된다.
이들 변형예에 의하면, TEA 가스를 공급하는 공정에서의 처리실(201) 내의 압력을 과도하게 크게 하거나, TEA 가스의 공급 시간을 과도하게 길게 하거나, TEA 가스의 공급 유량을 과도하게 크게 하거나 하지 않고, SiCN막 중의 C 농도를 높일 수 있다. 즉, TEA 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 처리실(201) 내의 압력, TEA 가스의 분압 등)을 적정한 범위로 하면서, TEA 가스의 공급 정지 기간에 행하는 C3H6 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 처리실(201) 내의 압력, C3H6 가스의 분압 등)을 적정하게 제어함으로써, SiCN막 중의 C 농도를 높일 수 있다. 또한, 비교적 고가인 TEA 가스의 소비량을 저감시킬 수 있어, 기판 처리 비용을 저감할 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 기간 및/또는 공급 정지 기간에 행하는 예에 대하여 설명했지만, 본 발명은 이러한 실시 형태에 한정되지 않는다.
예를 들어, 도 10에 도시한 바와 같이, C3H6 가스를 공급하는 공정을, HCDS 가스를 공급하는 공정과 동시에 행하도록 해도 된다. 또한, 도 10에 도시하는 변형예 1 내지 3과 같이, C3H6 가스를 공급하는 공정을, HCDS 가스의 공급 기간에 행할 뿐만 아니라, HCDS 가스의 공급 정지 기간(HCDS 가스의 공급 개시 전의 기간 및/또는 HCDS 가스의 공급 종료 후의 기간)에 행하도록 해도 된다. 또한, 도 10에 도시하는 변형예 4 내지 6과 같이, C3H6 가스를 공급하는 공정을, HCDS 가스의 공급 기간에 행하지 않고, HCDS 가스의 공급 정지 기간(HCDS 가스의 공급 개시 전의 기간 및/또는 HCDS 가스의 공급 종료 후의 기간)에 행하도록 해도 된다. 이들 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
이들 경우에도, SiCN막 중의 C 농도를 높이는 것이 가능하게 되어, SiCN막의 조성비 제어의 제어성을 향상시킬 수 있게 된다. 특히, 도 10에 도시하는 변형예 1 내지 3에서는, SiCN막 중의 C 농도를 더 높이는 것이 가능하게 된다. 또한, 도 10에 도시하는 변형예 4 내지 6에서는, SiCN막의 조성비 제어의 제어성을 더욱 향상시키는 것이 가능하게 된다. 또한, 변형예 4 내지 6에서는, 도 10에 도시하는 다른 성막 시퀀스에 비해, HCDS 가스나 C3H6 가스를 표면 반응이 지배적인 조건 하에서 적정하게 반응시키기 쉬워져, 막 두께 제어의 제어성을 높이는 것도 가능하게 된다. 또한, 변형예 4 내지 6에서는, 처리실(201) 내에서의 HCDS 가스와 C3H6 가스의 기상 반응도 피하기 쉬워져, 처리실(201) 내에서의 파티클의 발생을 억제하는 것도 가능하게 된다. 또한, 도 10에 도시하는 변형예 1, 3, 4, 6에서는, 도 10에 도시하는 다른 성막 시퀀스에 비해, SiCN막 중의 C 농도를 더 높이는 것이 용이하게 된다. 단, 웨이퍼(200) 위로의 Cl을 포함하는 Si 함유층의 형성을 확실하게 행하기 위해서는, HCDS 가스의 공급을, 웨이퍼(200) 위로의 C3H6 가스 분자 등의 흡착 반응이 포화하기 전에, 즉, C3H6 가스의 흡착층(화학 흡착층)이 연속층으로 되기 전에(불연속층인 동안에) 개시하는 것이 바람직하다.
이와 같이, 도 10에 도시하는 각 성막 시퀀스에서도, 도 5에 도시하는 각 성막 시퀀스와 마찬가지의 작용 효과가 얻어진다. 단, 도 5에 도시하는 각 성막 시퀀스가, 도 10에 도시하는 각 성막 시퀀스에 비해, HCDS 가스나 C3H6 가스를 표면 반응이 지배적인 조건 하에서 더 적정하게 반응시킬 수 있어, 막 두께 제어의 제어성을 높이는 것이 가능하게 되는 점에서 바람직하다. 또한, 도 5에 도시하는 각 성막 시퀀스의 경우, 처리실(201) 내에서 HCDS 가스와 C3H6 가스가 혼합되지 않아, 처리실(201) 내에서의 HCDS 가스와 C3H6 가스의 기상 반응을 피할 수 있어, 처리실(201) 내에서의 파티클의 발생을 억제하는 것이 가능하게 되는 점에서도 바람직하다.
또한 예를 들어, 상술한 실시 형태에서는, SiCN층을 형성할 때에, 웨이퍼(200)에 대하여 클로로실란계 원료 가스를 공급하고, 그 후, 아민계 가스 및 탄화수소계 가스를 공급하는 예에 대하여 설명했지만, 이들 가스의 공급 순서는 역이어도 된다. 즉, 아민계 가스 및 탄화수소계 가스를 공급하고, 그 후, 클로로실란계 원료 가스를 공급하도록 해도 된다. 즉, 클로로실란계 원료 가스와, 아민계 가스 및 탄화수소계 가스 중 어느 한쪽을 먼저 공급하고, 그 후, 다른 쪽을 공급하도록 하면 된다. 이와 같이, 가스의 공급 순서를 바꿈으로써, 형성되는 박막의 막질이나 조성비를 변화시키는 것도 가능하다.
또한 예를 들어, 상술한 실시 형태에서는, 스텝 1에서 초기층을 형성할 때에, 제1 처리 가스로서, 클로로실란계 원료 가스를 사용하는 예에 대하여 설명했지만, 클로로실란계 원료 가스 대신에 클로로기 이외의 할로겐계의 리간드를 갖는 실란계 원료 가스를 사용해도 된다. 예를 들어, 클로로실란계 원료 가스 대신에 플루오로실란계 원료 가스를 사용해도 된다. 여기서, 플루오로실란계 원료 가스란, 기체 상태의 플루오로실란계 원료, 예를 들어 상온 상압하에서 액체 상태인 플루오로실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 플루오로실란계 원료 등이다. 또한, 플루오로실란계 원료란, 할로겐기로서의 플루오로기를 갖는 실란계 원료이며, 적어도 실리콘(Si) 및 불소(F)를 포함하는 원료이다. 즉, 여기에서 말하는 플루오로실란계 원료는, 할로겐화물의 1종이라고도 할 수 있다. 플루오로실란계 원료 가스로서는, 예를 들어 테트라플루오로실란, 즉 실리콘테트라플루오라이드(SiF4) 가스나, 헥사플루오로디실란(Si2F6) 가스 등의 불화실리콘 가스를 사용할 수 있다. 이 경우, 소정 원소와 할로겐 원소를 포함하는 초기층을 형성할 때에, 웨이퍼(200)에 대하여 플루오로실란계 원료 가스를 공급하게 된다. 이 경우, 초기층은, Si 및 F를 포함하는 층, 즉, F를 포함하는 Si 함유층이 된다.
또한 예를 들어, 상술한 실시 형태에서는, 초기층을 SiCN층으로 변화(개질)시킬 때, 제2 처리 가스로서 아민계 가스를 사용하는 예에 대하여 설명했지만, 아민계 가스 대신에 제2 처리 가스로서, 예를 들어 유기 히드라진 화합물을 포함하는 가스, 즉, 유기 히드라진계 가스를 사용해도 된다. 유기 히드라진 화합물을 포함하는 가스를, 간단히, 유기 히드라진 화합물 가스, 또는, 유기 히드라진 가스라 칭할 수도 있다. 여기서, 유기 히드라진계 가스란, 기체 상태의 유기 히드라진, 예를 들어 상온 상압하에서 액체 상태인 유기 히드라진을 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 유기 히드라진 등의 히드라진기를 포함하는 가스이다. 유기 히드라진계 가스는, 탄소(C), 질소(N) 및 수소(H)의 3 원소로 구성되는 실리콘(Si) 비함유의 가스이며, 나아가, Si 및 금속 비함유의 가스이다. 유기 히드라진계 가스로서는, 예를 들어 모노메틸히드라진((CH3)HN2H2, 약칭: MMH), 디메틸히드라진((CH3)2N2H2, 약칭: DMH), 트리메틸히드라진((CH3)2N2(CH3)H, 약칭: TMH) 등을 기화한 메틸히드라진계 가스나, 에틸히드라진((C2H5)HN2H2, 약칭: EH) 등을 기화한 에틸히드라진계 가스를 바람직하게 사용할 수 있다. 이 경우, 초기층을 SiCN층으로 변화(개질)시킬 때, 웨이퍼(200)에 대하여 유기 히드라진계 가스 및 탄화수소계 가스를 공급하게 된다. 유기 히드라진계 가스로서는, C, N 및 H의 3 원소로 구성되고, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 N 원자의 수보다 C 원자의 수가 더 많은 가스를 사용하는 것이 바람직하다. 또한, 유기 히드라진계 가스로서는, 그 조성식 중에서 C 원자를 포함하는 리간드를 복수개 갖는 가스, 즉, 그 조성식 중에서 알킬기 등의 탄화수소기를 복수개 갖는 가스를 사용하는 것이 바람직하다. 구체적으로는, 유기 히드라진계 가스로서는, 그 조성식 중에서 C 원자를 포함하는 리간드(알킬기 등의 탄화수소기)를 3개, 또는 2개 갖는 가스를 사용하는 것이 바람직하다.
또한 예를 들어, 상술한 실시 형태에서는, SiCN층을 형성할 때에, 웨이퍼(200)에 대하여 클로로실란계 원료 가스를 공급하고, 그 후, 아민계 가스 및 탄화수소계 가스를 공급하는 예에 대하여 설명했지만, 클로로실란계 원료 가스와, 아민계 가스 및 탄화수소계 가스를 웨이퍼(200)에 대하여 동시에 공급하여 CVD 반응을 발생시키도록 해도 된다. 이와 같이, 웨이퍼(200)에 대하여 클로로실란계 원료 가스와, 아민계 가스 및 탄화수소계 가스를 순차 공급하는 것이 아니라, 동시에 공급하도록 해도, 상술한 실시 형태와 마찬가지의 작용 효과가 얻어진다. 단, 상술한 실시 형태와 같이, 클로로실란계 원료 가스와, 아민계 가스 및 탄화수소계 가스를, 그들 사이에 처리실(201) 내의 퍼지를 끼워서 교대로 공급하는 것이, 클로로실란계 원료 가스나, 아민계 가스 및 탄화수소계 가스를, 표면 반응이 지배적인 조건 하에서 적정하게 반응시킬 수 있어, 막 두께 제어의 제어성을 향상시키는 것이 가능하게 되는 점에서 바람직하다. 또한, 처리실(201) 내에서의 클로로실란계 원료 가스와, 아민계 가스 및 탄화수소계 가스의 기상 반응을 피하기 쉬워져, 처리실(201) 내에서의 파티클의 발생을 억제하는 것이 가능하게 되는 점에서도 바람직하다.
상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 실리콘계 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
또한, 상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 실리콘계 절연막을 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
상술한 각 실시 형태나 각 변형예에 의하면, 저온 영역에서도 플라즈마를 사용하지 않고, 이상적 양론비의 실리콘계 절연막을 형성할 수 있다. 또한, 플라즈마를 사용하지 않고 실리콘계 절연막을 형성할 수 있으므로, 예를 들어 DPT의 SADP막 등, 플라즈마 대미지를 우려하는 공정에 대한 적응도 가능하게 된다.
또한, 상술한 실시 형태에서는, 소정 원소를 포함하는 탄질화막으로서, 반도체 원소인 Si를 포함하는 실리콘계 절연막(SiCN막)을 형성하는 예에 대하여 설명했지만, 본 발명은 예를 들어 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 적용할 수 있다.
즉, 본 발명은 예를 들어 티타늄탄질화막(TiCN막), 지르코늄탄질화막(ZrCN막), 하프늄탄질화막(HfCN막), 탄탈탄질화막(TaCN막), 알루미늄탄질화막(AlCN막), 몰리브덴탄질화막(MoCN막) 등의 금속 탄질화 막을 형성하는 경우에도 적절하게 적용할 수 있다.
이 경우, 제1 처리 가스로서, 상술한 실시 형태에서의 클로로실란계 원료 가스 대신에 금속 원소와 할로겐 원소를 포함하는 원료 가스를 사용하여, 상술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 행할 수 있다. 즉, 웨이퍼(200)에 대하여 금속 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수(1회 이상) 행함으로써, 금속계 박막으로서, 금속 원소, 탄소 및 질소를 포함하는 막을 웨이퍼(200) 위에 형성할 수 있다.
예를 들어, Ti를 포함하는 금속계 박막(TiCN막)을 형성하는 경우에는, 제1 처리 가스로서, 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료 가스나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, Zr을 포함하는 금속계 박막(ZrCN막)을 형성하는 경우에는, 제1 처리 가스로서, 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료 가스나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, Hf를 포함하는 금속계 박막(HfCN막)을 형성하는 경우에는, 제1 처리 가스로서, 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료 가스나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, Ta를 포함하는 금속계 박막(TaCN막)을 형성하는 경우에는, 제1 처리 가스로서, 탄탈펜타클로라이드(TaCl5) 등의 Ta 및 클로로기를 포함하는 원료 가스나, 탄탈펜타플루오라이드(TaF5) 등의 Ta 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, Al을 포함하는 금속계 박막(AlCN막)을 형성하는 경우에는, 제1 처리 가스로서, 알루미늄트리클로라이드(AlCl3) 등의 Al 및 클로로기를 포함하는 원료 가스나, 알루미늄트리플루오라이드(AlF3) 등의 Al 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, Mo를 포함하는 금속계 박막(MoCN막)을 형성하는 경우에는, 제1 처리 가스로서, 몰리브덴펜타클로라이드(MoCl5) 등의 Mo 및 클로로기를 포함하는 원료 가스나, 몰리브덴펜타플루오라이드(MoF5) 등의 Mo 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 제2, 제3 처리 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
즉, 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 적절하게 적용할 수 있다.
이들 각종 박막의 성막에 사용되는 프로세스 레시피(처리 수순이나 처리 조건 등이 기재된 프로그램)는, 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라, 각각 개별로 준비하는(복수로 준비하는) 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라, 복수의 프로세스 레시피 중에서 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라서 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체(외부 기억 장치(123))를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라, 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이렇게 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건 등의 입력 부담 등)을 저감할 수 있어, 조작 오류를 피하면서 기판 처리를 신속하게 개시할 수 있게 된다.
상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
상술한 실시 형태에서는, 한번에 복수 장의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용하여 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 예를 들어 한번에 1장 또는 수장의 기판을 처리하는 낱장식의 기판 처리 장치를 사용하여 박막을 형성하는 경우에도 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 콜드월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 형성하는 경우에도 적절하게 적용할 수 있다. 이들 경우에도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한, 상술한 각 실시 형태나 각 변형예나 각 응용예 등은, 적절히 조합하여 사용할 수 있다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
[실시예]
(실시예 1)
본 실시예에서는, 상술한 실시 형태에서의 기판 처리 장치를 사용하여, 상술한 실시 형태의 성막 시퀀스에 의해, 복수 장의 웨이퍼 위에 SiCN막을 형성하였다. 제1 처리 가스로서는 HCDS 가스를, 제2 처리 가스로서는 TEA 가스를, 제3 처리 가스로서는 C3H6 가스를 사용하였다. 스텝 2에서는, 도 5의 변형예 3에 나타내는 가스 공급 타이밍에서 C3H6 가스를 공급하였다. 즉, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간(공급 정지 기간), TEA 가스의 공급 기간, TEA 가스의 공급 종료 후의 기간(공급 정지 기간)에 각각 행하도록 하였다. 성막 시의 웨이퍼 온도는 600 내지 650℃의 범위 내의 온도로 하였다. 그 밖의 처리 조건은, 상술한 실시 형태에 기재된 처리 조건 범위 내의 소정의 값으로 설정하였다.
그리고, 본 실시예에 관한 SiCN막의 막 두께 분포, 면내 평균 막 두께, 웨이퍼면 내에서의 막 두께 균일성(이하, WiW라고도 함), 굴절률(Refractive Index, 이하, R. I.라고도 함) 및 RMS 조도(표면 조도)를 각각 측정하였다.
도 6은, 보트의 중앙부(Center)에 배치된 웨이퍼 위에 형성된 SiCN막의 막 두께 분포(Thickness Map), 면내 평균 막 두께(Thickness), WiW 및 R. I.의 측정 결과를 나타내는 도면이다. 도 6에 도시한 바와 같이, 본 실시예에 관한 SiCN막의 막 두께 분포는 양호해서, 그 평균 막 두께는 149.8Å, WiW는 ±1.76%, R. I.는 2.147이었다. 즉, 본 실시예에 관한 SiCN막은, 웨이퍼면 내에서의 막 두께 균일성이 양호해서, 적정한 값의 R. I.를 갖는 막이 되는 것을 확인하였다.
도 7은, 본 실시예에 관한 SiCN막의 RMS 조도의 측정 결과를 도시하는 도면이다. RMS 조도는, 그 값이 작을수록 표면이 평탄한 것을 나타내고 있고, 반대로 그 값이 클수록 표면이 거친 것을 나타내고 있다. 도 7에 도시한 바와 같이, 본 실시예에 관한 SiCN막의 RMS 조도는 0.47nm 이하이었다. 즉, 본 실시예에 관한 SiCN막은, 평탄성이 높은 막이 되는 것을 확인하였다.
(실시예 2)
본 실시예에서는, 상술한 실시 형태에서의 기판 처리 장치를 사용하여, 상술한 실시 형태의 성막 시퀀스에 의해, 복수 장의 웨이퍼 위에 SiCN막을 형성하였다. 성막 시의 처리 수순 및 처리 조건은, 실시예 1의 처리 수순 및 처리 조건과 동일하게 하였다.
또한, 비교예로서, 상술한 실시 형태에서의 기판 처리 장치를 사용하여, 웨이퍼에 대하여 HCDS 가스를 공급하는 스텝과, 웨이퍼에 대하여 TEA 가스를 공급하는 스텝을 이 순서대로 행하는 사이클을 소정 횟수 행하는 성막 시퀀스에 의해, 복수 장의 웨이퍼 위에 SiCN막을 형성하였다. 비교예에서는, 웨이퍼에 대하여 C3H6 가스를 공급하는 스텝을 행하지 않았다. 성막 시의 웨이퍼 온도는 600 내지 650℃의 범위 내의 온도로 하였다. 그 밖의 처리 조건은, 상술한 실시 형태에 기재된 처리 조건 범위 내의 소정의 값으로 설정하였다.
그리고, 이들 막에 대해서, XPS 조성, 불화수소(HF) 내성을 각각 측정하였다. 이들 측정 결과를 도 8, 도 9에 각각 나타낸다.
도 8은, 본 실시예에 관한 SiCN막의 XPS 측정 결과를 도시하는 도면이다. 도 8의 횡축은, 비교예에 관한 막, 본 실시예에 관한 막을 순서대로 나타내고 있다. 도 8의 종축은, XPS로 측정한 막 중의 Si, O, C, N 농도[at%]를 나타내고 있다. 또한, 어느 막에든 O 성분이 검출되었고, 모두 불순물 레벨인데, 이것은, SiCN막과 하지의 계면이나, SiCN막 표면에 형성된 자연 산화막에 기인하는 것이라 생각된다. 도 8에 의하면, 본 실시예에 관한 SiCN막은, 비교예에 관한 SiCN막보다, 막 중의 N 농도가 낮고, C 농도가 높은 것을 알 수 있다. 즉, C3H6 가스를 공급하는 공정을, TEA 가스의 공급 개시 전의 기간(공급 정지 기간), TEA 가스의 공급 기간, TEA 가스의 공급 종료 후의 기간(공급 정지 기간)에 각각 행함으로써, SiCN막 중의 N 농도의 증가를 억제하면서, 막 중의 C 농도를 높이는 것, 즉, C 리치인 SiCN막을 형성하는 것이 가능한 것을 알 수 있다.
도 9는, 본 실시예에 관한 SiCN막의 HF 내성의 측정 결과를 도시하는 도면이다. 도 9의 횡축은, 비교예에 관한 막, 본 실시예에 관한 막을 순서대로 나타내고 있다. 도 9의 종축은, 농도 1%의 HF 함유액을 사용하여 막을 에칭했을 때의 습식 에칭 레이트(이하, W. E. R.이라고도 함)[Å/min], 즉, HF에 대한 막의 내성을 나타내고 있다. 도 9에 의하면, 본 실시예에 관한 SiCN막이, 비교예에 관한 SiCN막보다 W. E. R.이 더 작은 것을 알 수 있다. 즉, 본 실시예에 관한 SiCN막이, 비교예에 관한 SiCN막보다 HF 내성이 더 높은 것을 알 수 있다. 이것은, 본 실시예에 관한 SiCN막이, 비교예에 관한 SiCN막보다 막 중의 C 농도가 더 높은 것에 의한 것이라 생각된다.
(기타)
상술한 실시예 1, 2에서는, 각각 TEA 가스의 공급 기간 및/또는 공급 정지 기간에 행하는 C3H6 가스를 공급하는 공정에서의 공급 조건(가스의 공급 시간, 공급 유량, 처리실 내의 압력, C3H6 가스의 분압 등)을 적정하게 제어함으로써, SiCN막 중의 N 농도의 증가를 억제하면서, SiCN막 중의 C 농도를 미세 조정하는 것이 가능한 것도 확인하였다.
또한, 상술한 실시예 1, 2에서는, 각각 도 5의 변형예 3에 나타내는 가스 공급 타이밍에서 C3H6 가스를 공급하여 SiCN막을 형성했지만, 도 5에 도시하는 다른 가스 공급 타이밍에서 C3H6 가스를 공급하여 SiCN막을 형성해도, 상술한 실시예 1, 2와 동일한 경향의 효과가 얻어지는 것을 확인하였다. 또한, 도 10에 도시하는 다양한 가스 공급 타이밍에서 C3H6 가스를 공급하여 SiCN막을 형성해도, 상술한 실시예 1, 2와 동일한 경향의 효과가 얻어지는 것도 확인하였다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기한다.
(부기 1)
본 발명의 일 형태에 의하면, 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막(상기 소정 원소를 포함하는 탄질화막)을 상기 기판 위에 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정은, 상기 제2 처리 가스의 공급 기간에 행해진다.
(부기 3)
부기 1 또는 2에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정은, 상기 제2 처리 가스의 공급 정지 기간에 행해진다.
(부기 4)
부기 1 내지 3 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정은, 상기 제2 처리 가스를 공급하는 공정과 동시에 행해진다.
(부기 5)
부기 1 내지 4 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정은, 상기 제2 처리 가스를 공급하는 공정보다 선행하여 행해진다.
(부기 6)
부기 1 내지 5 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정은, 상기 제2 처리 가스를 공급하는 공정을 종료한 후에 행해진다.
(부기 7)
부기 1 내지 6 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 막을 형성하는 공정은, 상기 기판을 처리실 내에 수용한 상태에서 행해지고, 상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스의 공급 정지 기간에 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정(상기 제2 처리 가스의 공급 기간)에서의 상기 처리실 내의 압력보다 크게(높게) 한다.
(부기 8)
부기 1 내지 7 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 막을 형성하는 공정은, 상기 기판을 처리실 내에 수용한 상태에서 행해지고, 상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스를 공급하는 공정보다 선행하여 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다 크게 한다.
(부기 9)
부기 1 내지 8 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 막을 형성하는 공정은, 상기 기판을 처리실 내에 수용한 상태에서 행해지고, 상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스를 공급하는 공정을 종료한 후에 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다 크게 한다.
(부기 10)
부기 1 내지 9 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스를 공급하는 공정에서의 상기 제3 처리 가스의 공급 조건(제3 처리 가스의 공급 시간, 공급 유량, 분압, 처리실내 압력)을 제어함으로써, 상기 막 중의 탄소 농도를 제어한다.
(부기 11)
부기 1 내지 10 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제2 처리 가스는, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 가스를 포함한다.
(부기 12)
부기 1 내지 11 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제2 처리 가스는, 그 조성식 중(화학 구조식 중, 1 분자 중)에서 탄소 원자를 포함하는 리간드를 복수개 갖는 가스를 포함한다.
(부기 13)
부기 1 내지 12 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제2 처리 가스는 아민 및 유기 히드라진 중 적어도 어느 하나를 포함한다.
(부기 14)
부기 1 내지 13 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스는, 탄소 및 수소의 2 원소로 구성되는 가스를 포함한다.
(부기 15)
부기 1 내지 14 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 제3 처리 가스는 탄화수소계 가스를 포함한다.
(부기 16)
부기 1 내지 15 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 있어서, 상기 소정 원소는 실리콘 또는 금속을 포함하고, 상기 할로겐 원소는 염소 또는 불소를 포함한다.
(부기 17)
본 발명의 다른 형태에 의하면, 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과, 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 공정을 갖는 기판 처리 방법이 제공된다.
(부기 18)
본 발명의 또 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 제1 가스 공급계와, 상기 처리실 내에 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 제2 가스 공급계와, 상기 처리실 내에 탄소를 포함하는 제3 처리 가스를 공급하는 제3 가스 공급계와, 상기 처리실 내의 상기 기판에 대하여 상기 제1 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제2 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제3 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계 및 상기 제3 가스 공급계를 제어하도록 구성되는 제어부를 갖는 기판 처리 장치가 제공된다.
(부기 19)
본 발명의 또 다른 형태에 의하면, (기판 처리 장치의) 처리실 내의 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 위에, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 형성하는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램 및 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부) 200 : 웨이퍼(기판)
201 : 처리실 202 : 처리로
203 : 반응관 207 : 히터
231 : 배기관 232a 가스 공급관
232b 가스 공급관 232c 가스 공급관

Claims (18)

  1. 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 공정과,
    상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 공정과,
    상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 공정을 갖는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정은 상기 제2 처리 가스의 공급 기간에 행해지는, 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정은 상기 제2 처리 가스의 공급 정지 기간에 행해지는, 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정은 상기 제2 처리 가스를 공급하는 공정과 동시에 행해지는, 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정은 상기 제2 처리 가스를 공급하는 공정보다 선행하여 행해지는, 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정은 상기 제2 처리 가스를 공급하는 공정을 종료한 후에 행해지는, 반도체 장치의 제조 방법.
  7. 제3항에 있어서,
    상기 막을 형성하는 공정은 상기 기판을 처리실 내에 수용한 상태에서 행해지고,
    상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스의 공급 정지 기간에 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다 크게 하는, 반도체 장치의 제조 방법.
  8. 제5항에 있어서,
    상기 막을 형성하는 공정은 상기 기판을 처리실 내에 수용한 상태에서 행해지고,
    상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스를 공급하는 공정보다 선행하여 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다 크게 하는, 반도체 장치의 제조 방법.
  9. 제6항에 있어서,
    상기 막을 형성하는 공정은 상기 기판을 처리실 내에 수용한 상태에서 행해지고,
    상기 제3 처리 가스를 공급하는 공정을 상기 제2 처리 가스를 공급하는 공정을 종료한 후에 행하는 공정에서의 상기 처리실 내의 압력을, 상기 제2 처리 가스를 공급하는 공정에서의 상기 처리실 내의 압력보다 크게 하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 제3 처리 가스를 공급하는 공정에서의 상기 제3 처리 가스의 공급 조건을 제어함으로써, 상기 막 중의 탄소 농도를 제어하는, 반도체 장치의 제조 방법.
  11. 제1항에 있어서, 상기 제2 처리 가스는 1 분자 중에서 질소 원자의 수보다 탄소 원자의 수가 더 많은 가스를 포함하는, 반도체 장치의 제조 방법.
  12. 제1항에 있어서, 상기 제2 처리 가스는 1 분자 중에서 탄소 원자를 포함하는 리간드를 복수개 갖는 가스를 포함하는, 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 제2 처리 가스는 아민 및 유기 히드라진으로 이루어지는 군에서 선택되는 적어도 1개를 포함하는, 반도체 장치의 제조 방법.
  14. 제1항에 있어서, 상기 제3 처리 가스는 탄소 및 수소의 2 원소로 구성되는 가스를 포함하는, 반도체 장치의 제조 방법.
  15. 제1항에 있어서, 상기 제3 처리 가스는 탄화수소계 가스를 포함하는, 반도체 장치의 제조 방법.
  16. 제1항에 있어서, 상기 소정 원소는 실리콘 또는 금속을 포함하고, 상기 할로겐 원소는 염소 또는 불소를 포함하는, 반도체 장치의 제조 방법.
  17. 기판을 수용하는 처리실과,
    상기 처리실 내에 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 제1 가스 공급계와,
    상기 처리실 내에 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 제2 가스 공급계와,
    상기 처리실 내에 탄소를 포함하는 제3 처리 가스를 공급하는 제3 가스 공급계와,
    상기 처리실 내의 상기 기판에 대하여 상기 제1 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제2 처리 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 제3 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 기판 위에, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계 및 상기 제3 가스 공급계를 제어하도록 구성되는 제어부
    를 갖는 기판 처리 장치.
  18. 처리실 내의 기판에 대하여 소정 원소와 할로겐 원소를 포함하는 제1 처리 가스를 공급하는 수순과,
    상기 처리실 내의 상기 기판에 대하여 탄소, 질소 및 수소의 3 원소로 구성되는 제2 처리 가스를 공급하는 수순과,
    상기 처리실 내의 상기 기판에 대하여 탄소를 포함하는 제3 처리 가스를 공급하는 수순
    을 포함하는 사이클을 소정 횟수 행함으로써, 상기 소정 원소, 탄소 및 질소를 포함하는 막을 상기 기판 위에 형성하는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램.
KR1020140123449A 2013-10-21 2014-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 KR101680940B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013218296A JP5847783B2 (ja) 2013-10-21 2013-10-21 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JPJP-P-2013-218296 2013-10-21

Publications (2)

Publication Number Publication Date
KR20150045883A true KR20150045883A (ko) 2015-04-29
KR101680940B1 KR101680940B1 (ko) 2016-11-29

Family

ID=52826540

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140123449A KR101680940B1 (ko) 2013-10-21 2014-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Country Status (5)

Country Link
US (1) US9583338B2 (ko)
JP (1) JP5847783B2 (ko)
KR (1) KR101680940B1 (ko)
CN (1) CN104576329B (ko)
TW (1) TWI555089B (ko)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6315699B2 (ja) * 2014-03-17 2018-04-25 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP5975556B1 (ja) * 2015-12-11 2016-08-23 上野精機株式会社 移載装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6479713B2 (ja) * 2016-07-11 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6441989B2 (ja) * 2017-04-27 2018-12-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6783888B2 (ja) 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP6827573B2 (ja) * 2020-01-27 2021-02-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172841A (ja) * 2020-04-22 2021-11-01 東京エレクトロン株式会社 成膜方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024135040A1 (ja) * 2022-12-22 2024-06-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5109299B2 (ja) * 2005-07-07 2012-12-26 東京エレクトロン株式会社 成膜方法
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
TWI397115B (zh) * 2006-03-27 2013-05-21 Hitachi Int Electric Inc 半導體裝置的製造方法及基板處理裝置以及清潔方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
CN101624696A (zh) * 2008-07-11 2010-01-13 南亚科技股份有限公司 介电物质的沉积方法及其所应用的前驱体
JP5067381B2 (ja) * 2009-02-19 2012-11-07 東京エレクトロン株式会社 熱処理装置の運転方法
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012015344A (ja) 2010-07-01 2012-01-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5722450B2 (ja) 2011-08-25 2015-05-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035166B2 (ja) * 2013-02-26 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
CN104576329B (zh) 2017-06-13
TW201517173A (zh) 2015-05-01
TWI555089B (zh) 2016-10-21
JP5847783B2 (ja) 2016-01-27
US9583338B2 (en) 2017-02-28
JP2015082525A (ja) 2015-04-27
CN104576329A (zh) 2015-04-29
KR101680940B1 (ko) 2016-11-29
US20150111395A1 (en) 2015-04-23

Similar Documents

Publication Publication Date Title
KR101680940B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101639490B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6105967B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101549775B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101402644B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101440449B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6154215B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101338979B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP5951443B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6111106B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101624452B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6111097B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101862923B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6007031B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2016034043A (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 4