KR20140018861A - 마이크로파 플라즈마를 이용한 박막 증착 - Google Patents

마이크로파 플라즈마를 이용한 박막 증착 Download PDF

Info

Publication number
KR20140018861A
KR20140018861A KR1020137019348A KR20137019348A KR20140018861A KR 20140018861 A KR20140018861 A KR 20140018861A KR 1020137019348 A KR1020137019348 A KR 1020137019348A KR 20137019348 A KR20137019348 A KR 20137019348A KR 20140018861 A KR20140018861 A KR 20140018861A
Authority
KR
South Korea
Prior art keywords
substrate
microwave
silicon
gas supply
gas
Prior art date
Application number
KR1020137019348A
Other languages
English (en)
Other versions
KR101563541B1 (ko
Inventor
태 경 원
헤린다 노미난다
선-미 조
수 영 최
범 수 박
존 엠. 화이트
수하일 안워
조제프 쿠델라
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140018861A publication Critical patent/KR20140018861A/ko
Application granted granted Critical
Publication of KR101563541B1 publication Critical patent/KR101563541B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 개선된 마이크로파 보조식 CVD 챔버를 이용한 실리콘 함유 유전체층에 대한 증착 프로세스들을 제공한다. 일 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 일반적으로, 프로세싱 챔버 내에 배치된 마이크로파 소스에 커플링된 안테나에 마이크로파 전력을 인가하는 단계로서, 마이크로파 소스는 기판의 전체 표면을 실질적으로 커버하는 가스 분배 커버리지를 제공하도록 구성된 가스 공급 소스 위에 상대적으로 배치되는, 상기 마이크로파 전력을 인가하는 단계, 및 약 200℃보다 낮은 온도에서 기판 상에 실리콘 함유층을 증착하기 위해 가스 공급 소스에 의해 제공된 프로세싱 가스로부터 발생된 마이크로파 플라즈마에 기판을 노출하는 단계를 포함하고, 마이크로파 플라즈마는 약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용한다.

Description

마이크로파 플라즈마를 이용한 박막 증착{THIN FILM DEPOSITION USING MICROWAVE PLASMA}
본 발명의 실시예들은 일반적으로, 개선된 마이크로파 보조식 CVD 챔버를 이용한 실리콘 함유 유전체층에 대한 증착 프로세스들에 관한 것이다.
집적회로들의 제조에 있어서, 화학기상증착(CVD) 프로세스들이 종종 다양한 재료층들의 증착 또는 에칭을 위해 사용된다. 일반적인 CVD 기술들의 예들은 열적 CVD, 저압 CVD(LPCVD), 플라즈마 강화 CVD(PECVD), 마이크로파 플라즈마 보조식 CVD, 대기압 CVD 등을 포함한다. 종래의 열적 CVD 프로세스들은, 원하는 층을 생성하기 위해 열 유도형 화학 반응들이 발생하는 기판 표면에 반응성 화합물들을 공급한다. 플라즈마 강화 화학기상증착(PECVD) 프로세스들은 반응성 화합물들의 해리를 증가시키기 위해 증착 챔버에 커플링된 전력원(예를 들어, 무선 주파수(RF) 전력 또는 마이크로파 전력)을 채용한다. 따라서, PECVD 프로세스들은, 유사한 열적 프로세스들에 대해 요구된 것들보다 더 낮은 기판 온도들(예를 들어, 약 75℃ 내지 650℃)에서 양질의 재료들의 신속한 성장을 위한 풍부하고 비용 효율적인 방법이다. 이는 엄격한 열적 버짓 요구들을 갖는 프로세스들에 대해 유리하다.
더 대형의 플랫 패널 디스플레이들 및 태양광 패널들에 대한 요구가 계속 증가함에 따라, 기판의 사이즈 및 그에 따른 프로세싱 챔버의 사이즈가 증가되어야 한다. 박막 증착에 있어서, 종종, 대형 기판 상에 막들을 형성하기 위해 높은 증착 레이트를 갖고 막 특성들을 제어하기 위해 유연성을 갖는 것이 바람직하다. 더 높은 증착 레이트는 플라즈마 밀도를 증가시키거나 챔버 압력을 저감시킴으로써 달성될 수도 있다. 마이크로파 플라즈마 보조식 CVD(MPCVD)는, 13.56MHz에서 구동하는 통상의 무선 주파수(RF) 커플링형 플라즈마 소스들과 비교할 때 2.45GHz에서의 개선된 전력 커플링 및 흡수의 결과로서, 더 높은 플라즈마 밀도들(예를 들어, 1011 이온/cm3) 및 더 높은 증착 레이트를 달성하기 위해 개발되었다. RF 플라즈마를 이용하는 하나의 단점은 입력 전력의 대부분이 플라즈마 시스(다크 스페이스)에 걸쳐 강하된다는 점이다. 마이크로파 플라즈마를 이용함으로써, 좁은 플라즈마 시스가 형성되고 더 많은 전력이 라디칼 및 이온 종의 생성을 위해 플라즈마에 의해 흡수될 수 있다. 이는, 이온 에너지 분포의 충돌 확장성을 감소시킴으로써 좁은 에너지 분포를 갖는 플라즈마 밀도를 증가시킬 수 있다.
과거에, 진공 코팅 산업에 있어서 마이크로파 소스 기술과 연관된 주요 단점은, 소형 웨이퍼 프로세싱으로부터 대면적 기판 프로세싱으로의 스케일 업 동안 동질성을 유지하는데 있어서의 곤란성이었다. 마이크로파 반응기 설계에 있어서의 최근의 진보는 이들 난제들을 손이 미치는 범위 내에 두게 되었다. 플라즈마 선형 소스들의 어레이들은, 밀집하고 두꺼운 막들을 형성하기 위한 높은 증착 레이트로 초대면적(1m2 초과)의 실질적으로 균일한 막들을 증착하기 위해 개발되었다. 하지만, 기판의 사이즈가 계속 증가함에 따라, 합당한 비용으로 대규모 제조가 가능하게 하면서 더 높은 증착 레이트에서 대면적의 기판 상에 균일한 막들을 증착하기 위해 플라즈마 동질성 및 밀도를 개선시키기 위한 계속적인 필요성이 당업계에 존재한다.
본 발명의 실시예들은 일반적으로, 개선된 마이크로파 보조식 CVD 챔버를 이용한 실리콘 함유 유전체층에 대한 증착 프로세스들을 제공한다. 일 실시예에 있어서, 기판 위에 실리콘 함유층을 증착하는 방법이 제공된다. 그 방법은 일반적으로, 마이크로파 소스 및 가스 공급 소스를 갖는 프로세싱 챔버에 기판을 로딩하는 단계, 프로세싱 가스를 가스 공급 소스로 유동시키는 단계, 마이크로파 소스에 커플링된 안테나에 마이크로파 전력을 인가함으로써 프로세싱 가스로부터 플라즈마를 발생시키는 단계, 및 약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용하여 플라즈마의 존재하에서 기판 상에 실리콘 함유층을 증착하는 단계를 포함하고, 여기서, 기판은 증착 동안 약 200℃보다 낮은 온도로 유지된다.
다른 실시예에 있어서, 기판 위에 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스가 제공된다. 그 프로세스는 일반적으로, 기판 서셉터에 대해 병렬 관계로 위치되는 마이크로파 소스 및 가스 공급 소스를 포함하는 프로세싱 챔버에 기판을 로딩하는 단계로서, 마이크로파 소스는 서로로부터 병렬 공면 관계로 배열된 하나 또는 그 이상의 선형 마이크로파 발생기들을 갖고, 가스 공급 소스는 서로로부터 병렬 공면 관계로 배열된 가스 공급 라인들의 어레이를 갖는, 상기 기판을 로딩하는 단계, 전구체 가스들을 가스 공급 소스로 유동시키는 단계, 마이크로파 전력을 마이크로파 소스로 변조함으로써 전구체 가스들로부터 플라즈마를 발생시키는 단계, 가스 공급 소스로부터 기판 서셉터 상에 배치된 기판의 전체 표면을 실질적으로 향해 전구체 가스들을 균일하게 분배하는 단계, 및 약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용하여 플라즈마의 존재하에서 기판 상에 실리콘 함유층을 증착하는 단계를 포함하고, 여기서, 기판은 증착 동안 약 200℃보다 낮은 온도로 유지된다.
또 다른 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 일반적으로, 프로세싱 챔버 내에 배치된 마이크로파 소스에 커플링된 안테나에 마이크로파 전력을 인가하는 단계로서, 마이크로파 소스는 기판의 전체 표면을 실질적으로 커버하는 가스 분배 커버리지를 제공하도록 구성된 가스 공급 소스 위에 상대적으로 배치되는, 상기 마이크로파 전력을 인가하는 단계, 및 약 200℃보다 낮은 온도에서 기판 상에 실리콘 함유층을 증착하기 위해 가스 공급 소스에 의해 제공된 프로세싱 가스로부터 발생된 마이크로파 플라즈마에 기판을 노출하는 단계를 포함하고, 마이크로파 플라즈마는 약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용한다.
본 발명의 상기 기재된 특징들이 상세히 이해될 수 있도록, 상기 간략히 요약된 본 발명의 더 상세한 설명이 실시예들을 참조하여 행해질 수도 있으며, 이 실시예들 중 일부는 첨부 도면들에 도시된다. 하지만, 첨부 도면들은 본 발명의 오직 통상적인 실시예들을 예시할 뿐이고, 따라서, 그 범위를 한정하는 것으로서 간주되지 않아야 하며, 본 발명은 다른 동일하게 유효한 실시예들을 인정할 수도 있음을 유의해야 한다.
도 1a는 본 발명의 일 실시예에 따른 개략적인 동축 마이크로파 플라즈마 보조식 CVD 챔버의 단면도이다.
도 1b 및 도 1c는, 기판이 기판 서셉터의 상부면으로부터 이격되거나 기판 서셉터의 상부면과 접촉함을 나타내는 대안적인 실시예의 확대도이다.
도 2a는 본 발명의 일 실시예에 따른 가스 공급 소스 및 동축 마이크로파 소스의 예시적인 배열을 나타낸 개략적인 동축 마이크로파 플라즈마 보조식 CVD 챔버의 상면도이다.
도 2b 내지 도 2d는 본 발명의 다양한 실시예들에 따른 가스 공급 소스 및 마이크로파 소스의 가능한 구성들이다.
도 3은 본 발명의 일 실시예에 따른 동축 마이크로파 소스의 개략 단면도이다.
도 4는 본 발명의 마이크로파 플라즈마 보조식 CVD 챔버를 이용하여 기판 상에 실리콘 질화물(SiN)막을 형성하기 위해 이용될 수도 있는 프로세스 시퀀스의 다이어그램이다.
도 5는, 기판 서셉터와 접촉하고 기판 서셉터와 이격된 기판과 마이크로파 보조식 CVD 증착된 SiN막의 막 균일도 비교를 포함하여, 동축 마이크로파 소스와 기판 간의 간격의 함수로서 증착 레이트(Å/min 단위)를 나타낸 그래프이다.
도 6 및 도 7은, 각각, (프로세싱되는 기판의 에지로부터의) X축 거리 및 Y축 거리의 함수로서 증착 레이트를 나타낸 그래프를 도시한 것이다.
이해를 용이하게 하기 위해, 동일한 참조부호들은, 가능할 경우, 도면들에 공통인 동일한 엘리먼트들을 지정하도록 사용되었다. 일 실시예에 개시된 엘리먼트들은 특정 기재없이도 다른 실시예들에 유리하게 활용될 수도 있음이 고려된다.
본 발명의 실시예들은 개선된 마이크로파 보조식 CVD 챔버를 이용한 실리콘 함유 유전체층(예를 들어, SiN)에 대한 증착 프로세스들을 제공한다. 개선된 마이크로파 보조식 CVD 챔버는, 기판이 배치되는 기판 서셉터와의 병렬 관계로 위치되는 가스 공급 소스 및 동축 마이크로파 소스를 포함한다. 가스 공급 소스는 동축 마이크로파 소스와 기판 서셉터 사이에 위치될 수도 있다. 가스 공급 소스는, 서로 병렬로 배열되고 서로로부터 세로로 이격된 가스 공급 라인들의 어레이를 포함할 수도 있다. 동축 마이크로파 소스는, 가스 공급 라인들의 세로 방향에 대해 병렬 관계로 배열될 수도 있는 단일의 또는 복수의 선형 마이크로파 발생기를 포함할 수도 있다. 마이크로파 플라즈마 소스를 갖는 본 발명의 장치를 이용함으로써, 통상의 플라즈마 강화 화학기상증착 프로세스들에서 달리 요구되는 고가의 가열 엘리먼트들을 이용하는 기판 서셉터들의 필요없이 양질의 CVD막이 획득될 수 있고, 이에 의해, 기판 서셉터와 연관된 제조 비용 및 유지보수 작업을 저감시킬 수 있다. 부가적으로, 더 많은 전력이 라디칼 및 이온 종의 생성을 위해 플라즈마에 의해 흡수될 수 있으며, 이는 차례로, 플라즈마 밀도 및 증착 레이트를 증가시킨다. 따라서, 증가된 플라즈마 밀도의 결과로서, 더 낮은 기판 온도(예를 들어, 200℃ 미만)가 달성될 수도 있다.
예시적인 증착 챔버
도 1a는 본 발명의 일 실시예에 따른 개략적인 동축 마이크로파 플라즈마 보조식 CVD 챔버(100)의 단면도이다. 프로세스 챔버(100)는, 프로세스 챔버(100)로부터 기판(102)을 제거하지 않고도 하나 또는 그 이상의 막들이 기판(102) 상에 증착되게 하도록 구성된다. 하기 설명은 마이크로파 플라즈마 보조식 CVD 챔버, 특히, 마이크로파 및 가스 공급 소스들이 수평 증착 프로세스를 위한 수평으로 위치된 기판 서셉터 상에 배치되는 수평 타입 챔버와 관련하여 행해질 것이지만, 본 발명은 프로세스 챔버의 챔버 벽들에 수직으로 부착된 마이크로파 라인 소스들, 및 기판을 수직 구성으로 지지하기 위한 수직으로 위치된 기판 서셉터를 갖는 그 수직 타입 증착 챔버들에 적용될 수도 있음을 이해해야 한다. 본 발명으로부터의 이익에 적응될 수도 있는 수직 타입 증착 챔버의 실시예들은 예를 들어, 명칭이 "DYNAMIC VERTICAL MICROWAVE DEPOSITION OF DIELECTRIC LAYERS"이고 본 명세서에 참조로 통합되는 미국특허 제12/833,571호에서 설명된다. 본 발명은 다른 제조자들에 의해 제조된 챔버들을 포함한 다른 프로세싱 챔버들, 및 에칭, 이온 주입, 표면 처리 등과 같이 다른 플라즈마 보조식 프로세스들에 또한 동일하게 적용가능하다. 부가적으로, 도면들 및 대응하는 설명은 단지 예시적일 뿐이고 단일 실시예에서 설명된 임의의 개별 하드웨어 피처는 본 명세서에서 설명된 임의의 다른 실시예들과 결합될 수 있음을 유의해야 한다.
기판(102)은 특히, 금속, 플라스틱, 유기 재료, 실리콘, 유리, 석영, 또는 폴리머 재료들의 박형 시트일 수도 있다. 일 실시예에 있어서, 기판(102)은 실리콘 함유 유전체가 증착될 유리 기판이다. 다른 실시예들에 있어서, 기판(102)은, 도핑되거나 그렇지 않으면 변형된 유리 기판일 수도 있다. 기판(102)은 약 2 제곱 미터 초과와 같이 약 1 제곱 미터 초과의 표면적을 가질 수도 있다. 추후 논의될 바와 같이, 본 발명은 약 15,600cm2 또는 그 이상의 표면적, 예를 들어 약 90,000cm2 표면적을 갖는 대형 사이즈의 기판들 상의 실리콘 함유층(예를 들어, SiN)의 증착에 특히 유용하다. 프로세스 챔버(100)는, 유전체 재료들(예를 들어, SiO2, SiOXNy, 이들의 유도체들 또는 이들의 조합들), 반도체 재료들(예를 들어, Si 및 그 도펀트들), 배리어 재료들(예를 들어, SiNx, SiOxNy, 또는 이들의 유도체들), 또는 실리콘 함유 유전체층에 의해 패시베이션된 비정질 실리콘 또는 미정질 실리콘 박막 트랜지스터(TFT)를 포함하지만 이에 한정되지 않는 다양한 재료들을 기판들(102) 상에 증착하도록 구성될 수도 있다. 플라즈마 프로세스 챔버(100)에 의해 대면적 기판들 상에 형성되거나 증착되는 유전체 재료들 및 반도체 재료들의 특정 예들은 에피택셜 실리콘, 다결정질 실리콘, 비정질 실리콘, 미정질 실리콘, 실리콘 게르마늄, 게르마늄, 이산화 실리콘, 실리콘 산질화물, 실리콘 질화물, 이들의 도펀트들(예를 들어, B, P, 또는 As), 이들의 유도체들 또는 이들의 조합들을 포함할 수도 있지만 이에 한정되지 않는다. 프로세스 챔버(100)는 또한, 퍼지 가스 또는 캐리어 가스(예를 들어, Ar, H2, N2, He, 이들의 유도체들, 또는 이들의 조합들)로서 사용하기 위해 아르곤, 수소, 질소, 헬륨, 또는 이들의 조합들과 같은 가스들을 수용하도록 구성된다.
프로세스 챔버(100)는 일반적으로, 그 내부에서 프로세스 용적(199)을 정의하는 챔버 벽들(104), 챔버 저부(106) 및 챔버 리드(108)를 포함한다. 프로세스 용적은 진공 시스템(109)에 커플링되고, 그 내부에 배치된 기판 서셉터(110)를 갖는다. 프로세스 용적은, 기판(102)이 프로세스 챔버(100)로 및 프로세스 챔버(100)로부터 이송될 수도 있도록 슬릿 밸브 개구(112)를 통해 액세스된다. 챔버 벽들(104), 챔버 저부(106) 및 챔버 리드(108)는 알루미늄의 유니터리 블록 또는 플라즈마 프로세싱에 양립가능한 다른 재료로부터 제조될 수도 있다. 챔버 리드(108)는 챔버 벽들(104)에 의해 지지되고, 프로세스 챔버(100)를 서비스하기 위해 제거될 수 있다. 기판 서셉터(110)는, 기판 서셉터(110)를 상승 및 하강시키기 위한 액추에이터(114)에 커플링될 수도 있다. 도 1b에 도시된 바와 같은 본 발명의 특정 실시예들에 있어서, 기판이 기판 서셉터(110)의 표면을 터치하지 않고도 증착 동안 실질적으로 편평하게 유지되는 방식으로 기판 서셉터(110)의 표면 상에 스페이서들(190)이 배열될 수도 있다. 예를 들어, 3개의 스페이서들(190)(오직 하나만이 도시됨)이 기판 서셉터(110)의 상부면으로부터 기판(102)을 이격시키도록 기판 서셉터(110) 상에 동일하게 이격되어, 그들 사이에 갭(92)을 형성할 수도 있다. 스페이서들(190)은 임의의 금속, 세라믹들, 하이 템프 재료로부터 제조될 수도 있으며, 기판 서셉터(110) 위에서 동일 거리만큼 기판(102)을 이격시키도록 약 1mm 내지 약 100mm 사이의 두께를 가질 수도 있다. 대안적으로, 도 1c에 도시된 바와 같이, 기판(102)은 기판 서셉터(110)의 표면과 접촉할 수도 있다.
기판 서셉터(110)는, 기판 서셉터(110)를 원하는 온도로 유지하기 위해 가열 및/또는 냉각 엘리먼트들을 옵션적으로 포함할 수도 있다. 예를 들어, 기판 서셉터(110)는, 증착 동안 기판 서셉터(110) 상에 배치된 기판(102)의 온도를 제어하도록 활용되는 저항성 히터(198) 및/또는 냉각 유체 도관들(196)을 포함할 수도 있다.
리프트 핀들(116)은 기판 서셉터(110) 상에의 배치 이전에 및 기판 서셉터(110)로부터의 제거 이후에 기판(102)을 제어가능하게 지지하도록 기판 서셉터(110)를 통해 이동가능하게 배치된다. 리프트 핀들(116)은 통상적으로 세라믹 또는 양극처리된 알루미늄으로 이루어진다. 일반적으로, 리프트 핀들(116)은, 리프트 핀들(116)이 정규 위치에 있을 경우(즉, 기판 서셉터(110)에 대해 수축된 경우), 기판 서셉터(110)의 상부면으로 실질적으로 플러시되거나 그 상부면으로부터 약간 리세스된 제 1 단부들(117)을 가진다. 제 1 단부들(117)은 일반적으로, 리프트 핀들(116)이 홀들로 빠지는 것을 방지하기 위해 치솟거나 그렇지 않으면 확대된다. 리프트 핀들(116)은 챔버 저부(106)와 접촉하게 되고 기판 서셉터(110)의 상부면으로부터 변위되며, 이에 의해, 기판(102)을 기판 서셉터(110)에 대해 이격된 관계로 둔다. 일 실시예에 있어서, 가변 길이들의 리프트 핀들(116)이 활용되어, 저부(106)와 접촉하게 되고 상이한 횟수로 작동된다. 본 발명으로부터의 이익에 적응될 수도 있는 기판 서셉터로부터 에지-중심 방식으로 기판을 리프트하도록 구성된 리프트 핀들을 갖는 PECVD 시스템의 실시예들은, 본 명세서에 참조로 통합되는 미국 특허 제6,676,761호에 설명된다.
본 발명에 따른 프로세스 챔버(100)의 주요 컴포넌트들은 특히, 가스 공급 소스(120) 및 마이크로파 소스(126)를 포함할 수도 있다. 하기에 더 상세히 논의될 바와 같이, 마이크로파 소스(126)는, 가스 공급 소스(120)의 세로 방향에 병렬이 되도록 구성되는 하나 또는 그 이상의 동축 마이크로파 발생기(128)를 포함할 수도 있다. 도 1a에 도시된 바와 같이, 가스 공급 소스(120)는 마이크로파 소스(126)와 기판(102) 사이에 위치될 수도 있다. 옵션적으로, 제 2 가스 공급 소스(도시 안됨)가 마이크로파 소스(126) 위에 그리고 프로세스 챔버(100)의 상부(예를 들어, 챔버 리드(108)) 가까이에 위치되어 증착 레이트를 개선시킬 수도 있다. 도 2b 내지 도 2d는, 마이크로파 소스(226) 위에 상대적으로 위치되거나(예를 들어, 챔버 리드(108) 내에 또는 바로 인접하여 위치됨, 도 2b), 또는 마이크로파 소스(226)에 대한 공통 평면에 병렬의 이격된 관계로 위치되는(도 2c) 가스 공급 소스(220)를 갖는 다양한 구성들을 도시한다. 어느 하나의 경우에 있어서, 전구체 가스들(예를 들어, N2 또는 SiH4) 및 캐리어 가스(Ar)가 가스 공급 소스(220)에 제공될 수도 있다. 가스 공급 소스(220)가 마이크로파 소스(126)에 공간 병렬 관계로 위치되는 대안적인 실시예에 있어서, 도 2d에 도시된 바와 같이, 전구체 가스들(예를 들어, N2 또는 SiH4)이 가스 공급 소스(220)에 별도로 제공된 채로, 캐리어 가스(Ar)는 챔버 리드(108)에 위치된 제 2 가스 공급 소스(221)에 제공될 수도 있다.
이제, 도 2a를 참조하면, 도 2a는 도 1a에 도시된 마이크로파 소스(126) 및 가스 공급 소스(120)의 상면도를 도시한다. 가스 공급 소스(120)는, 가스 소스(122A) 및/또는 가스 소스(122B)로부터 하나 또는 그 이상의 전구체 가스들 및 캐리어 가스를 제어가능하게 수용하도록 구성된 가스 공급 라인들(121)의 어레이를 포함할 수도 있다. 가스 공급 라인들(121)은 평면 배열일 수 있고, 예를 들어, 3개의 지지 엘리먼트들(123)에 의해 이동가능하게 지지될 수도 있다. 지지 엘리먼트들(123)은 전기 절연성 재료와 같은 임의의 적절한 재료들로부터 제조될 수도 있다. 일 예로, 각각의 지지 엘리먼트들(123)은, 지지 엘리먼트(123)의 길이를 따라 배치되고 가스 공급 라인들(121)의 일부를 유지하도록 구성되는 너트 및 볼트 어셈블리와 같은 적어도 3개의 파스닝 메커니즘들(도시 안됨) 또는 임의의 다른 적절한 수단을 가질 수도 있다. 지지 엘리먼트들(123)의 구성은 어플리케이션 또는 사용된 가스 공급 라인들(121)의 수에 의존하여 변할 수도 있다. 구성이 선형 마이크로파 발생기들(128)로부터의 마이크로파 전력 및/또는 막 균일도에 현저히 영향을 미치지 않는 한, 임의의 다른 지지 메커니즘이 고려가능하다. 특정 실시예들에 있어서, 가스 공급 라인들(121)은 지지 엘리먼트들(123)의 사용없이도 챔버 벽들(104)을 통해 지지될 수도 있다.
본 명세서에서 상세히 논의되지는 않지만, 지지 엘리먼트들(123)은 수직으로(또는 수평으로) 조정가능하여, 동축 마이크로파 소스(126)와 가스 공급 소스(120) 사이의 더 좁거나 더 넓은 공간이 획득될 수 있음이 고려된다. 또한, 가스 공급 소스(120)의 위치는 원하는 막 특성들을 획득하기 위한 프로세싱 파라미터들에 의존하여 기판으로부터 더 근접하거나 멀도록 수직 축에서 조정될 수도 있음이 고려된다.
가스 공급 라인들(121)의 어레이는 서로 병렬로 배열되고 서로로부터 세로로 이격되고(도 2a), 복수의 가스 공급 라인들(121) 각각은 기판(102)에 면하는 다공성 홀들(도시 안됨)을 갖는다. 홀들은 가스 공급 라인들(121)의 길이를 따라 실질적으로 규칙적인 간격들로 배열되어, 기판(102)의 상부면을 실질적으로 커버하는 실질적으로 균일한 가스 유동을 제공한다. 가스 공급 소스(120)는 기판의 사이즈에 의존하여 약 3 내지 약 20개의 가스 공급 라인들(121)을 포함할 수도 있다. 단일의 가스 공급 라인(121)이 약 10% 비-균일도로 수직 방향으로 약 100mm 영역을 커버할 수도 있음이 관측되었다. 730mm×920mm의 사이즈를 갖는 기판에 있어서, 가스 공급 소스(120)는 10개의 가스 공급 라인들(121)을 가질 수도 있다. 가스 공급 라인들(121) 간의 거리(즉, 바로 인접한 가스 공급 라인에 대한 가스 공급 라인)은 적용 시, 약 100mm와 약 130mm 사이, 예를 들어, 약 110mm와 같이 약 50mm와 약 200mm 사이에서 변할 수도 있다. 각각의 가스 공급 라인들(121)의 길이는 기판(102)의 사이즈에 의존하여 변할 수도 있다. 가스 공급 라인들(121) 각각의 길이는, 프로세싱되는 기판의 전체 커버리지를 획득하기 위해 약 2.5m까지일 수도, 즉, 기판(102)의 직경보다 클 수도 있다.
이전에 언급된 바와 같이, 도 2a에 도시된 바와 같은 가스 공급 라인들(121)의 어레이는 가스 소스(122A) 및/또는 가스 소스(122B)로부터 하나 또는 그 이상의 전구체 가스들 및 캐리어 가스를 수용할 수도 있다. 전구체 가스들은 어플리케이션에 의존하여 변할 수도 있다. 실리콘 함유 유전체층이 요구되는 경우들에 있어서, SiH4 및 NH3와 같은 전구체 가스 및 캐리어 가스, 예를 들어, Ar는 가스 공급 라인들(121)에 진입하기 전에, 가스 공급부(202)로부터 각각 가스 소스들(122A, 122B)로 함께 또는 별도로 제공될 수도 있다. 가스 파이프 밸브(204, 206)는 가스 소스들(122A, 122B)과 가스 공급 라인들(121)의 단부 사이에 배치되어, 가스 공급 라인들(121)의 어느 일측 또는 양측으로부터 가스들의 공급을 선택적으로 제어할 수도 있다. 막 두께가 가스 공급 위치에 매우 독립적이기 때문에, 즉, 가스 공급측들이 그 측부에서의 더 높은 가스 유동들로 인해 더 높은 증착 레이트를 항상 유도하기 때문에, 가스들이 가스 공급 라인들(121)의 양측으로부터 공급되게 하는 것은 막 두께의 균일도를 향상시키는 것으로 사료된다.
도 1a를 다시 참조하면, 동축 마이크로파 소스(126)는 가스 공급 소스(120)와 프로세스 챔버(100)의 상부(예를 들어, 챔버 리드(108)) 사이에 위치될 수도 있다. 동축 마이크로파 소스(126)는 일반적으로, 복수의 선형 마이크로파 발생기들(128) 및 선형 마이크로파 발생기들(128)에 접속된 안테나(130)를 포함한다. 동축 마이크로파 소스(126)는 접지에 커플링될 수도 있다. 일 실시예에 있어서, 동축 마이크로파 소스(126)는, 선형 마이크로파 발생기들(128)이 서로 병렬로 배열되고 서로로부터 세로로 이격된 평면 배열일 수 있다(도 2a 참조; 지지 엘리먼트들(123)은 명확화를 위해 이 도면으로부터 생략되었음을 유의해야 함). 오직 2개의 선형 마이크로파 발생기들(128)이 도시되지만, 선형 마이크로파 발생기들(128)의 수는 기판의 사이즈에 의존하여 증가 또는 감소될 수도 있음이 고려된다. 730mm×920mm의 사이즈를 갖는 기판에 있어서, 마이크로파 소스(126)는 4개의 마이크로파 발생기들(128)을 가질 수도 있다. 다양한 실시예들에 있어서, 인접한 2개의 선형 마이크로파 발생기들(128) 간의 Y축에 있어서의 거리는 약 100mm 내지 약 500mm, 예를 들어, 230mm와 같이 약 180mm와 약 350mm 사이일 수도 있다. 더 넓은 간격은 마이크로파 발생기들 간의 기판 표면 영역들에 대한 ? 프로파일 및 비-균일한 막 특성들을 야기할 수도 있다. 2개의 선형 마이크로파 발생기들(128) 각각은 2개의 인접한 가스 공급 라인들(121) 위에 및 그 사이에 각각 배치될 수도 있다. 단일의 마이크로파 발생기(128)가 약 10% 비-균일도로 수직 방향으로 약 260mm 영역을 커버할 수도 있음이 관측되었다.
각각의 선형 마이크로파 발생기(128)의 길이는 가스 공급 라인들(121)보다 길거나 같을 수도 있다. 예를 들어, 각각의 선형 마이크로파 발생기(128)의 길이는 약 3m까지일 수도 있다. 본 발명의 일부 실시예들에 있어서, 동축 마이크로파 소스(126)는 기판(102)의 X축(도 2a)에 수직인 수평 방향을 따라 이동될 수도 있다. 이는 대형 기판을 프로세싱하기 위해 수행될 수 있다. 예를 들어, 기판이 16 피트 길이 및 3-4 피트 폭의 치수를 가지면, 동축 마이크로파 소스(126)는 기판의 길이에 따라 이동될 필요가 있을 수도 있다. 하지만, 기판이 16 피트 길이 및 16 피트 폭의 치수를 가지면, 동축 마이크로파 소스(126)는 기판의 길이 및 폭 양자에 따라 이동될 필요가 있을 수도 있다.
도 3은 본 발명의 일 실시예에 따른 동축 마이크로파 소스의 개략 단면도이다. 동축 마이크로파 소스(126)는 일반적으로, 압력 분리 배리어로서 유전체 튜브(308)를 갖는 안테나(130)를 포함한다. 마이크로파 소스(132)는 동축 마이크로파 소스(126)에 접속되고, 마이크로파들을 안테나(130)에 입력할 수 있다(도 1a). 챔버의 양측으로부터의 이중 마이크로파 RF 입력은, 일측의 마이크로파 RF 입력이 소스를 따라 전체 영역을 커버하지 않을 수도 있기 때문에 마이크로파 소스를 따른 커버리지를 개선시키는 것으로 사료된다. 마이크로파 소스(132)는, 예를 들어, TEM(transversal electromagnetic)파 모드에 있어서 마이크로파 전력을 튜닝하고 챔버로 방출할 수도 있다. 일반적으로 원형 단면을 갖는 안테나(130)를 부분적으로 둘러싸는 옵션적인 격납 실드(도시 안됨)가 또한, 가스 공급 라인들(121)로부터 반응성 전구체들과 충돌하기 위해 격납 실드의 저부 가까이에 형성된 애퍼처(도시 안됨)를 통해 플라즈마를 포함 및 가이드하는데 사용될 수도 있다. 공기 또는 질소는, 안테나(130)를 냉각하기 위해 유전체 튜브(308)와 격납 실드 간의 공간에 충진될 수 있다. 격납 실드의 상세들은 명칭이 "Microwave Plasma Containment Shield Shaping"인 Michael Stowell의 미국특허출원 제12/238,664호에서 더 논의되며, 그 전체 내용은 모든 목적으로 본 명세서에 참조로 통합된다.
도 3에 도시된 바와 같은 동축 마이크로파 소스(126)의 단면도는 약 2.45GHz의 주파수에서 마이크로파들을 방출하는 도체(예를 들어, 안테나(302))를 도시한다. 방사상의 라인들은 전계(304)를 나타내고, 원들은 자계(306)를 나타낸다. 마이크로파들은 공기를 통해 및 유전체 튜브(308)를 통해 전파되며, 이 유전체 튜브는 대기압을 갖는 도파관(도시 안됨)과 진공 챔버 간의 인터페이스로서 기능한다. 유전체 튜브(308)를 통과한 마이크로파들은 프로세싱 챔버 내의 가스들을 에너자이징하여, 유전체 튜브(308)의 표면 외측에 플라즈마(310)를 형성한다. 선형 마이크로파 발생기들(128) 가까이에 유지되는 그러한 파는 표면파이다. 동작에 있어서, 도 1a에 도시된 바와 같이, 증착 프로세스와 같은 프로세스 동안, 마이크로파들은 선형 마이크로파 발생기들(128)을 따라 이동하고, 전자기 에너지를, 프로세스 용적 내에서 플라즈마를 점화하는 플라즈마 에너지로 변환함으로써 높은 감쇠를 경험한다. 플라즈마에 의해 발생된 라디칼 종들은 가스 공급 라인들(121)로부터 기인하는 반응성 전구체들을 분리하고, 이 반응성 전구체들은 (화살표들(124)에 의해 나타낸 바와 같이) 기판(102)을 향해 지향되고 통상적으로 층상의 유동으로 기판 표면에 걸쳐 방사상으로 균일하게 분배되어, 기판 서셉터(110)에 의해 유지되는 기판(102) 상에 막을 형성한다. 증착 동안 챔버 내의 압력은 진공 시스템(109)에 의해 제어된다.
예시적인 증착 프로세스
도 4는, 도 1a 및 도 2a 내지 도 2d와 함께 상기 설명된 바와 같은 본 발명의 마이크로파 플라즈마 보조식 CVD 챔버를 이용하여 기판 상에 실리콘 함유막을 형성하기 위해 이용될 수도 있는 프로세스 시퀀스(400)의 흐름도를 제공한다. 프로세스 시퀀스(400)에 있어서의 구성, 프로세싱 단계들의 수, 및 프로세싱 단계들의 순서는, 본 명세서에서 설명된 본 발명의 기본적인 범위로부터 일탈함없이 하나 또는 그 이상의 단계들이 추가, 삭제 및/또는 재순서화될 수 있기 때문에, 본 명세서에서 설명된 본 발명의 범위로 한정되도록 의도되지 않음을 유의해야 한다. 부가적으로, 하기의 설명이 실리콘 질화물(SiN)을 참조하여 행해지지만, 실리콘, 이산화 실리콘, 실리콘 탄화물, 실리콘 산탄화물, 실리콘 수소화물, 실리콘 불화물, 붕소 또는 인 또는 비소 도핑된 실리콘, 붕소 또는 인 또는 비소 도핑된 실리콘 탄화물, 붕소 또는 인 또는 비소 도핑된 실리콘 산화물 등과 같은 다른 실리콘 함유층들이 또한 고려됨이 당업자에 의해 인식되어야 한다. 이에 따라, 하기에 설명될 케미스트리 및 프로세싱 파라미터들은 증착될 층에 의존하여 변경/조정될 수도 있다. 하지만, 본 발명의 프로세스는 단일 기판 또는 배치 프로세싱 사이클에서의 기판들을 프로세싱할 수 있도록 입증되었다.
프로세스는, 도 1a 및 도 2a 내지 도 2d에 대하여 상기 설명된 다양한 실시예들과 같이, 기판 서셉터(110), 기판 서셉터(110)에 대해 병렬 관계로 위치되는 가스 공급 소스(120) 및 동축 마이크로파 소스(126)를 포함하는 마이크로파 보조식 CVD 챔버로 기판을 로딩함으로써 단계402로 시작한다. 기판은, 실리콘 함유 유전체층이 형성될 수 있는 임의의 기판일 수도 있다. 기판은 도전성 또는 비-도전성일 수도 있고, 강성 또는 가요성일 수도 있다. 일부 실시예들에 있어서, 기판은, 도핑되거나 도핑되지 않은 유리 기판일 수도 있다. 기판의 온도는 기판 서셉터를 가열 및/또는 냉각시킴으로써 약 200℃와 같이 약 150℃와 약 250℃ 사이로 제어될 수도 있다.
단계404에서, 마이크로파가 안테나에 의해 챔버에서 발생되고, 예를 들어, 상기 논의된 바와 같이 펄스형 전력 또는 연속 전력을 이용하여 마이크로파 소스에 의해 변조된다.
단계406에서, 전구체 가스들 및 캐리어 가스가 챔버에 제공된다. 실리콘 질화물층의 증착에 있어서, 그러한 전구체 가스들은 실란(SiH4), 디실란(Si2H6), 실리콘 테트라플루오라이드(SiF4), 실리콘 테트라클로라이드(SiCl4), 디클로로실란(SiH2Cl2), 및 이들의 조합들을 포함하지만 이에 한정되지 않는 실리콘 함유 전구체, 및 질소(N2), 암모니아(NH3), 하이드라진(N2H4), 또는 이들의 혼합물을 포함하지만 이에 한정되지 않는 질소 함유 전구체를 포함할 수도 있다. 캐리어 가스는 아르곤(Ar), 수소(H2), 헬륨(He), 이들의 유도체들, 또는 이들의 조합들을 포함할 수도 있다. 전구체 가스들은 별도의 라인들을 통해 유동시켜, 기판에 도달하기 전에 전구체 가스들이 너무 이르게 반응하는 것을 방지할 수도 있다. 대안적으로, 반응성 전구체들은 동일 라인을 통해 유동시키도록 혼합될 수도 있다.
챔버로의 가스들의 유량은 프로세싱되는 기판의 사이즈에 의존한다. 730mm×920mm를 측정한 기판이 SiH4 및 NH3으로 SiN층을 증착하도록 프로세싱되는 경우들에 있어서, SiH4 가스의 가스 유동은 약 250sccm/L와 약 1,500sccm/L 사이, 예를 들어, 약 300sccm/L와 약 900sccm/L사이와 같이 약 150sccm/L와 약 3,000sccm/L 사이의 유량으로 제공될 수도 있다. NH3 가스의 가스 유동은 약 2,000sccm/L와 약 4,000sccm/L 사이, 예를 들어, 약 3,000sccm/L와 같이 약 1,200sccm/L와 약 5,000sccm/L 사이의 유량으로 제공될 수도 있다. Ar 가스의 가스 유동은 약 500sccm/L와 약 3,500sccm/L 사이, 예를 들어, 약 2,500sccm/L와 같이 약 450sccm/L와 약 5,000sccm/L 사이의 유량으로 제공될 수도 있다. 더 높은 Ar 유동은 마이크로파 플라즈마의 균일도를 개선시키고 이에 의해 막 두께를 개선시키는 것으로 사료된다. NH3에 대한 SiH4의 가스 유동 비율(SiH4:NH3)은 약 1:2와 약 1:6사이, 예를 들어, 약 1:3일 수도 있다. Ar에 대한 SiH4의 가스 유동 비율(SiH4:Ar)은 약 1:1과 약 1:20사이, 예를 들어, 약 1:5와 약 1:10 사이이다. Ar에 대한 NH3의 가스 유동 비율(NH3:Ar)은 약 1:1과 약 1:10사이, 예를 들어, 약 1:2와 약 1:5 사이일 수도 있다. SiN층은 약 50mTorr 내지 약 250mTorr, 예를 들어, 약 100mTorr의 챔버 압력에서 증착될 수도 있다.
단계408에서, 약 1GHz로부터 약 10GHz까지 레인징하는 주파수에서, 예를 들어, 2.45GHz에서 마이크로파에 의해 전구체 가스들로부터 플라즈마가 형성된다. 전력 요건이 중요한 것이 아닐 경우, 5.8GHz의 더 높은 주파수가 사용될 수도 있다. 더 높은 주파수 소스를 사용하는 이점은, 더 높은 주파수가 2.45GHz의 더 낮은 주파수 소스의 더 적은 사이즈(약 절반 사이즈)를 갖는다는 점이다. 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2, 예를 들어, 약 1,500 밀리와트/cm2 내지 약 3,000 밀리와트/cm2의 마이크로파 전력이 안테나를 통해 챔버로 공급되어, 단계404에서 전자기 에너지를 발생하여 단계408에서 전구체 가스들을 에너자이징한다. 더 높은 마이크로파 RF 전력은 마이크로파 소스(126)를 따라 플라즈마 균일도를 향상시키는 것으로 사료된다. 대부분의 실시예들에 있어서, 상기 설명된 조건들은 1012 이온/cm3을 초과하는 이온 밀도를 갖는 고밀도 플라즈마를 발생시킨다. 일부 경우들에 있어서, 전기 바이어스를 기판에 인가하여 플라즈마의 이온 종들이 기판에 끌리게 함으로써 증착 특성들이 영향을 받을 수도 있지만, 본 발명의 특정 실시예들에 있어서, 기판의 표면에 대한 플라즈마 손상을 최소화하기 위해 전기 바이어스가 증착 동안 요구되지 않을 수도 있다. 예를 들어, 챔버 내 압력을 제어하거나 전구체 가스들의 유량들 및/또는 유동 비율을 제어하거나 또는 플라즈마를 발생시키는데 사용된 간격 및/또는 전력을 제어함으로써 챔버 내 환경이 조절될 수도 있음이 고려된다.
단계410에서, SiN층이 기판 위에 증착된다. 막 특성들 및 챔버 조건들에 의존하여, 단계404 내지 단계410에서 설명된 바와 같은 프로세스들은 원하는 막 두께가 획득될 때까지 원하는 만큼 다수회 반복될 수도 있다. 4개의 선형 마이크로파 발생기들 및 10개의 가스 공급 라인들이 사용되는 일 실시예에 있어서, 상기 정의된 프로세싱 조건들은 SiN층이, 도 6 및 도 7에 의해 입증되는 바와 같이 14% 미만의 두께 비-균일도로 2,500Å/min 초과의 높은 증착 레이트에서 증착될 수 있게 하며, 이 도 6 및 도 7은, 각각, (기판의 에지로부터의) X축 거리 및 Y축 거리의 함수로서 증착 레이트를 나타낸 그래프를 도시한 것이다.
단계412에서, 증착이 완료된 이후, 플라즈마는 소멸되고 기판은 프로세스 챔버 밖으로 이송된다.
본 발명에 따른 마이크로파 플라즈마 보조식 CVD 챔버는, 13.56MHz에서 무선 주파수(RF) 커플링형 플라즈마 소스들을 이용한 종래의 PECVD 프로세스와 비교할 때 가스 공급 소스 및 동축 마이크로파 소스의 개선된 배열의 결과로서, 더 높은 플라즈마 밀도들 및 더 높은 증착 레이트들을 제공한다. 마이크로파 플라즈마 소스를 갖는 본 발명의 장치를 이용함으로써, 좁은 플라즈마 시스가 형성되고 더 많은 전력이 라디칼 및 이온 종의 생성을 위해 플라즈마에 의해 흡수될 수 있으며, 이는 차례로, 이온 에너지 분포의 충돌 확장성을 감소시킴으로써 좁은 에너지 분포를 갖는 플라즈마 밀도를 증가시킨다. 따라서, 좁은 에너지 분포를 갖는 더 낮은 이온 에너지에서의 증가된 플라즈마 밀도의 결과로서, 더 낮은 증착 온도(예를 들어, 200℃ 미만, 예를 들어, 130℃)가 달성될 수 있다. SiN막 특성들의 관점에서 본 발명의 마이크로파 CVD 프로세스와 상이한 증착 온도들에서의 종래의 PECVD 프로세스 간의 비교가 하기 표 1에 도시된다. 알 수 있는 바와 같이, 저온 마이크로파 CVD 프로세스는, 유사하거나 더 우수한 막 특성들을 갖는 약 280℃ 또는 130℃에서 수행된 종래의 PECVD 프로세스보다 더 높은 증착 레이트를 제공한다. 더 낮은 열적 버짓을 갖는 마이크로파 CVD 프로세스는 운동역학적으로 제한된 조건들에 있어서 더 우수한 미정질 성장을 허용하고, 따라서, LCD 프로세스, OLED 디스플레이 프로세스, 또는 가요성 디스플레이 프로세스 등과 같은 저온 증착 프로세스들에 적합하다.
Figure pct00001
부가적으로, 마이크로파 플라즈마 소스를 갖는 본 발명의 장치를 이용함으로써, 기판의 온도는 프로세스 동안 원하는 온도로 효과적으로 가열되고 유지될 수 있다. 기판은 마이크로파 플라즈마에 대한 노출의 1분 이후 약 176℃까지 가열될 수 있음이 입증되었다. 하기의 표 2는 약 130mTorr의 챔버 압력 및 약 2.45GHz의 주파수에서 마이크로파 플라즈마 소스를 이용하여 본 발명의 장치로 증착되었던 실리콘 질화물층에 대한 프로세스 파라미터들 및 막 특성들을 나타낸 것이다. 알 수 있는 바와 같이, 서셉터 설정 온도가 40℃로부터 150℃로 증가될 경우, RI(굴절율)와 같은 막 특성들은 현저히 변경되지 않았고, 이는 서셉터 설정 온도가 막 품질에 영향을 주지 않음을 시사한다. 따라서, 본 발명의 마이크로파 보조식 CVD 프로세스의 이용은, 기판을 원하는 온도로 가열 및 유지하기 위해 통상의 플라즈마 강화 화학기상증착 프로세스들에서 달리 요구되는 고가의 가열 엘리먼트들이 제거될 수 있기 때문에 서셉터에 대해 더 낮은 제조 비용 및 더 낮은 유지보수 작업을 허용한다.
Figure pct00002
더욱이, 기판 서셉터(110)를 터치하지 않고도 기판(102)을 실질적으로 편평하게 지지/유지하기 위해 복수의 스페이서들(약 1인치 높이)이 기판 서셉터(110)의 표면 상에 배열되는 특정 실시예들에 있어서, 실리콘 질화물막의 두께 비-균일도는, 도 1 및 도 2와 함께 상기 설명된 바와 같이 마이크로파 플라즈마 소스를 이용한 본 발명의 장치로 증착이 수행될 경우에 8.1% 미만으로 감소됨이 본 발명자들에 의해 놀랍게 발견되었다. 도 5는, 약 2600Å/min의 실질적으로 유사한 증착 레이트에서 기판 서셉터와 접촉하고 기판 서셉터와 이격된 기판과 실리콘 질화물막의 막 균일도 비교를 포함하여, 동축 마이크로파 소스와 기판 간의 간격의 함수로서 증착 레이트를 나타낸 그래프를 도시한 것이다.
전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들이 그 기본적인 범위로부터 일탈함없이 발명될 수도 있으며, 그 범위는 다음에 오는 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 위에 실리콘 함유층을 증착하는 방법으로서,
    프로세싱 용적에 배치된 마이크로파 소스 및 가스 공급 소스를 갖는 프로세싱 챔버의 상기 프로세싱 용적에 기판을 로딩하는 단계;
    상기 가스 공급 소스로부터 상기 프로세싱 용적으로 프로세싱 가스를 유동시키는 단계;
    상기 마이크로파 소스에 커플링된 안테나에 마이크로파 전력을 인가함으로써 상기 프로세싱 용적에서 상기 프로세싱 가스로부터 플라즈마를 발생시키는 단계; 및
    약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용하여 상기 플라즈마의 존재하에서 상기 기판 상에 실리콘 함유층을 증착하는 단계를 포함하고,
    상기 기판은 상기 증착 동안 약 200℃보다 낮은 온도로 유지되는, 실리콘 함유층을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 기판 상에 실리콘 함유층을 증착하는 단계는 약 50mTorr 내지 약 250mTorr의 챔버 압력에서 상기 실리콘 함유층을 증착하는 단계를 포함하는, 실리콘 함유층을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 프로세싱 가스는 실란(SiH4), 디실란(Si2H6), 실리콘 테트라플루오라이드(SiF4), 실리콘 테트라클로라이드(SiCl4), 디클로로실란(SiH2Cl2), 및 이들의 조합들로 이루어진 그룹으로부터 선택된 실리콘 함유 전구체, 및 질소(N2), 암모니아(NH3), 하이드라진(N2H4), 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 질소 함유 전구체를 포함하는, 실리콘 함유층을 증착하는 방법.
  4. 제 3 항에 있어서,
    상기 프로세싱 가스는 아르곤(Ar), 수소(H2), 헬륨(He), 이들의 유도체들, 및 이들의 조합들로 이루어진 그룹으로부터 선택된 캐리어 가스를 더 포함하는, 실리콘 함유층을 증착하는 방법.
  5. 제 4 항에 있어서,
    상기 가스 공급 소스로부터 프로세싱 가스를 유동시키는 단계는 약 1:5와 약 1:10 사이의 Ar에 대한 SiH4의 가스 유동 비율(SiH4:Ar)로 SiH4 및 Ar을 제공하는 단계를 포함하는, 실리콘 함유층을 증착하는 방법.
  6. 제 3 항에 있어서,
    상기 가스 공급 소스로부터 프로세싱 가스를 유동시키는 단계는 약 1:1과 약 1:10 사이의 NH3에 대한 SiH4의 가스 유동 비율(SiH4:NH3)로 SiH4 및 NH3을 제공하는 단계를 포함하는, 실리콘 함유층을 증착하는 방법.
  7. 제 4 항에 있어서,
    상기 가스 공급 소스로부터 프로세싱 가스를 유동시키는 단계는 약 1:2와 약 1:5 사이의 Ar에 대한 NH3의 가스 유동 비율(NH3:Ar)로 NH3 및 Ar을 제공하는 단계를 포함하는, 실리콘 함유층을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 마이크로파 소스는, 서로 병렬로 배열되고 서로로부터 약 180mm와 약 350mm 사이의 거리로 세로로 이격된 하나 또는 그 이상의 선형 마이크로파 발생기들을 포함하는, 실리콘 함유층을 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 가스 공급 소스는, 서로 병렬로 연결되고 서로로부터 약 50mm와 약 200mm 사이의 거리로 세로로 이격된 가스 공급 라인들의 어레이를 포함하는, 실리콘 함유층을 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 가스 공급 소스는 상기 마이크로파 소스와 상기 기판 사이에 배치되고,
    상기 하나 또는 그 이상의 선형 마이크로파 발생기들은 상기 가스 공급 라인들과 병렬 관계로 배열되는, 실리콘 함유층을 증착하는 방법.
  11. 기판 위에 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스로서,
    기판 서셉터에 대해 병렬 관계로 위치되는 마이크로파 소스 및 가스 공급 소스를 포함하는 프로세싱 챔버에 기판을 로딩하는 단계로서, 상기 마이크로파 소스는 서로로부터 병렬 공면 관계로 배열된 하나 또는 그 이상의 선형 마이크로파 발생기들을 갖고, 상기 가스 공급 소스는 상기 프로세싱 챔버의 프로세싱 용적 내에 배치되고 서로로부터 병렬 공면 관계로 배열된 가스 공급 라인들의 어레이를 갖는, 상기 기판을 로딩하는 단계;
    상기 가스 공급 소스로부터 상기 프로세싱 용적으로 전구체 가스들을 유동시키는 단계;
    마이크로파 전력을 상기 마이크로파 소스로 변조함으로써 상기 전구체 가스들로부터 플라즈마를 발생시키는 단계;
    상기 가스 공급 소스로부터, 상기 기판 서셉터 상에 배치된 상기 기판의 전체 표면을 실질적으로 향해 상기 전구체 가스들을 균일하게 분배하는 단계; 및
    약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용하여 상기 플라즈마의 존재하에서 상기 기판 상에 실리콘 함유층을 증착하는 단계를 포함하고,
    상기 기판은 상기 증착 동안 약 200℃보다 낮은 온도로 유지되는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  12. 제 11 항에 있어서,
    상기 실리콘 함유층은, 실리콘, 실리콘 질화물, 이산화 실리콘, 실리콘 탄화물, 실리콘 산탄화물, 실리콘 수소화물, 실리콘 불화물, 붕소 또는 인 또는 비소 도핑된 실리콘, 붕소 또는 인 또는 비소 도핑된 실리콘 탄화물, 및 붕소 또는 인 또는 비소 도핑된 실리콘 산화물로 이루어진 그룹으로부터 선택된 재료를 포함하는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  13. 제 11 항에 있어서,
    상기 기판 상에 실리콘 함유층을 증착하는 단계는 약 50mTorr 내지 약 250mTorr의 챔버 압력에서 상기 실리콘 함유층을 증착하는 단계를 포함하는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  14. 제 13 항에 있어서,
    상기 가스 공급 소스로부터 전구체 가스들을 유동시키는 단계는 약 1:5와 약 1:10 사이의 캐리어 가스에 대한 실리콘 함유 전구체의 가스 유동 비율로 상기 실리콘 함유 전구체 및 상기 캐리어 가스를 유동시키는 단계를 포함하는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  15. 제 13 항에 있어서,
    상기 가스 공급 소스로부터 전구체 가스들을 유동시키는 단계는 약 1:1과 약 1:10 사이의 질소 함유 전구체에 대한 실리콘 함유 전구체의 가스 유동 비율로 상기 실리콘 함유 전구체 및 상기 질소 함유 전구체를 유동시키는 단계를 포함하는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  16. 제 11 항에 있어서,
    상기 하나 또는 그 이상의 선형 마이크로파 발생기들은 약 180mm와 약 350mm 사이의 거리로 서로로부터 세로로 이격되고,
    상기 가스 공급 라인들의 어레이는 약 50mm와 약 200mm 사이의 거리로 서로로부터 세로로 이격되는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  17. 제 11 항에 있어서,
    상기 가스 공급 소스는 상기 마이크로파 소스와 상기 기판 사이에 배치되는, 실리콘 함유층을 증착하기 위한 마이크로파 플라즈마 보조식 CVD 프로세스.
  18. 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    상기 프로세싱 챔버 내에 배치된 마이크로파 소스에 커플링된 안테나에 마이크로파 전력을 인가하는 단계로서, 상기 마이크로파 소스는 상기 기판의 전체 표면을 실질적으로 커버하는 가스 분배 커버리지를 제공하도록 구성된 가스 공급 소스 위에 상대적으로 배치되는, 상기 마이크로파 전력을 인가하는 단계; 및
    약 200℃보다 낮은 온도에서 상기 기판 상에 실리콘 함유층을 증착하기 위해 상기 가스 공급 소스에 의해 제공된 프로세싱 가스로부터 발생된 마이크로파 플라즈마에 상기 기판을 노출하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  19. 제 18 항에 있어서,
    상기 마이크로파 플라즈마는 약 1GHz 내지 약 10GHz의 주파수에서 약 500 밀리와트/cm2 내지 약 5,000 밀리와트/cm2의 마이크로파 전력을 사용하는, 기판을 프로세싱하는 방법.
  20. 제 18 항에 있어서,
    상기 프로세싱 가스는 실리콘 함유 전구체 및 캐리어 가스를 포함하고,
    상기 실리콘 함유 전구체 및 상기 캐리어 가스는 약 1:5와 약 1:10 사이의 상기 캐리어 가스에 대한 상기 실리콘 함유 전구체의 가스 유동 비율로 상기 가스 공급 소스에 도입되는, 기판을 프로세싱하는 방법.
KR1020137019348A 2010-12-30 2011-12-20 마이크로파 플라즈마를 이용한 박막 증착 KR101563541B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201061428837P 2010-12-30 2010-12-30
US61/428,837 2010-12-30
PCT/US2011/066124 WO2012092020A2 (en) 2010-12-30 2011-12-20 Thin film deposition using microwave plasma

Publications (2)

Publication Number Publication Date
KR20140018861A true KR20140018861A (ko) 2014-02-13
KR101563541B1 KR101563541B1 (ko) 2015-10-27

Family

ID=46380994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137019348A KR101563541B1 (ko) 2010-12-30 2011-12-20 마이크로파 플라즈마를 이용한 박막 증착

Country Status (6)

Country Link
US (1) US8883269B2 (ko)
JP (1) JP6104817B2 (ko)
KR (1) KR101563541B1 (ko)
CN (1) CN103270578B (ko)
TW (1) TWI553146B (ko)
WO (1) WO2012092020A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020142307A1 (en) * 2019-01-02 2020-07-09 Applied Materials, Inc. Methods for forming films containing silicon boron with low leakage current

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2936970B1 (fr) * 2008-10-09 2010-12-24 Saint Gobain Ct Recherches Grains fondus abrasifs
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) * 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
DE102012017453A1 (de) 2012-09-04 2014-03-06 Manz Ag Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105144391A (zh) * 2013-03-01 2015-12-09 应用材料公司 金属氧化物tft稳定性改进
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014158955A1 (en) 2013-03-12 2014-10-02 Applied Materials, Inc. Pinhole evaluation method of dielectric films for metal oxide semiconductor tft
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103935127B (zh) * 2014-04-24 2017-01-11 珠海赛纳打印科技股份有限公司 液体喷头制造方法、液体喷头和打印装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
TWI519668B (zh) 2014-07-17 2016-02-01 國立清華大學 具有結晶矽薄膜之基板及其製備方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104328493A (zh) * 2014-10-30 2015-02-04 上海科慧太阳能技术有限公司 一种多晶硅薄膜的制备方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6353986B2 (ja) * 2014-12-17 2018-07-04 ツーシックス、インコーポレイテッドIi−Vi Incorporated 自立型cvd多結晶ダイアモンド膜を製造する装置および方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6523071B2 (ja) * 2015-06-19 2019-05-29 東京エレクトロン株式会社 プラズマを用いた成膜方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10312475B2 (en) 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US20200190664A1 (en) * 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10748759B2 (en) * 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023500375A (ja) * 2019-11-08 2023-01-05 アプライド マテリアルズ インコーポレイテッド 材料の表面粗さを減少させる方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
FI129609B (en) 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220060069A (ko) * 2020-11-03 2022-05-11 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114686803B (zh) * 2022-03-22 2023-03-17 武汉理工大学 一种微波等离子体化学气相沉积制备的三元氮化物涂层及其方法
CN115491655A (zh) * 2022-10-05 2022-12-20 江苏筑磊电子科技有限公司 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
JPH07211488A (ja) * 1994-01-24 1995-08-11 Matsushita Electric Ind Co Ltd プラズマ処理装置及び処理方法
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1265279B1 (en) * 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
US6955177B1 (en) 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7025895B2 (en) * 2002-08-15 2006-04-11 Hitachi High-Technologies Corporation Plasma processing apparatus and method
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4452061B2 (ja) * 2003-11-14 2010-04-21 三井造船株式会社 プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置
JP4657630B2 (ja) * 2004-05-25 2011-03-23 株式会社島津製作所 太陽電池、その製造方法および反射防止膜成膜装置
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
JP4777717B2 (ja) * 2005-08-10 2011-09-21 東京エレクトロン株式会社 成膜方法、プラズマ処理装置および記録媒体
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US8316797B2 (en) 2008-06-16 2012-11-27 Board of Trustees of Michigan State University Fraunhofer USA Microwave plasma reactors
DE102008036766B4 (de) * 2008-08-07 2013-08-01 Alexander Gschwandtner Vorrichtung und Verfahren zum Erzeugen dielektrischer Schichten im Mikrowellenplasma
US8962454B2 (en) * 2010-11-04 2015-02-24 Tokyo Electron Limited Method of depositing dielectric films using microwave plasma

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020142307A1 (en) * 2019-01-02 2020-07-09 Applied Materials, Inc. Methods for forming films containing silicon boron with low leakage current

Also Published As

Publication number Publication date
WO2012092020A2 (en) 2012-07-05
US8883269B2 (en) 2014-11-11
CN103270578A (zh) 2013-08-28
JP2014505363A (ja) 2014-02-27
TW201243094A (en) 2012-11-01
CN103270578B (zh) 2016-10-26
WO2012092020A3 (en) 2012-10-26
US20120171391A1 (en) 2012-07-05
KR101563541B1 (ko) 2015-10-27
TWI553146B (zh) 2016-10-11
JP6104817B2 (ja) 2017-03-29

Similar Documents

Publication Publication Date Title
KR101563541B1 (ko) 마이크로파 플라즈마를 이용한 박막 증착
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US9443702B2 (en) Methods for plasma processing
US8906813B2 (en) SiOx process chemistry development using microwave plasma CVD
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20230148308A (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
US8450191B2 (en) Polysilicon films by HDP-CVD
CN100477108C (zh) 成膜方法及成膜装置
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
US20160329206A1 (en) Methods of modulating residual stress in thin films
US20110008550A1 (en) Atomic layer growing apparatus and thin film forming method
WO2007040110A1 (ja) プラズマ処理装置と方法
JP2001003174A (ja) 薄膜の形成方法及び誘導結合型プラズマcvd装置
TW202101594A (zh) 提供摻雜矽的方法
US20180350596A1 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
TWI686500B (zh) 矽化物奈米線之層疊與核殼形成
JP5078656B2 (ja) 原子層成長装置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181015

Year of fee payment: 4