KR20130022433A - Post-ash sidewall healing - Google Patents

Post-ash sidewall healing Download PDF

Info

Publication number
KR20130022433A
KR20130022433A KR1020110083389A KR20110083389A KR20130022433A KR 20130022433 A KR20130022433 A KR 20130022433A KR 1020110083389 A KR1020110083389 A KR 1020110083389A KR 20110083389 A KR20110083389 A KR 20110083389A KR 20130022433 A KR20130022433 A KR 20130022433A
Authority
KR
South Korea
Prior art keywords
low
dielectric constant
dielectric
reducing
substrate
Prior art date
Application number
KR1020110083389A
Other languages
Korean (ko)
Inventor
쳉지앙 쿠이
안츄안 왕
메헐 나익
니틴 잉글
영 리
산카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020110083389A priority Critical patent/KR20130022433A/en
Publication of KR20130022433A publication Critical patent/KR20130022433A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

PURPOSE: A post-ash sidewall healing method is provided to reduce an average dielectric constant of a low-k dielectric material by removing an external dielectric layer from the low-k dielectric material. CONSTITUTION: A process chamber(300) includes a chamber body(312), a lead assembly(302), and a support assembly(310). The chamber body includes a slit valve opening(360) to access the process chamber. The chamber body includes a chamber body channel(313) to move thermal transfer fluid. A throttle valve(327) and a vacuum pump(325) control the flow of gases via the process chamber. A process region(340) is limited by the upper surface of the support assembly and the lower surface of the lead assembly. A support assembly channel(304) is formed in the support assembly to smoothly transmit thermal energy.

Description

Post-Ash Sidewall Healing {POST-ASH SIDEWALL HEALING}

The present invention relates to a method of manufacturing a semiconductor electronic device.

Integrated circuit fabrication methods have typically reached the point where hundreds of millions of transistors are formed on a single chip. Each new next-generation fabrication technique and equipment allows for commercial scale fabrication of much smaller and faster transistors, but increases the difficulty of fabricating much smaller and faster circuit elements. The shrinking dimensions of circuit elements far below the threshold of 50 nm now allow chip designers to develop new low-resistance conductive materials and new low-dielectric materials to improve (or simply maintain) the electrical performance of integrated circuits. Constant (ie low-k) insulating materials have been found.

Parasitic capacitance has become a major obstacle to transistor switching rates as the number of transistors per area increases. Capacitance is present between all adjacent electrically insulated conductors in an integrated circuit, switching whether or not the conductive portions are at the "front end" or "back end" of the manufacturing process flow. You can limit the speed.

Thus, new techniques and materials are needed to form low-k materials between adjacent conductors. One type of materials used to provide low-k separation between conductors is oxidized organo-silane films, such as Black Diamond ™ films commercially available from Applied Materials, Inc. of Santa Clara, California. . Such films have lower dielectric constants (eg, about 3.5 or less) than conventional spacer materials such as silicon oxides and nitrides. Unfortunately, some new processes include exposing low-k films to environments that can increase the effective dielectric constant and limit device performance.

Thus, new processes are needed to maintain a lower effective dielectric constant after exposure of low-k films to these environments.

Methods of reducing the effective dielectric constant present between two conductive components of an integrated circuit are described. The methods include the use of selective gas phase etch towards the oxygen-rich portion of the low-k dielectric layer. The etching rate is attenuated as the etching process reaches the low-k portion through the relatively high-k oxygen-rich portion. The etching process can be easily timed because the vapor phase etching process does not easily remove the desired low-k portion.

Embodiments of the present invention include methods of reducing the effective dielectric constant of a low-k dielectric material between two trenches on a patterned substrate in a substrate processing region. The low-k dielectric material forms the walls of the two trenches. The method includes transferring a patterned substrate into a substrate processing region. The method further includes vapor phase etching the patterned substrate to reduce the average dielectric constant of the low-k dielectric material by removing the outer dielectric layer from the low-k dielectric material.

Additional embodiments and features are set forth in part in the description that follows, and in part may be learned by practice of the embodiments apparent or presented to those skilled in the art upon examination of the present specification. The features and advantages of the presented embodiments can be realized and attained by the means, combinations, and methods described herein.

Further understanding of the features and advantages of the presented embodiments can be achieved with reference to the remaining parts and figures of this specification.

1A-1B are cross-sectional views of gaps during processing in accordance with the presented embodiments.
2 is a flow chart of a gapfill photoresist removal process in accordance with the presented embodiments.
3 is a cross-sectional view of a processing chamber in accordance with the presented embodiments.
4 is a processing system according to the presented embodiments.
In the appended figures, similar components and / or features may have the same reference label. Additionally, various components of the same type can be distinguished by following a reference label by a dashed line and a second label that distinguishes similar components. Where only the first reference label is used herein, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.

Methods of increasing the effective dielectric constant present between two conductive components of an integrated circuit are described. The methods include the use of vapor phase etching selectively directed towards the oxygen-rich portion of the low-k dielectric layer. The etching rate is attenuated as the etching process reaches the low-k portion through the relatively high-k oxygen-rich portion. The etching process can be easily timed because the vapor phase etching process does not easily remove the desired low-k portion. Gas phase etchings are particularly desirable for liquid buffered oxide etchings for processing patterned substrates. Gas phase etchants are more easily removed from confined structures than liquid etchant.

Embodiments of the present invention are directed to methods of etching low-k materials on a patterned substrate to increase the effective dielectric constant and thus improve device performance. An exemplary process flow with the advantages of the methods presented herein includes two separate litho-etch patterns that are transferred to a substrate. These processes can be designed to pattern the substrate twice to achieve the desired step in the via structure rather than the traditional via with relatively straight vertical walls. Such process sequences may require coating the patterned substrate with the photoresist such that the photoresist penetrates vias and other gaps of the low-k material. Removing the photoresist typically involves ashing, ie, exposing the structure to an oxidizing precursor. While removing the gapfill photoresist, the ashing step also changes the sidewalls of the gap in a manner that increases the dielectric constant in the outer thin layer of low-k material. Some ashes include exposure to oxygen-containing compounds that are excited in the plasma. In such cases, oxygen treatment oxidizes the surface of the low-k material and increases the oxygen content relative to the carbon content. The methods presented herein remove this thin layer of relatively higher-k material to again reduce the dielectric constant near the pre-ash level.

In order to better understand and recognize the present invention, reference is made to FIGS. 1-2, which are cross-sectional views of gaps during processing and flowcharts for processing gaps in accordance with the presented embodiments. The structure shown in FIG. 1A is formed by a lithography-etch-lithography-etching sequence in which the second lithography-etching step opens a wider trench in the low dielectric constant material 110-1. The second etch penetrates only a portion of the direction of the trench to the bottom, leaving a step in the low-k material 110-1. Above and below the step are substantially vertical walls formed of low-k material. The walls may deviate from the theoretical vertical lines shown in FIGS. 1A-1B in the embodiments shown, but may be within 10 °, 5 ° or 2 ° of the vertical line. After the second etch, some photoresist 120 is left towards the bottom of the trenches that need to be removed before the gaps are filled with metal. The process of removing residual photoresist 120 begins when the patterned substrate is transferred into the processing chamber (operation 210). The flow of oxygen-radicals is transferred into the ashing chamber (operation 215) and removes the photoresist from within the trenches. In the example shown in FIG. 1A, silicon carbon-nitride (SiCN) layer 125-1 is included to protect low-k material 110-1 from diffusion of metal from underlying materials. The layer of SiCN 125-1 is also deformed by oxygen-radicals such that the portion of SiCN is removed at the bottom of the trench that produces the patterned SiCN layer 125-2. Exemplary SiCN layers are Blok ™ available from Santa Clara, Applied Materials, California. The SiCN layer is present in some embodiments and not in other embodiments. Oxygen-radical flow also oxidizes the walls of the low-k material 110 near the surface (walls of the trenches) which undesirably increase the dielectric constant. An exemplary low-k material is silicon oxycarbide (SiOC), and an exemplary SiOC product is Black Diamond ™ available from Applied Materials. Neglecting the formation of an oxygen-rich (relatively higher-k) surface layer and proceeding with gapfill deposition of trenches with metal limits the operational regime of the finished device.

The reduced dielectric constant low-k material 110 can be restored to near the pre-ash level using the following steps. The patterned substrate is transferred to a substrate etching region of the processing chamber for further processing (operation 220). Flows of ammonia and nitrogen trifluoride are initiated in a plasma region separate from the treatment region (operation 222). The separated plasma region may be referred to herein as a remote plasma region and may be a compartment within the processing chamber or a module separate from the processing chamber. Remote plasma emissions (products from the remote plasma) are allowed to flow within the processing region and interact with the substrate surface (operation 225). The flow of plasma emissions reacts with the surface to produce a solid residue comprising material from the walls of the low-k material 110 that is affected and material from the plasma emissions. Detailed chemical reactions that may be useful in understanding this process will be provided in the exemplary equipment section. The solid residue is then removed by heating the patterned substrate above its sublimation point (operation 240). The process is completed by removing the patterned substrate from the substrate etch region (operation 245), and the resulting structure is shown in FIG. 1B.

The etch rate of the outer dielectric layer is greater than the relatively low-k dielectric material inside the outer dielectric layer. In embodiments of the present invention, the vapor phase etch rate of the outer dielectric layer exceeds the vapor phase etch rate of the remaining low-k dielectric material by a multiplicative factor greater than 25, 50, or 100. The thickness of the outer dielectric layer is, in embodiments, about 150 microns or less, about 100 microns or less, or about 50 microns or less.

The example process just described is a subset of the family of SiConi ™ etches, which generally comprise simultaneous flows of fluorine-containing precursor and hydrogen-containing precursor. Fluorine-containing precursors include, in different embodiments, nitrogen trifluoride, hydrogen fluoride, diatomic fluorine, monatomic fluorine, and fluorine-substituted hydrocarbons or combinations thereof. Hydrogen-containing precursors, in different embodiments, include atomic hydrogen, diatomic hydrogen, ammonia, hydrocarbons, incompletely halogen-substituted hydrocarbons, or combinations thereof. For simplicity, some discussions included herein may refer to exemplary SiConi ™ etching using a combination of nitrogen trifluoride and ammonia. Any SiConi ™ etch can be used in place of the example shown and described in FIG. 2. All SiConi ™ etching containing fluorine and hydrogen (but containing little or essentially no oxygen) shows a significant selectivity to the silicon oxide to be etched. These etching processes remove very slowly silicon, polysilicon and silicon oxycarbide. As a result, SiConi ™ has the additional advantage of leaving the desired silicon oxycarbide low-k material 110 essentially intact, even though the etching continues after silicon oxide is consumed from the walls of the low-k material 110. Have This selectivity allows the process to be timed rather than using any other form of endpoint determination.

Although the examples described herein relate to double patterning (LELE) of a low-k dielectric layer, other process flows may be possible that require a photoresist to be deposited in a gap in the low-k layer. As a result, the methods presented and claimed have utility in any application, including ashing of any gapfill material that provides itself with removal by oxidation treatment. Ashable gapfill materials include various photoresists and other similar carbon-containing materials as well as bottom or top anti-reflective coatings (BARC or TARC). Ashable gapfill materials are essentially oxygen free in the embodiments shown. The oxidation treatment removes the ashable gapfill material but undesirably deforms the walls, increasing the dielectric constant in the modified surface layer. The increased dielectric constant can be reduced using the methods described herein. The profile of the trenches may include a step structure on the trench wall as shown in FIGS. 1A-1B, but in other presented embodiments there is essentially no step.

As described above, the gaps and trenches are formed of a low-k material. The exemplary gap described has a step between two approximately vertical walls of low-k material (see FIG. 1). In other embodiments, no step is formed and one approximately vertical wall is formed of a low-k material. One vertical wall may be within 10 °, 5 ° or 2 ° of the vertical line in the presented embodiments. Before ashing (or after the treatments presented herein), the dielectric constant of the low-k material may be less than 3.9, 3.7, 3.5, 3.3 or 3.1 in the presented embodiments. The dielectric constant is mainly determined by the concentration of carbon in the silicon oxycarbide low-k layer. After ashing, the outer dielectric layer may have a dielectric constant greater than 3.0, 3.2 or 3.5, while the remainder of the low-k dielectric material is less than 3.0, 3.2 or 3.5, respectively, in accordance with embodiments of the present invention. Has a constant.

Optional steps may be used after gas phase etching. The vapor etching just described may leave post-etch residues containing portions of the vapor etchant. The presence of post-etch residues can lead to electrical leakage between adjacent conducting lines. The leakage can be caused, for example, by residues after fluorine-containing etching. Thus, the etched substrate is subsequently followed by plasma emissions from a plasma containing one or more of Ar, N 2 , NH 3 , and H 2 to remove some of the post-etch residues and mitigate any electrical leakage seen. Can be processed.

Oxygen radicals are used to remove the gapfill photoresist 120 during operation 215. Oxygen radicals are typically formed in the remote plasma region and flow into the substrate etching region. Oxygen radicals contain, in embodiments, a neutral species comprising at least one of atomic oxygen (O) and ozone (O 3 ). Although some ionized species are present in the etching region, ionized species tend to recombine faster than deionized (neutral) atomic oxygen and deionized ozone recombine. In embodiments, to ensure that the ionized species have a sufficient opportunity to neutralize, a remote plasma is preferred over the plasma in the etching region. Apertures and path-lengths from the remote plasma to the etch region are preferably selected to allow neutral atomic oxygen (O) to migrate to the substrate etch region in the presented embodiments. In some embodiments, SiF 4 is simultaneously flowed with oxygen radicals (using a remote plasma or etch region plasma) for the purpose of passivating sidewalls to reduce oxidation. The oxidized region of the low k material can still develop and exhibit increased dielectric constant. Thus, structures produced in this manner may still have the advantages of the methods presented herein.

Separate chambers are detailed for use in ashing and SiConi ™ etching. In an alternative embodiment, these processes are performed in the same chamber in a sequence of processing steps without removing the patterned substrate from the processing chamber.

Additional vapor etching process parameters and process details are presented in the course of describing the exemplary processing system.

Example Processing System

3 is a partial cross-sectional view illustrating an exemplary processing chamber 300 in which embodiments of the present invention may be performed. In general, ammonia and nitrogen trifluoride may enter the remote plasma region (s) 361-363 through one or more apertures 351 and may be excited by the plasma power source 346.

In one embodiment, the processing chamber 300 includes a chamber body 312, a lid assembly 302, and a support assembly 310. The lid assembly 302 is disposed on top of the chamber body 312, and the support assembly 310 is at least partially disposed within the chamber body 312. The processing chamber 300 and associated hardware are preferably formed from one or more process-compatible materials (eg, aluminum, stainless steel, etc.).

Chamber body 312 includes a slit valve opening 360 formed in its sidewall to provide access to the processing chamber 300. The slit valve opening 360 is selectively opened and closed to allow access into the chamber body 312 by a wafer handling robot (not shown). In one embodiment, the wafer may be transferred to the adjacent transfer chamber and / or load-lock chamber, or other chamber within the cluster tool, through the slit valve opening 360 inside and outside the processing chamber 300. An example cluster tool that may include a processing chamber 300 is shown in FIG. 4.

In one or more embodiments, chamber body 312 includes chamber body channel 313 for flowing a heat transfer fluid through chamber body 312. The heat transfer fluid may be a heating fluid or a coolant and is used to control the temperature of the chamber body 312 during processing and substrate transfer. The temperature of the chamber body 312 is important to prevent unwanted condensation of gases or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or mixtures thereof. Exemplary heat transfer fluids may also include nitrogen gas. The support assembly 310 can have a support assembly channel 304 for flowing a heat transfer fluid through the support assembly 310 and thus affecting substrate temperature.

The chamber body 312 may additionally include a liner 333 surrounding the support assembly 310. Liner 333 is preferably removable for service and cleaning. The liner 333 may be made of a metal, such as aluminum, or a ceramic material. However, liner 333 may be any process affinity material. The liner 333 may be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of the material causing contamination of the processing chamber 300. can do. In one or more embodiments, the liner 333 includes a pumping channel 329 and one or more apertures 335 formed therein in fluid communication with the vacuum system. The apertures 335 provide a flow path of gases into the pumping channel 329, which provides an outlet of gases within the processing chamber 300.

The vacuum system may include a throttle valve 327 and a vacuum pump 325 to regulate the flow of gases through the processing chamber 300. The vacuum pump 325 is connected to a vacuum port 331 disposed on the chamber body 312, thereby fluidly communicating with the pumping channel 329 formed in the liner 333. The terms “gas” and “gases” are used interchangeably unless otherwise indicated, and one or more reactants, catalysts, carriers, purges, as well as any other fluid entering the chamber body 312. , Cleaning, combinations thereof. The term “precursor” is used to refer to any process gas that participates in a reaction to remove or deposit material from a surface.

The apertures 335 allow the pumping channel 329 to be in fluid communication with the treatment area 340 within the chamber body 312. The treatment area 340 is defined by the bottom surface of the lid assembly 302 and the top surface of the support assembly 310, and is surrounded by the liner 333. The apertures 335 may be uniformly sized and evenly spaced around the liner 333. However, any number, location, size or shape of apertures may be used and each of such design parameters may vary depending on the desired flow pattern of gas across the substrate receiving surface as discussed in more detail below. In addition, the size, number and location of the apertures 335 are configured to achieve a uniform flow of gases exiting the processing chamber 300. In addition, the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate rapid evacuation of gas from chamber 300. For example, the number and size of apertures 335 proximate to the vacuum port 331 can be smaller than the size of the apertures 335 located farther from the vacuum port 331.

A gas supply panel (not shown) is typically used to provide process gas (s) to the processing chamber 300 through one or more apertures 351. The particular gas or gases used depends on the process or processes to be performed in chamber 300. Exemplary gases may include, but are not limited to, one or more precursors, reducing agents, catalysts, carriers, purges, rinses, or mixtures or combinations thereof. Typically, one or more gases entering the processing chamber 300 are flowed into the plasma volume 361 through the aperture (s) 351 of the top plate 350. Alternatively or in combination, process gases may be introduced more directly into the treatment region 340 through the aperture (s) 352. The aperture (s) 352 is useful for process related gases that bypass remote plasma excitation and do not require plasma excitation or processes that do not have the advantage of additional excitation of gases. Reactive oxygen generated in the remote plasma may enter the treatment region 340 through the aperture (s) without passing through the regions 361, 362, 363. Electronically operated valves and / or flow control mechanisms (not shown) may be used to control the flow of gas from the gas supply to the processing chamber 300. Depending on the process, any number of gases can be delivered to the processing chamber 300 and mixed within or before the gases are delivered to the processing chamber 300.

The lead assembly 302 can further include an electrode 345 for generating a plasma of reactive species within the lead assembly 302. In one embodiment, electrode 345 is supported by top plate 350 and therefrom by inserting electrically insulating ring (s) 347 made of aluminum oxide or any other insulating and process friendly material. Electrically insulated. In one or more embodiments, electrode 345 is connected to power source 346, while the remainder of lead assembly 302 is connected to ground. Thus, a plasma of one or more process gases may be generated in a remote plasma region comprised of volumes 361, 362 and / or 363 between electrode 345 and annular mounting flange 322. In embodiments, the annular mounting flange includes or supports the gas delivery plate 320. For example, the plasma may be initiated and maintained between one or two breaker plates of the blocker assembly 330 and the electrode 345. Alternatively, the plasma may be bombarded and included between the gas delivery plate 320 and the electrode 345 in the absence of the breaker assembly 330. In each embodiment, the plasma is well confined or contained within the lid assembly 302. Thus, the plasma is a "remote plasma" because no active plasma is in direct contact with the substrate disposed in the chamber body 312. As a result, plasma damage to the substrate can be prevented because the plasma is separated from the substrate surface.

Extensive power sources 346 can activate ammonia and nitrogen trifluoride gases within the reactive species. For example, radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used. Activation can also be generated by thermally based techniques, gas breakdown techniques, high density light sources (eg UV energy), or exposure to x-ray sources. Alternatively, a remote activation source, such as a remote plasma generator, can be used to generate a plasma of reactive species that is delivered into chamber 300. Exemplary remote plasma generators include MKS Instruments, Inc. And manufacturers such as Advaced Energy Industries, Inc. In an exemplary processing system, the RF power supply is connected to electrode 345. The higher power microwave power source 346 is useful when reactive oxygen is generated using the power source 346.

The temperatures of the substrate and processing chamber body 312 may be controlled by flowing heat transfer media through chamber body channel 313 and support assembly channel 304, respectively. The support assembly channel 304 may be formed in the support assembly 310 to facilitate the transfer of thermal energy. Chamber body 312 and support assembly 310 may be independently cooled or heated. For example, the heating fluid flows through the other while the cooling fluid flows through the other.

Other methods can be used to control the substrate temperature. The substrate may be heated by heating the support assembly 310 (or a portion thereof, such as a pedestal) by a resistive heater or some other means. In another configuration, the gas delivery plate 320 may be maintained at a higher temperature than the substrate, and the substrate may be raised to increase the substrate temperature. In this case, the substrate is heated radially or by using a gas to conduct heat from the gas delivery plate 320 to the substrate. The substrate may be raised by raising the support assembly 310 or by using lift pins.

During the etching processes described herein, the chamber body 312 may be maintained within an approximate temperature range of 50 ° C. to 80 ° C., 55 ° C. to 75 ° C., or 60 ° C. to 70 ° C. in different embodiments. . During exposure to plasma emissions and / or oxidants, the substrate may be maintained at about 15 ° C. below about 65 ° C., about 15 ° C. to about 50 ° C., or about 22 ° C. to about 40 ° C., in different embodiments. Can be.

Plasma emissions include various molecules, molecular fragments and ionized species. Currently welcomed theoretical mechanisms of SiConi ™ etching may or may not be accurate overall, but plasma emissions include NH 4 F and NH 4 F.HF which readily react with the low temperature substrates described herein. I think that. Plasma emissions can react with the silicon oxide surface to form (NH 4 ) 2 SiF 6 , NH 3 , and H 2 O products. NH 3 and H 2 O are vapors under the processing conditions described herein and may be removed from the treatment region 340 by the vacuum pump 325. Thin continuous or discontinuous layers of (NH 4 ) 2 SiF 6 solid byproducts remain on the substrate surface.

After exposure to the plasma emissions and associated accumulation of solid byproducts on the vertical walls of the trenches (including stepped trenches) as a relatively higher-k thin film, the substrate may be heated to remove the byproducts. In embodiments, the gas delivery plate 320 is heatable by including a heating element 370 near or within the gas delivery plate 320. The substrate can be heated by reducing the distance between the substrate and the heated gas delivery plate. Gas delivery plate 320 may be heated to about 100 ° C. to 150 ° C., about 110 ° C. to 140 ° C., or about 120 ° C. to 130 ° C. in different embodiments. By reducing the separation between the heated gas delivery plate and the substrate, the substrate may be heated to at least about 75 ° C., at least about 90 ° C., at least about 100 ° C., or from about 115 ° C. to about 150 ° C. in different embodiments. Heat released from the gas delivery plate 320 to the substrate dissociates and sublimes solid (NH 4 ) 2 SiF 6 on the substrate into volatile SiF 4 , NH 3 and HF products that can be pumped away from the treatment region 340. It should be created enough for.

Ammonia (or hydrogen-containing precursors in general) has a flow rate of about 50 sccm to about 300 sccm, about 75 sccm to about 250 sccm, about 100 sccm to about 200 sccm or about 120 sccm to about 170 sccm in different embodiments. To the remote plasma volume 361. Nitrogen trifluoride (or generally fluorine-containing precursors) in different embodiments is from about 25 sccm to about 150 sccm, from about 40 sccm to about 175 sccm, from about 50 sccm to about 100 sccm or from about 60 sccm to about 90 sccm Flows into the remote plasma volume 361. The combined flow rates of the hydrogen-containing and fluorine-containing precursors into the remote plasma region may occupy 0.05% to about 20% of the volume of the total gas mixture; The rest is carrier gas. In one embodiment, the purge or carrier gas is first initiated into the remote plasma region prior to such reactive gases to stabilize the pressure in the remote plasma region.

Generation of plasma emissions occurs in volumes 361, 362 and / or 363 by applying plasma power to electrode 345 with respect to the rest of lead assembly 302. The plasma power may be a combination of multiple frequencies or various frequencies. In an exemplary processing system, the plasma is provided by RF power delivered to electrode 345. The RF power may be about 1 W to about 1000 W, about 5 W to about 600 W, about 10 W to about 300 W, or about 20 W to about 100 W in different embodiments. The RF frequency applied in the exemplary processing system may be less than about 200 kHz, less than about 150 kHz, less than about 120 kHz, or about 50 kHz to about 90 kHz in different embodiments.

During the ashing process, reactive oxygen may be formed within the same chambers 361-362 or outside the processing chamber used to excite the etchant gases. Reactive oxygen may, in embodiments, contain atomic oxygen (O) and ozone (O 3 ) that flow with more stable molecular oxygen (O 2 ), a combination of which will be referred to herein as reactive oxygen. The flow rate of the reactive oxygen can be about 1 slm to about 50 slm, about 2 slm to about 30 slm, or about 5 slm to about 10 slm in different embodiments. The flow of reactive oxygen may be coupled with additional flow of relatively inert gas (eg, He, Ar) prior to entering the treatment region 340 through the aperture (s) 352. Relatively inert carrier gas may be included for various advantages including an increase in plasma density.

Treatment region 340 may be maintained at various pressures during the flow of ozone, oxygen, carrier gases and / or plasma emissions into treatment region 340. The pressure may be maintained at about 500 mTorr to about 30 Torr, about 1 Torr to about 10 Torr, or about 3 Torr to about 6 Torr in different embodiments. Lower pressures may also be used within the treatment region 340. The pressure may be maintained at about 500 mTorr or less, about 250 mTorr or less, about 100 mTorr or less, about 50 mTorr or less or about 20 mTorr or less in different embodiments.

In one or more embodiments, the processing chamber 300 includes various multi-processing platforms, including Producer ™ GT, Centura ™ AP, and Endura ™ platforms available from Applied Materials, Inc., located in Santa Clara, California. Can be incorporated within. Such a processing platform can perform several processing operations without breaking the vacuum.

4 is a schematic top view of an exemplary multi-chamber processing system 400. System 400 may include one or more load lock chambers 402, 404 for the transfer of substrates into and out of system 400. Typically, because the system 400 is under vacuum, the load lock chambers 402, 404 can "pump down" substrates introduced into the system 400. The first robot 410 can transfer substrates between the load lock chambers 402, 404 and the first set of one or more substrate processing chambers 412, 414, 416, 418 (four are shown). . Each processing chamber 412, 414, 416, 418 has periodic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning It may be equipped to perform a number of substrate processing operations including the dry etching processes described herein, along with clean, degas, orientation, and other substrate processes.

The first robot 410 can also transfer substrates to / from one or more transfer chambers 422, 424. Transfer chambers 422, 424 may be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within system 400. The second robot 430 can transfer substrates between the transfer chambers 422, 424 and the second set of one or more processing chambers 432, 434, 436, 438. Similar to the processing chambers 412, 414, 416, 418, the processing chambers 432, 434, 436, 438 can be, for example, periodic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition ( CVD), physical vapor deposition (PVD), etching, pre-clean, degassing, and orientation, as well as various substrate processing operations including the dry etching processes described herein. Any substrate processing chambers 412, 414, 416, 418, 432, 434, 436, 438 may be removed from the system 400 if not needed for the particular process to be performed by the system 400. The gases may be provided, routed, and mixed by the gas handling system 455 prior to delivery to the exemplary processing chamber.

System controller 457 is used to control motors, valves, flow controllers, power supplies, and other functions required to perform the process methods described herein. System controller 457 may rely on feedback from light sensors to determine and adjust the position of the movable mechanical assemblies. Mechanical assemblies may include susceptors, throttle valves, and robots that are moved by motors under control of system controller 457.

In an exemplary embodiment, system controller 457 includes a hard disk drive (memory), USB ports, a floppy disk drive, and a processor. System controller 457 includes analog and digital input / output boards, interface boards and stepper motor controller boards. Various parts of the multi-chamber processing system 400, including the processing chamber 300, are controlled by the system controller 457. The system controller executes system control software in the form of a computer program stored on a computer-readable medium, such as a hard disk, floppy disk, or flash memory thumb drive. Other types of memory may also be used. The computer program includes sets of instructions that direct timing, mixing of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.

The process for depositing the film on the substrate or the process for cleaning the chamber 15 may be implemented using a computer program product executed by a controller. The computer program code may be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C ++, Pascal, Fortran or others. Appropriate program code is entered into a single file or multiple files using a conventional text editor and stored or embedded in a computer usable medium, such as a memory system of a computer. If the code text entered is a high-level language, the code is compiled, and the resulting compiler code is then pre-compiled Microsoft Windows? Linked with the object code of the library routines. To execute the linked compilation object code, the system user calls the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.

The interface between the user and the controller may be via an inductive-touch monitor and may include a mouse and keyboard. In one embodiment two monitors are used, one mounted on a clean room wall for operators and the other behind the wall for service technicians. Two monitors can display the same information simultaneously, in which case only one is configured to accept input at a time. To select a particular screen or function, the operator touches a designated area on the display screen with a finger or a mouse. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming the operator's selection.

As used herein, a “substrate” may be a support substrate with or without layers formed thereon. The support substrate can be a semiconductor or insulator of various doping concentrations and profiles, and can be, for example, a semiconductor substrate of the type used in the manufacture of integrated circuits. The layer of "silicon substrate" is used interchangeably and as an abbreviation for silicon- and oxygen-containing materials. Thus, silicon oxide may include concentrations of other basic components such as nitrogen, oxygen, carbon, and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. A gas in an "excited state" details a gas in which at least some of the gas molecules are in vibrationally-excited, dissociated and / or ionized states. The gas may be a combination of two or more gases. The terms "trench" and "gap" are used throughout without implying that the etched geometry has a wide horizontal aspect ratio. When viewed from above the surface, the trenches and gaps may appear round, oval, polygonal, square, or various other shapes. The term "via" is used to refer to a low horizontal aspect ratio trench (viewed from above) that may or may not be filled with metal to form a vertical electrical connection.

Several embodiments have been presented, and it will be appreciated by those skilled in the art that various modifications, alternative configurations, and equivalents may be used without departing from the spirit of the presented embodiments. In addition, numerous known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the foregoing description should not be considered as limiting the scope of the invention.

Where a range of values is provided, it is understood that the values intervening between each of the upper and lower limits of the range, as well as intervening values, are also specified unless the context clearly indicates otherwise. do. Each smaller range is included between any of the above-described values or values in between the above-mentioned ranges and any other above-mentioned or intervening values of the above-mentioned ranges. The upper and lower limits of these smaller ranges may be independently included or excluded in the range, and each range in which either or both of the limits are included in the smaller ranges or none is included in the present invention. , It is subject to any specifically excluded limit in the above-mentioned range. Where the above-mentioned range includes one or both of the limits, ranges excluding either or both of such included limits are also included.

As used in this specification and the appended claims, the singular forms “a,” “an,” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, a reference to "process" includes a plurality of such processes, and a reference to "dielectric material" refers to examples of one or more dielectric materials and their equivalents known to those of ordinary skill in the art, and the like. Include.

Also, the words "comprises" and "comprising" ("comprise", "comprising", "including" and "includes") are used in the specification and the claims below when used in the features, integers, Although intended to specify the presence of components, or steps, they do not disable the presence or addition of one or more other features, integers, components, steps, actions, or groups.

Claims (15)

A method of reducing the effective dielectric constant of a low-k dielectric material between two trenches on a patterned substrate in a substrate processing region, the method comprising:
The low-k dielectric material forms walls of the two trenches, the method comprising:
Transferring the patterned substrate into the substrate processing region; And
Gas phase etching the patterned substrate to reduce the average dielectric constant of the low-k dielectric material by removing an external dielectric layer from the low-k dielectric material
A method of reducing the effective dielectric constant of a low-k dielectric material comprising.
The method of claim 1,
The vapor phase etching,
A fluorine-containing precursor and a hydrogen-containing precursor into the first remote plasma region fluidly connected to the substrate processing region, forming a plasma in the first remote plasma region to form plasma effluents Flowing the precursor;
Etching the patterned substrate by flowing the plasma emissions into the substrate processing region, forming solid byproducts on the surface of the substrate; And
Sublimating the solid by-products by increasing the temperature of the substrate above the sublimation temperature of the solid by-products,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 2,
The fluorine-containing precursor comprises at least one precursor selected from the group consisting of nitrogen trifluoride, hydrogen fluoride, diatomic fluorine, monoatomic fluorine, and fluorine-substituted hydrocarbons.
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 2,
The hydrogen-containing precursor comprises at least one precursor selected from the group consisting of atomic hydrogen, molecular hydrogen, ammonia, hydrocarbons, and incomplete halogen-substituted hydrocarbons,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 2,
Wherein the temperature of the substrate is raised above about 100 ° C. during the operation of subliming the solid by-products,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
The outer dielectric layer has a dielectric constant greater than 3.0, and the remaining low-k dielectric material has a dielectric constant of less than 3.0,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
The relatively high dielectric constant of the outer dielectric layer is caused by plasma ashing,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
Further ashing the patterned substrate prior to the operation of the vapor phase etching;
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
The outer dielectric layer is removed from the walls of the two trenches,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 8,
Ashing the patterned substrate is performed after the operation of transferring the patterned substrate into the substrate processing region;
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 8,
Plasma ashing the patterned substrate is performed prior to transferring the patterned substrate into the substrate processing region;
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
The thickness of the outer dielectric layer is less than about 150 GPa,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
The etch rate of the outer dielectric layer during gas phase etching exceeds the etch rate of the remaining low-k dielectric material by a multiplicative factor greater than 50,
A method of reducing the effective dielectric constant of low-k dielectric materials.
The method of claim 1,
After the gas phase etching of the patterned substrate, at least one of argon, nitrogen (N 2 ), ammonia (NH 3 ) or hydrogen (H 2 ) to remove post-etch residues Plasma-processing the patterned substrate in an atmosphere containing
A method of reducing the effective dielectric constant of low-k dielectric materials.
15. The method of claim 14,
The post-etch residue contains fluorine,
A method of reducing the effective dielectric constant of low-k dielectric materials.
KR1020110083389A 2011-08-22 2011-08-22 Post-ash sidewall healing KR20130022433A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110083389A KR20130022433A (en) 2011-08-22 2011-08-22 Post-ash sidewall healing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110083389A KR20130022433A (en) 2011-08-22 2011-08-22 Post-ash sidewall healing

Publications (1)

Publication Number Publication Date
KR20130022433A true KR20130022433A (en) 2013-03-07

Family

ID=48175083

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110083389A KR20130022433A (en) 2011-08-22 2011-08-22 Post-ash sidewall healing

Country Status (1)

Country Link
KR (1) KR20130022433A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015105673A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015105673A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source

Similar Documents

Publication Publication Date Title
US20120009796A1 (en) Post-ash sidewall healing
US9754800B2 (en) Selective etch for silicon films
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
US9093390B2 (en) Conformal oxide dry etch
KR101931134B1 (en) Uniform dry etch in two stages
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US8435902B2 (en) Invertable pattern loading with dry etch
US8642481B2 (en) Dry-etch for silicon-and-nitrogen-containing films
KR101956837B1 (en) Selective etch of silicon by way of metastable hydrogen termination
TWI445081B (en) Smooth siconi etch for silicon-containing films
US20150311089A1 (en) Dry-etch for selective oxidation removal
TW202043520A (en) Methods and apparatus for filling a feature disposed in a substrate
JP2013048127A (en) Recovery of side wall after ashing
CN102931130A (en) Method for repairing ashed side wall
KR20130022433A (en) Post-ash sidewall healing
SG187276A1 (en) Post-ash sidewall healing
TW201306125A (en) Post-ash sidewall healing

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination