KR20120129125A - Electroplating apparatus for semiconductor substrate and method the same - Google Patents

Electroplating apparatus for semiconductor substrate and method the same Download PDF

Info

Publication number
KR20120129125A
KR20120129125A KR1020110047188A KR20110047188A KR20120129125A KR 20120129125 A KR20120129125 A KR 20120129125A KR 1020110047188 A KR1020110047188 A KR 1020110047188A KR 20110047188 A KR20110047188 A KR 20110047188A KR 20120129125 A KR20120129125 A KR 20120129125A
Authority
KR
South Korea
Prior art keywords
plating
paddle
plating liquid
substrate
anode
Prior art date
Application number
KR1020110047188A
Other languages
Korean (ko)
Inventor
이의형
최주일
피재현
장동현
박정우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020110047188A priority Critical patent/KR20120129125A/en
Priority to US13/438,020 priority patent/US20120292195A1/en
Publication of KR20120129125A publication Critical patent/KR20120129125A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Abstract

PURPOSE: An electrical plating device and a method of a semiconductor substrate are provided to improve uniformity of plating by controlling the flow of plating liquid in a specific region. CONSTITUTION: A plating bath(10) stores plating liquid. A pedal(40) is installed in the plating bath. The pedal has a plurality of holes. The plating liquid flows to a substrate via the plurality of holes. A flow enhancement unit(41) selectively increases the flow of the plating liquid.

Description

반도체 기판의 전기 도금 장치 및 방법{ELECTROPLATING APPARATUS FOR SEMICONDUCTOR SUBSTRATE AND METHOD THE SAME}ELECTROPLATING APPARATUS FOR SEMICONDUCTOR SUBSTRATE AND METHOD THE SAME

본 발명은, 반도체 기판의 전기 도금 장치 및 방법에 관한 것으로서, 보다 상세하게는, 반도체 기판의 표면에 도금막을 균일하게 형성하기 위한 반도체 기판의 전기 도금 장치 및 방법에 관한 것이다.The present invention relates to an electroplating apparatus and method for a semiconductor substrate, and more particularly to an electroplating apparatus and method for a semiconductor substrate for uniformly forming a plated film on the surface of the semiconductor substrate.

일반적으로, 반도체 웨이퍼(Wafer) 즉 반도체 기판과 같은 피도금체 표면에 도금하고자 하는 물질 예를 들어 은, 구리 등을 도금하는 전기 도금 장치는, 전기 도금 방식을 이용하는 장치로서, 전기 도금 방식은 반도체 웨이퍼 상에 금속막을 형성하는 기타 화학 기상 증착법이나 물리 기상 증착법 등의 공정 대비 도금된 금속막의 물성이 우수하기 때문에 널리 활용되고 있다.In general, an electroplating apparatus for plating a material to be plated on a surface of a plated body such as a semiconductor substrate, for example, silver or copper, is a device using an electroplating method, and the electroplating method is a semiconductor. It is widely used because the physical properties of the plated metal film is superior to other chemical vapor deposition methods and physical vapor deposition methods for forming a metal film on the wafer.

전기 도금 원리를 간략히 설명하면, 도금하고자 하는 피도금체를 음극으로 하고, 전착(電着)시키고자 하는 금속을 양극으로 하여, 전착시키고자 하는 금속이온을 함유한 전해액 속에 이들을 넣고 두 전극을 통전(通電)하여 전해함으로써 원하는 금속이온이 피도금체의 표면에 증착되는 현상을 이용하는 것이다. Briefly explaining the electroplating principle, the electrode to be plated is used as the cathode, the metal to be electrodeposited as the anode, and these are placed in an electrolyte solution containing the metal ions to be electrodeposited and the two electrodes are energized. The phenomenon in which desired metal ions are deposited on the surface of a plated body by electrolysis by electrolysis is used.

피도금체로서 반도체 웨이퍼와 같은 기판의 도금처리에서는 도금 품질의 향상을 위해서 피도금체인 기판의 피도금면 전면에서 막 두께 및 조성을 균일하게 하는 것이 중요하며, 이러한 막 두께 및 조성의 균일성(Uniformity)은 크게 도금조 내의 전장(전류 분포)과 도금액의 흐름(flow)에 의해 영향을 받는다.In the plating process of a substrate such as a semiconductor wafer as a plated body, in order to improve the plating quality, it is important to make the film thickness and composition uniform on the entire surface to be plated of the substrate to be plated. ) Is largely influenced by the electric field (current distribution) in the plating bath and the flow of the plating liquid.

도금조 내의 전류 분포를 제어하는 방법으로는 애노드(Anode)와 캐소드(Cathode)를 이용한 것이 있다.As a method of controlling the current distribution in the plating bath, an anode and a cathode are used.

애노드를 이용한 방법으로서는 피도금체인 기판에 마주보게 배치시키는 애노드를 상호 절연 영역을 갖도록 주변 애노드와 중앙 애노드로 복수 분할하여 중앙 애노드로의 도금처리의 통전 시간을 주변 애노드로의 도금처리의 통전 시간보다도 작게 하여 도금 두께를 제어하는 방법이 있고, 캐소드를 이용한 방법으로서는 피도금체인 기판의 둘레 가장자리에 접촉시키는 캐소드를 분할하여 균일한 전해 석출(electrodeposition)이 피도금면 전면에 행해지도록 분할된 애노드 전극과 캐소드 전극에 의해 커런트 미러 회로(current mirror circuit)를 형성하는 것이 알려져 있다.In the method using the anode, the anode disposed to face the substrate to be plated is divided into a plurality of neighboring anodes and a center anode so as to have a mutually insulating area, and the energization time of the plating treatment to the center anode is less than that of the plating treatment to the surrounding anode. There is a method of controlling the plating thickness by making it small, and as a method using a cathode, an anode electrode divided so as to divide a cathode in contact with a peripheral edge of a substrate to be plated so that uniform electrodeposition is performed on the entire surface to be plated; It is known to form a current mirror circuit by the cathode electrode.

전술한 애노드와 캐소드를 이용한 전류 분포 제어는 어느 정도의 균일성이 있는 막 두께로 웨이퍼의 피도금면에 도금처리를 행하는 것이 가능하나, 애노드를 분할하는 것은 전기 도금 장치의 구조를 복잡하게 하고, 피도금체인 기판의 구경이 바뀐 경우에는 그때마다 그 구경에 맞춘 조정, 즉 애노드의 분할 형상 등을 조정할 필요가 있다. 또한, 애노드를 분할하여 개별로 제어하기 위해서는 정류기를 복수 준비해야 하는 등 비용 면에 있어서도 불리함이 있다.In the above-described current distribution control using the anode and the cathode, it is possible to perform plating treatment on the plated surface of the wafer with a film thickness with a certain degree of uniformity, but dividing the anode complicates the structure of the electroplating apparatus, When the diameter of the substrate to be plated is changed, it is necessary to adjust the adjustment according to the diameter, that is, the division shape of the anode, etc. each time. In addition, there are disadvantages in terms of cost, such as the need to prepare a plurality of rectifiers in order to divide and control the anode separately.

다음으로 도금액 흐름(flow)을 제어함으로써 도금막의 균일성을 향상시키는 방법이 있다. 즉 노즐에 의한 도금액의 흐름 압력을 제어하거나 웨이퍼와 패들(Paddle)의 회전 속도를 조절하여 도금의 균일성을 도모하는 방법이 있다. Next, there is a method of improving the uniformity of the plated film by controlling the plating liquid flow. In other words, there is a method of controlling the flow pressure of the plating liquid by the nozzle or adjusting the rotational speed of the wafer and the paddle to achieve uniform plating.

그러나, 이러한 웨이퍼와 패들의 회전 속도 제어나 노즐에 의한 도금액 흐름 제어만으로는 도금의 균일성을 향상시키는데 있어서는 여전히 한계가 있으며, 따라서 도금의 균일성을 향상시키기 위하여 새로운 방식이 요구된다.However, only the rotational speed control of the wafer and the paddle or the plating liquid flow control by the nozzles are still limited in improving the uniformity of the plating, and thus a new method is required to improve the uniformity of the plating.

따라서 본 발명이 이루고자 하는 기술적 과제는, 반도체 기판과 같은 피도금체에서 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 특정 영역으로 도금액의 흐름을 선택적으로 강화시킴으로써 전체적으로 도금을 종래보다 균일하게 할 수 있는 반도체 기판의 전기 도금 장치 및 방법을 제공하는 것이다.Therefore, the technical problem to be achieved by the present invention is to uniformly enhance the plating solution as compared to the prior art by selectively strengthening the flow of the plating solution to a specific region where the supply amount of metal ions in the plating solution needs to be relatively increased in the plating target such as a semiconductor substrate. It is to provide an electroplating apparatus and method for a semiconductor substrate.

본 발명의 일 측면에 따르면, 도금액이 수용되는 도금조; 상기 도금조 내부에 설치되며, 상기 도금액이 피도금체인 기판을 향해 통과하는 복수의 홀이 형성된 패들; 및 상기 패들의 일측에 마련되며, 상기 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 상기 기판의 특정 영역으로 상기 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부를 포함하는 반도체 기판의 전기 도금 장치가 제공될 수 있다.According to an aspect of the invention, the plating bath is accommodated plating solution; A paddle installed in the plating bath and having a plurality of holes through which the plating liquid passes toward a substrate to be plated; And a plating liquid flow reinforcing part provided on one side of the paddle and selectively strengthening the flow of the plating liquid to a specific region of the substrate that needs to relatively increase a supply amount of metal ions in the plating liquid. An apparatus may be provided.

상기 도금액 흐름 강화부는 상기 패들로부터 상기 기판 방향으로 돌출되는 적어도 하나의 돌출부재일 수 있다.The plating liquid flow reinforcing part may be at least one protruding member protruding from the paddle toward the substrate.

상기 적어도 하나의 돌출부재는, 상기 패들의 동일 반경을 갖는 원주를 따라 상호 이격 배치되며, 상기 패들의 상기 복수의 홀에 선택적으로 탈착 가능하게 결합되는 복수 개의 탭(Tap)일 수 있다.The at least one protruding member may be a plurality of taps disposed to be spaced apart from each other along a circumference having the same radius of the paddle, and selectively detachably coupled to the plurality of holes of the paddle.

상기 패들의 홀 내측면에는 암나사산이 형성되고, 상기 탭에는 수나사산이 형성되어 상기 홀에 상기 탭이 나사결합될 수 있다.A female thread is formed on an inner side surface of the hole of the paddle, and a male thread is formed on the tab so that the tab may be screwed into the hole.

상기 돌출부재는 표면이 절연 물질로 코팅될 수 있다.The protruding member may have a surface coated with an insulating material.

상기 도금액 흐름 강화부는 상기 패들의 일측면에 표면으로부터 함몰 형성되는 그루브일 수 있다.The plating liquid flow reinforcing portion may be a groove formed recessed from a surface of one side of the paddle.

상기 패들과 이격 되도록 도금조의 내부에 설치되며, 공급되는 상기 도금액의 상기 패들로의 선형 흐름을 유도하는 선형 흐름 유도부가 마련되는 애노드를 더 포함할 수 있다.It may further include an anode which is installed inside the plating bath so as to be spaced apart from the paddle, the linear flow guide portion is provided to induce a linear flow of the plating liquid supplied to the paddle.

상기 선형 흐름 유도부는, 상기 애노드에 표면으로 함몰형성된 홈에 결합되되 상기 홈에 대응되는 형상을 갖는 난류 억제 패드일 수 있다.The linear flow guide portion may be a turbulence suppression pad coupled to a groove recessed to the anode and having a shape corresponding to the groove.

상기 선형 흐름 유도부는, 상기 애노드에 결합되는 다공성 부재일 수 있다.The linear flow guide portion may be a porous member coupled to the anode.

상기 도금조의 상측에 설치되어 상기 도금액을 상기 도금조의 상부에서 하부로 분사하는 도금액 분사부재를 더 포함할 수 있다.It may further include a plating liquid injection member installed on the upper side of the plating bath to spray the plating liquid from the upper portion of the plating bath to the lower side.

본 발명의 다른 측면에 의하면, 피도금체인 기판 전체에 도금막이 균일하게 형성되도록 상기 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 기판의 특정 영역을 결정하는 단계; 상기 특정 영역으로 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부가 구비된 패들을 도금조에 마련하는 단계; 상기 도금조에 상기 기판을 설치하는 단계; 및 상기 도금조에 도금액을 공급하고, 상기 도금조의 내부에 마련된 애노드와 캐소드 사이에 전기장을 형성하여 상기 피도금체인 기판의 표면에 도금막을 형성하는 단계를 포함하는 반도체 기판의 전기 도금 방법이 제공될 수 있다.According to another aspect of the invention, the step of determining a specific region of the substrate that needs to relatively increase the supply amount of metal ions in the plating liquid so that the plating film is uniformly formed on the entire substrate to be plated; Providing a paddle with a plating liquid flow reinforcing part for selectively strengthening the flow of the plating liquid to the specific region in a plating bath; Installing the substrate in the plating bath; And supplying a plating solution to the plating bath, and forming an electric field between an anode and a cathode provided inside the plating bath to form a plating film on the surface of the substrate to be plated. have.

상기 도금액 흐름 강화부는 상기 패들로부터 상기 기판 방향으로 돌출되는 적어도 하나의 돌출부재일 수 있다.The plating liquid flow reinforcing part may be at least one protruding member protruding from the paddle toward the substrate.

상기 적어도 하나의 돌출부재는, 상기 패들의 동일 반경을 갖는 원주를 따라 상호 이격 배치되며, 상기 패들의 상기 복수의 홀에 선택적으로 탈착 가능하게 결합되는 복수 개의 탭(Tap)일 수 있다.The at least one protruding member may be a plurality of taps disposed to be spaced apart from each other along a circumference having the same radius of the paddle, and selectively detachably coupled to the plurality of holes of the paddle.

상기 도금액 흐름 강화부는 상기 패들의 일측면에 표면으로부터 함몰 형성되는 그루브일 수 있다.The plating liquid flow reinforcing portion may be a groove formed recessed from a surface of one side of the paddle.

본 발명의 실시예들은, 반도체 기판과 같은 피도금체에서 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 특정 영역으로 도금액의 흐름을 선택적으로 강화시킴으로써 전체적으로 도금을 종래보다 균일하게 할 수 있어 반도체 기판의 전기 도금 공정의 도금 품질을 향상시킬 수 있다.Embodiments of the present invention can make the plating more uniform than before by selectively strengthening the flow of the plating liquid to a specific region in which the supply of metal ions in the plating liquid needs to be relatively increased in the plating target such as a semiconductor substrate. The plating quality of the electroplating process of a semiconductor substrate can be improved.

도 1은 본 발명의 제1 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 구조도이다.
도 2는 도 1의 전기 도금 장치에서 패들의 개략적인 평면도이다.
도 3은 도 2의 Ⅲ-Ⅲ선에 따른 단면도이다.
도 4는 도 3의 패들에 설치된 도금액 흐름 강화부에 의해 기판에 형성되는 도금 상태를 과장되게 도시한 단면도이다.
도 5는 본 발명의 제1 실시예에 따른 반도체 기판의 전기 도금 방법을 순차적으로 도시한 순서도이다.
도 6은 본 발명의 제2 실시예에 따른 반도체 기판의 전기 도금 장치의 패들의 개략적인 단면도이다.
도 7은 본 발명의 제3 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 구조도이다.
도 8은 본 발명의 제4 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 주요부 구조도이다.
1 is a schematic structural diagram of an electroplating apparatus of a semiconductor substrate according to a first embodiment of the present invention.
FIG. 2 is a schematic plan view of a paddle in the electroplating apparatus of FIG. 1.
3 is a cross-sectional view taken along line III-III of FIG. 2.
4 is a cross-sectional view exaggeratedly illustrating a plating state formed on a substrate by a plating liquid flow reinforcing part provided in the paddle of FIG. 3.
5 is a flowchart sequentially illustrating an electroplating method of a semiconductor substrate according to a first exemplary embodiment of the present invention.
6 is a schematic cross-sectional view of a paddle of an electroplating apparatus of a semiconductor substrate according to a second embodiment of the present invention.
7 is a schematic structural diagram of an electroplating apparatus of a semiconductor substrate according to a third embodiment of the present invention.
8 is a schematic structural view of an essential part of the electroplating apparatus for a semiconductor substrate according to the fourth embodiment of the present invention.

본 명세서 또는 출원에 개시되어 있는 본 발명의 실시 예들에 대해서 특정한 구조적 내지 기능적 설명들은 단지 본 발명에 따른 실시 예를 설명하기 위한 목적으로 예시된 것으로, 본 발명에 따른 실시 예들은 다양한 형태로 실시될 수 있으며 본 명세서 또는 출원에 설명된 실시 예들에 한정되는 것으로 해석되어서는 아니 된다. Specific structural to functional descriptions of the embodiments of the present invention disclosed in the specification or the application are only illustrated for the purpose of describing the embodiments according to the present invention, and the embodiments according to the present invention may be embodied in various forms. It should not be construed as limited to the embodiments described in this specification or the application.

본 발명에 따른 실시 예는 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있으므로 특정실시 예들을 도면에 예시하고 본 명세서 또는 출원에 상세하게 설명하고자 한다. 그러나, 이는 본 발명의 개념에 따른 실시 예를 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. The embodiments according to the present invention can make various changes and have various forms, so that specific embodiments are illustrated in the drawings and described in detail in this specification or application. It is to be understood, however, that it is not intended to limit the embodiments according to the concepts of the present invention to the particular forms of disclosure, but includes all modifications, equivalents, and alternatives falling within the spirit and scope of the invention.

제1 및/또는 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로만, 예컨대 본 발명의 개념에 따른 권리 범위로부터 이탈되지 않은 채, 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소는 제1 구성요소로도 명명될 수 있다.The terms first and / or second, etc. may be used to describe various elements, but the elements should not be limited by the terms. The terms are intended to distinguish one element from another, for example, without departing from the scope of the invention in accordance with the concepts of the present invention, the first element may be termed the second element, The second component may also be referred to as a first component.

어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다. When a component is referred to as being "connected" or "connected" to another component, it may be directly connected to or connected to that other component, but it may be understood that other components may be present in between. Should be. On the other hand, when an element is referred to as being "directly connected" or "directly connected" to another element, it should be understood that there are no other elements in between. Other expressions describing the relationship between components, such as "between" and "immediately between," or "neighboring to," and "directly neighboring to" should be interpreted as well.

본 명세서에서 사용한 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 명세서에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가가능성을 미리 배제하지 않는 것으로 이해되어야 한다. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this specification, the terms "comprises ", or" having ", or the like, specify that there is a stated feature, number, step, operation, , Steps, operations, components, parts, or combinations thereof, as a matter of principle.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 명세서에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다. Unless otherwise defined, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Terms such as those defined in commonly used dictionaries should be construed as meaning consistent with meaning in the context of the relevant art and are not to be construed as ideal or overly formal in meaning unless expressly defined herein .

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시 예를 설명함으로써, 본 발명을 상세히 설명한다. 각 도면에 제시된 동일한 참조부호는 동일한 부재를 나타낸다.BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described in detail with reference to the preferred embodiments of the present invention with reference to the accompanying drawings. Like reference symbols in the drawings denote like elements.

도 1은 본 발명의 제1 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 구조도이고, 도 2는 도 1의 전기 도금 장치에서 패들의 개략적인 평면도이며, 도 3은 도 2의 Ⅲ-Ⅲ선에 따른 단면도이고, 도 4는 도 3의 패들에 설치된 도금액 흐름 강화부에 의해 기판에 형성되는 도금 상태를 과장되게 도시한 단면도이다.1 is a schematic structural diagram of an electroplating apparatus of a semiconductor substrate according to a first embodiment of the present invention, FIG. 2 is a schematic plan view of a paddle in the electroplating apparatus of FIG. 1, and FIG. 3 is III-III of FIG. 2. 4 is a cross-sectional view of the plating state formed on the substrate by the plating liquid flow reinforcing part provided in the paddle of FIG. 3.

이들 도면에 도시된 바와 같이, 본 실시예에 따른 반도체 기판의 전기 도금 장치(1)는, 내부에 도금액이 수용되는 도금조(10)와, 도금조(10) 내부의 상측에 마련되는 애노드(20)와, 애노드(20)와 이격되어 대향 되도록 도금조(10)의 하측에 마련되며 피도금체인 기판(W)이 설치되는 캐소드(30)와, 애노드(20)와 캐소드(30) 사이에 마련되어 도금액의 흐름을 제어하는 패들(40)을 구비한다.As shown in these figures, the electroplating apparatus 1 of the semiconductor substrate according to the present embodiment includes a plating bath 10 in which a plating solution is accommodated, and an anode provided above the plating bath 10. 20 and a cathode 30 provided below the plating bath 10 so as to be spaced apart from the anode 20 so as to face each other, and between the anode 20 and the cathode 30. It is provided with a paddle 40 for controlling the flow of the plating liquid.

도금조(10)는 내부에 도금액이 수용되고 도금 작업이 행해지는 곳으로서, 도금액을 공급하는 도금액 분사부재(11) 즉 본 실시 예에서 노즐(11)이 상부 중심에 배치된다. 도금액 분사부재(11)는 도금액 저장탱크(T)로부터 연장된 도금액 공급관(L)에 연결되며, 도금액 공급관(L)의 라인 상에는 펌프(P), 필터(F)가 차례로 마련된다. 또한 도금액 저장탱크(T)는 도금조(10)에 연결된 도금액 회송관(RL)과도 연결될 수 있다.The plating bath 10 is a place where the plating liquid is received and plating is performed. The plating liquid injection member 11 that supplies the plating liquid, that is, the nozzle 11 in this embodiment, is disposed at the upper center. The plating liquid injection member 11 is connected to a plating liquid supply pipe L extending from the plating liquid storage tank T, and a pump P and a filter F are sequentially provided on the line of the plating liquid supply pipe L. In addition, the plating liquid storage tank T may be also connected to the plating liquid return pipe RL connected to the plating bath 10.

본 실시 예에서 도금액 분사부재(11) 즉 노즐(11)은 도금조(10)의 상부에 배치되어 도금조(10)의 상측에서 하측으로 도금액을 공급하고, 공급되는 도금액이 하향 흐름을 형성하도록 한다. 그러나 본 발명의 권리범위가 이에 한정되지 않으며, 본 실시 예의 배치와 반대로 피도금체인 기판(W)의 피도금면이 아래 방향으로 노출되도록 상부에서 캐소드(30)가 기판(W)을 지지하고 도금액 분사부재(11) 즉 노즐(11)이 도금액을 하측에서 상측으로 공급하도록 할 수도 있을 것이다. In this embodiment, the plating liquid injection member 11, that is, the nozzle 11 is disposed above the plating bath 10 to supply the plating liquid from the upper side to the lower side of the plating bath 10, so that the supplied plating liquid forms a downward flow. do. However, the scope of the present invention is not limited thereto, and the cathode 30 supports the substrate W from the upper side so that the surface to be plated of the substrate W, which is the plated body, is exposed downward, in contrast to the arrangement of the present embodiment. The injection member 11, that is, the nozzle 11, may be configured to supply the plating liquid from the lower side to the upper side.

또한, 본 실시 예에서 도금액 분사부재(11) 즉 노즐(11)은, 애노드(20)에 의해 도금액의 공급이나 하향 흐름이 간섭받지 않도록 애노드(20)를 관통하도록 마련된다.In addition, in the present embodiment, the plating liquid ejecting member 11, that is, the nozzle 11, is provided to penetrate the anode 20 so that the supply or downward flow of the plating liquid is not interrupted by the anode 20.

한편, 본 실시 예에서는 도금액 분사부재(11)가 하나만 설치되어 있지만 본 발명의 권리범위가 이에 한정되지 않으며, 복수 개로 설치될 수도 있다. 그리고 도금액 분사부재(11)에서 공급되는 도금액은 하나의 방향으로 분사될 수도 있고, 스프레이와 같이 다수의 방향으로 확산되게 분사될 수도 있다.Meanwhile, in the present embodiment, only one plating liquid injection member 11 is installed, but the scope of the present invention is not limited thereto. In addition, the plating liquid supplied from the plating liquid injection member 11 may be sprayed in one direction or may be sprayed to be spread in a plurality of directions such as a spray.

도금조(10) 내부에 수용되는 도금액은, 은 이온, 니켈 이온, 구리 이온, 금 이온 또는 이들의 조합이 포함될 수 있다.The plating solution accommodated in the plating bath 10 may include silver ions, nickel ions, copper ions, gold ions, or a combination thereof.

애노드(20)는 전원공급부(50)의 양극에 연결되어 양극 전극으로서의 역할을 하며, 본 실시 예에서는 도금조(10) 상측에 배치된다.The anode 20 is connected to the anode of the power supply unit 50 and serves as an anode electrode, and is disposed above the plating bath 10 in this embodiment.

애노드(20)의 재료로 사용되는 물질은 도금 작업시 도금액을 오염시키지 않는 어떠한 물질도 가능하다. 예를 들어 불용성 물질일 수도 있고 가용성 물질일 수도 있다. 불용성 물질의 경우 애노드(20) 반응 전압이 상승하여 유기 첨가제의 분해 반응이 증가되고, 분해 반응 후의 부산물에 의해 도금액이 오염될 수 있으므로 반응 전압을 제어하거나, 분해 반응이 도금액에 영향을 주지 않도록 제어할 수 있는 장치(미도시)와 더불어 사용될 수 있다.The material used as the material of the anode 20 may be any material that does not contaminate the plating liquid during the plating operation. For example, it may be an insoluble material or a soluble material. In the case of an insoluble substance, the anode 20 reaction voltage is increased to increase the decomposition reaction of the organic additive, and the plating solution may be contaminated by the by-products after the decomposition reaction, thereby controlling the reaction voltage or controlling the decomposition reaction not to affect the plating solution. It may be used with a device (not shown).

애노드(20)의 재료 중 가용성 물질로는 애노드(20) 성분이 도금액에 용해되어 도금액을 오염시킬 수 있기 때문에 이러한 문제를 발생시키지 않도록 도금액에 함유된 도금 물질과 동일한 물질을 사용할 수 있다. Since the anode 20 component may be dissolved in the plating liquid and contaminate the plating liquid, the same material as the plating material contained in the plating liquid may be used as the soluble material among the materials of the anode 20.

또한, 애노드(20) 성분이 도금액에 용해되면 애노드(20) 표면이 불균일하게 되어 각 지점에서 피도금체인 기판(W)까지의 거리가 다르게 될 수 있다. 거리가 다르게 되면 이러한 거리의 차이에 의해 피도금체인 기판(W)의 인접 지역의 각 지점에서의 전하 밀도의 차이가 발생할 수 있다. 따라서 가용성 물질의 애노드(20)를 사용하는 경우 캐소드(30)와 소정 거리 이격시킴으로써 거리의 차이에 의한 전하 밀도의 차이를 최소화할 수 있도록 조절할 수 있다.In addition, when the anode 20 component is dissolved in the plating solution, the surface of the anode 20 may be non-uniform, and thus the distance to the substrate W, which is the plated body, may be different at each point. If the distance is different, the difference in charge density may occur at each point in the adjacent region of the substrate W to be plated due to the difference in distance. Therefore, when using the anode 20 of the soluble material can be adjusted to minimize the difference in the charge density due to the difference in distance by a predetermined distance from the cathode 30.

캐소드(30)는, 도금조(10)의 하측에 마련되어 애노드(20)와 함께 도금조(10) 내의 전기장을 형성하는 것으로서, 애노드(20)와 대향하는 캐소드(30)의 일측에는 피도금체인 기판(W)이 설치되며, 캐소드(30)는 이를 지지한다.The cathode 30 is provided below the plating bath 10 and forms an electric field in the plating bath 10 together with the anode 20. The cathode 30 is formed on one side of the cathode 30 facing the anode 20. The substrate W is installed, and the cathode 30 supports it.

이때, 캐소드(30)는 피도금체인 기판(W)과 전기적으로 연결되도록 설치될 수 있다. 예를 들어, 캐소드(30)가 외부 전원과 연결된 지그(jig) 형태로 설치되어 있는 경우 피도금체인 기판(W)의 주변부를 지그에 걸쳐 피도금체인 기판(W)과 캐소드(30)를 전기적으로 연결할 수 있다.In this case, the cathode 30 may be installed to be electrically connected to the substrate W which is the plated body. For example, when the cathode 30 is installed in the form of a jig connected to an external power source, the substrate W and the cathode 30 to be electrically connected are electrically connected to the periphery of the substrate W to be plated over the jig. Can be connected.

캐소드(30)의 일측에 마련되는 피도금체인 기판(W)은 본 실시예에서는 웨이퍼(Wafer)이다.The substrate W, which is the plated body provided on one side of the cathode 30, is a wafer in this embodiment.

한편 패들(40)은, 애노드(20)와 캐소드(30) 사이에 위치되도록 도금조(10)의 내부에 마련되며, 도금액의 흐름을 제어함으로써 피도금체인 기판(W)의 표면에 증착되는 도금 이온의 양을 조절하여 피도금체인 기판(W)에 도금막이 균일한 두께로 증착될 수 있게 하는 역할을 한다. Meanwhile, the paddle 40 is provided inside the plating bath 10 so as to be positioned between the anode 20 and the cathode 30, and is plated on the surface of the substrate W to be plated by controlling the flow of the plating liquid. The amount of ions is controlled to allow the plated film to be deposited on the substrate W to be plated with a uniform thickness.

패들(40)은 일반적으로 도금 이온을 통과시키는 영역과 도금 이온을 차단시키는 영역을 포함한다. 본 실시 예에서 패들(40)은, 도 2에 도시된 바와 같이, 원형의 평면 형상을 가지며, 이 패들(40)에는 도금 이온이 통과되는 통로인 홀(40a)이 복수개 형성된다.The paddle 40 generally includes a region for passing plating ions and a region for blocking plating ions. In this embodiment, the paddle 40 has a circular planar shape, as shown in FIG. 2, and the paddle 40 has a plurality of holes 40a which are passages through which plating ions pass.

패들(40)로부터 애노드(20) 또는 캐소드(30)까지의 거리는 공급되는 도금액의 유속, 도금 이온의 이동 속도 및 첨가제의 조성 등 전기 도금 공정의 가능한 변수를 고려하여 적절하게 조절할 수 있다. The distance from the paddle 40 to the anode 20 or the cathode 30 can be appropriately adjusted in consideration of possible variables of the electroplating process such as the flow rate of the plating liquid supplied, the moving speed of the plating ions and the composition of the additive.

이러한 패들(40)은 절연 물질로 형성되거나, 표면이 절연 물질로 코팅될 수도 있다. 절연 물질의 예로는, 세라믹, 폴리테트라플루오르에틸렌, 염화 비닐, 폴리프로필렌, 폴리카보네이트, 폴리에틸렌, 폴리스틸렌 등을 들 수 있다.The paddle 40 may be formed of an insulating material, or the surface may be coated with an insulating material. Examples of the insulating material include ceramics, polytetrafluoroethylene, vinyl chloride, polypropylene, polycarbonate, polyethylene, polystyrene, and the like.

일반적으로 도금 두께를 결정하는 인자는 도금액 내의 금속 이온을 얼마만큼 빠르게 공급할 수 있는 지가 중요한 인자이므로 이에 따른 흐름을 원활히 함으로써 금속 이온의 공급량을 상대적으로 늘려 도금 두께를 증가시킬 수 있다. In general, the determining factor of the plating thickness is an important factor of how quickly the metal ions in the plating solution can be supplied, and thus the plating thickness can be increased by relatively increasing the supply amount of the metal ions by smoothing the flow.

본 실시 예에서는 도금 공정을 수행한 때에 금속 이온의 공급량이 상대적으로 작아서 도금 두께가 상대적으로 얇은 기판의 특정 영역을 파악하며 전체적으로 도금막이 균일한 두께로 증착될 수 있게 하기 위하여 이러한 특정 영역에 금속 이온의 공급량을 상대적으로 증가시키도록 함으로써 종래보다 균일한 도금 두께 및 조성을 얻을 수 있도록 하고 있는데, 이를 위하여 본 실시 예에서는 패들(40)에 도금액 흐름 강화부(41)가 마련된다. In this embodiment, when the plating process is performed, the amount of metal ions supplied is relatively small, so that a specific region of the substrate having a relatively thin plating thickness is identified, and the metal ions are deposited in such a specific region so that the plating film can be deposited with a uniform thickness as a whole. By increasing the supply of the relative to obtain a more uniform plating thickness and composition than the prior art, in this embodiment, the plating liquid flow reinforcing portion 41 is provided in the paddle 40 in this embodiment.

도금액 흐름 강화부(41)는, 도금 공정 시 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 기판의 특정 영역으로 도금액의 흐름을 선택적으로 강화시킴으로써 전체적으로 도금막의 두께를 종래보다 균일하게 할 수 있게 한다.  The plating liquid flow reinforcing portion 41 makes the thickness of the plating film more uniform than before by selectively strengthening the flow of the plating liquid to a specific region of the substrate which needs to relatively increase the supply amount of metal ions in the plating process. .

이러한 도금액 흐름 강화부(41)로, 본 실시 예에서는, 패들(40)로부터 하방으로 돌출형성되는 복수의 돌출부재(41)가 적용된다.As the plating liquid flow reinforcing portion 41, in the present embodiment, a plurality of protruding members 41 protruding downward from the paddle 40 is applied.

또한 본 실시 예에서 복수의 돌출부재(41)는, 패들(40)의 동일 반경을 갖는 원주를 따라 상호 이격 배치되되 패들(40)의 홀(40a)에 결합된다. 복수의 돌출부재(41)가 패들(40)에 하방을 향해 돌출되도록 결합되면, 패들(40)의 홀(40a)을 통과한 도금액은 돌출부재(41)의 하방 부근에서 와류를 생성하게 되고 이에 의하여 이 특정 영역에는 도금액 내의 금속 이온의 공급이 상대적으로 증가하게 되어 도 4에 도시된 바와 같이 도금막(M)이 돌출부재(41)의 하방 부근에서 상대적으로 더 두꺼운 도금 두께를 갖게된다. In addition, in the present embodiment, the plurality of protruding members 41 are spaced apart from each other along a circumference having the same radius of the paddle 40, but are coupled to the holes 40a of the paddle 40. When the plurality of protruding members 41 are coupled to the paddle 40 to protrude downward, the plating liquid passing through the hole 40a of the paddle 40 generates a vortex near the lower side of the protruding member 41. As a result, the supply of metal ions in the plating liquid is relatively increased in this specific region so that the plating film M has a relatively thick plating thickness near the lower side of the protruding member 41 as shown in FIG.

따라서 도금 공정 시에 금속 이온의 공급량이 상대적으로 작아서 도금 두께가 상대적으로 얇게 되는 기판의 특정 영역을 파악하게 되면 이러한 특정 영역으로 금속 이온을 빠르게 공급할 수 있도록 도금액 흐름 강화부(41) 즉 본 실시 예에서 복수의 돌출부재(41)를 패들(40)로부터 하방으로 돌출되도록 패들(40)에 마련함으로써 전체적으로 도금막의 두께를 균일하게 할 수 있게 된다.Therefore, when the specific amount of the metal ions are relatively small in the plating process and the plating thickness is relatively low, the plating liquid flow reinforcing part 41, that is, the present embodiment, can be quickly supplied to the specific areas. In the paddle 40 so as to protrude downward from the paddle 40 in the plurality of protruding members 41 in the entire thickness of the plating film can be made uniform.

이러한 돌출부재(41)는 패들(40)의 홀(40a)에 탈착 가능하도록 설치되는 탭(41, Tab)이 적용될 수 있다. 돌출부재(41)로 탭을 사용하는 경우, 도 3에 도시된 바와 같이, 탭(41)에 수나사산을 형성하고 패들(40)의 홀(40a) 내측면에 암나사산을 형성하여 나사 결합시킬 수 있다. 탭의 결합 방식은 나사 결합 외에 끼워 맞춤 결합 등 공지된 결합 수단으로 대체될 수 있다. 또한 본 실시 예에서 탭(41)은 원형의 단면 형상을 가지나 본 발명의 권리범위가 이에 제한되지 않으며 도금막의 두께와 조성에 맞게 다양한 형상으로 변경될 수 있다. The protruding member 41 may be a tab (41, Tab) is installed to be detachable in the hole (40a) of the paddle (40). In the case of using the tab as the protruding member 41, as shown in FIG. Can be. The coupling manner of the tabs can be replaced by known coupling means such as fitting coupling in addition to screw coupling. In addition, in the present embodiment, the tab 41 has a circular cross-sectional shape, but the scope of the present invention is not limited thereto and may be changed into various shapes according to the thickness and composition of the plating film.

돌출부재(41)는 도금조(10) 내의 전류 흐름을 방해하지 않도록 전술한 패들(40)과 같은 절연 물질로 형성되거나, 표면이 절연 물질로 코팅될 수도 있다.The protruding member 41 may be formed of an insulating material such as the paddle 40 described above so as not to disturb the current flow in the plating bath 10, or the surface may be coated with an insulating material.

이와 같이 도금액 흐름 강화부(41) 즉 본 실시 예에서 패들(40)에 설치되는 돌출부재(41)에 의하여 돌출부재(41) 하측 수직(vertical) 영역의 도금막 두께 및 은 이온과 같은 도금 이온의 조성의 상승이 가능하여, 피도금체인 기판(W)의 영역에 적절히 돌출부재(41)를 설치함으로써 도금막의 두께나 조성을 선택적으로 제어할 수 있고 결과적으로 도금막의 두께나 조성을 전체적으로 균일하게 할 수 있게 된다.As described above, the plating liquid flow reinforcing portion 41, that is, the plating film thickness of the lower vertical region of the lower portion of the protruding member 41 and the plating ion such as silver ions by the protruding member 41 installed in the paddle 40 in this embodiment. It is possible to increase the composition, and by appropriately providing the protruding member 41 in the region of the substrate W to be plated, the thickness or composition of the plated film can be selectively controlled, and as a result, the thickness or composition of the plated film can be made uniform throughout. Will be.

이러한 구성을 갖는 본 발명의 제1 실시 예에 따른 반도체 기판의 전기 도금 장치에 의한 반도체 기판의 전기 도금 방법에 대하여 설명하면 다음과 같다.The electroplating method of the semiconductor substrate by the electroplating apparatus of the semiconductor substrate according to the first embodiment of the present invention having such a configuration will be described below.

도 5는 본 발명의 제1 실시예에 따른 반도체 기판의 전기 도금 방법을 순차적으로 도시한 순서도이다.5 is a flowchart sequentially illustrating an electroplating method of a semiconductor substrate according to a first exemplary embodiment of the present invention.

이에 도시된 바와 같이, 본 발명의 제1 실시예에 따른 반도체 기판의 전기 도금 방법은, 피도금체인 기판(W) 전체에 도금막이 균일하게 형성되도록 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 기판(W)의 특정 영역을 결정하는 단계(S100)와, 특정 영역으로 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부(41)가 구비된 패들(40)을 도금조(10)에 마련하는 단계(S200)와, 도금조(10)에 기판을 설치하는 단계(S300)와, 도금조(10)에 도금액을 공급하고, 도금조(10)의 내부에 마련된 애노드(20)와 캐소드(30) 사이에 전기장을 형성하여 피도금체인 기판(W)의 표면에 도금막을 형성하는 단계(S400)를 포함한다.As shown in the drawing, in the electroplating method of the semiconductor substrate according to the first embodiment of the present invention, it is necessary to relatively increase the supply amount of metal ions in the plating liquid so that the plated film is uniformly formed on the entire substrate W to be plated. Determining a specific region of the substrate (W) with the paddle 40 having the plating liquid flow reinforcing portion 41 for selectively strengthening the flow of the plating liquid to the specific region in the plating bath 10. Providing (S200), installing the substrate in the plating bath 10 (S300), supplying the plating liquid to the plating bath 10, the anode 20 and the cathode provided in the plating bath 10 Forming an electric field between the 30 to form a plating film on the surface of the substrate (W) to be plated (S400).

우선, 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 기판(W)의 특정 영역을 결정하는 단계(S100)가 수행된다. First, a step S100 of determining a specific region of the substrate W in which the supply amount of metal ions in the plating liquid needs to be relatively increased is performed.

그런 다음에, 특정 영역으로 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부(41)가 구비된 패들(40)을 도금조(10)에 마련하는 단계(S200)가 수행된다. 즉 결정된 특정 영역을 기초로 도금액 흐름 강화부(41) 즉 본 실시 예에서 돌출부재(41)를 패들(40)의 홀(40a)에 설치한다. Then, the step (S200) of providing the paddle 40 with the plating liquid flow strengthening portion 41 for selectively strengthening the flow of the plating liquid to a specific region in the plating bath (10). That is, the plating liquid flow reinforcing portion 41, that is, the protrusion member 41 in the present embodiment is installed in the hole 40a of the paddle 40 based on the determined specific region.

그리고 도금조(10)에 기판을 설치하고(S300), 도금액 분사부재(11)로 도금액을 공급하여 도금조(10) 내에서 피도금체인 기판(W)과 도금액을 접촉시킨다. 이때 애노드(20)와 캐소드(30)에 전기적으로 연결된 전원공급부(50)는 전압을 인가하고 이에 의하여 애노드(20)로부터 캐소드(30) 방향으로 전기장이 형성된다.Subsequently, the substrate is installed in the plating bath 10 (S300), and the plating solution is supplied to the plating liquid injection member 11 to contact the substrate W, which is the plated body, with the plating liquid in the plating bath 10. At this time, the power supply 50 electrically connected to the anode 20 and the cathode 30 applies a voltage, thereby forming an electric field from the anode 20 toward the cathode 30.

이렇게 형성된 전기장에 의해 도금액은 기판(W) 방향으로 진행하는데, 패들(40)의 홀(40a)을 통과하여 캐소드(30)에 전기적으로 연결 설치되어 있는 피도금체인 기판(W)에 금속 이온이 흡착됨으로써 피도금체인 기판(W)의 표면에 도금막이 형성된다(S400).The plating liquid proceeds in the direction of the substrate W by the electric field thus formed, and metal ions are formed on the substrate W, which is a plated body that is electrically connected to the cathode 30 through the holes 40a of the paddle 40. By being adsorbed, a plating film is formed on the surface of the substrate W, which is the plated body (S400).

이 과정에서 돌출부재(41)의 하방 부근에서 와류가 발생하게 되고 이에 의하여 도금액 내의 금속 이온이 빠르게 공급되어 도금막이 돌출부재(41)의 하방 부근에서 상대적으로 더 두꺼운 도금 두께를 가질 수 있도록 하여 전체적으로 도금막의 두께를 균일하게 할 수 있다.In this process, vortices are generated near the lower side of the protruding member 41, whereby metal ions in the plating solution are rapidly supplied, so that the plating film can have a relatively thicker plating thickness near the lower side of the protruding member 41. The thickness of the plating film can be made uniform.

한편, 도금액 분사부재(11)에 의해서 도금조(10)로 공급되는 도금액은 도금액 회송관(RL)을 통해 회수되어 세정 공정(미도시) 등을 거쳐 도금조(10)에 재공급될 수 있다.On the other hand, the plating liquid supplied to the plating tank 10 by the plating liquid injection member 11 may be recovered through the plating liquid return pipe RL and supplied again to the plating tank 10 through a cleaning process (not shown). .

도 6은 본 발명의 제2 실시예에 따른 반도체 기판의 전기 도금 장치의 패들의 개략적인 단면도이다. 본 발명의 제1 실시 예와 다른 부분만을 설명하고자 하며, 설명을 위해 필요한 경우 일 실시 예와 동일한 부분에 대해서는 동일한 참조부호를 부여하여 인용하기로 한다. 6 is a schematic cross-sectional view of a paddle of an electroplating apparatus of a semiconductor substrate according to a second embodiment of the present invention. Only the parts different from the first embodiment of the present invention will be described, and the same parts as the embodiments will be referred to by reference with the same reference numerals when necessary for explanation.

본 실시 예에서는 도금액 흐름 강화부(43)가 그루브(43)로 마련된다. 이러한 그루브(43)는, 완만한 반 타원 형상으로 형성될 수 있고, 패들(40)의 성형시 일체로 형성될 수 있다. 그러나 본 발명의 권리범위가 이에 한정되지 않으며, 그루브(43)는 패들(40)을 성형한 후, 후 가공을 통해서 패들(40)에 형성될 수도 있다. In the present embodiment, the plating liquid flow reinforcing portion 43 is provided as a groove 43. The groove 43 may be formed in a gentle semi-elliptic shape, and may be integrally formed when the paddle 40 is formed. However, the scope of the present invention is not limited thereto, and the groove 43 may be formed in the paddle 40 through post-processing after molding the paddle 40.

이와 같이 그루브(43)가 마련되면, 그루브(43)의 하방 부근에서 와류가 발생하게 되고 이에 의하여 도금액 내의 금속 이온의 공급이 상대적으로 증가하게되어 도금막이 그루브(43)의 하방 부근에서 상대적으로 더 두꺼운 도금 두께를 갖게된다. 따라서 도금 공정 시 금속 이온의 공급량이 상대적으로 작아서 도금 두께가 상대적으로 얇게 되는 기판의 특정 영역을 파악하고 이러한 특정 영역으로 금속 이온을 빠르게 공급할 수 있도록 도금액 흐름 강화부(43) 즉 본 실시 예에서 그루브(43)를 패들(40)에 마련함으로써 전체적으로 도금막의 두께를 균일하게 할 수 있다.As such, when the grooves 43 are provided, vortices are generated in the vicinity of the grooves 43, whereby the supply of metal ions in the plating solution is relatively increased, whereby the plated film is relatively further near the grooves 43. It will have a thick plating thickness. Therefore, in the plating process, the plating liquid flow reinforcing portion 43, that is, the grooves in the present embodiment, can be used to identify a specific region of the substrate where the metal ion supply amount is relatively small and the plating thickness becomes relatively thin and to quickly supply metal ions to the specific region. By providing the 43 to the paddle 40, the thickness of the plated film can be made uniform.

도 7은 본 발명의 제3 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 구조도이고, 도 8은 본 발명의 제4 실시예에 따른 반도체 기판의 전기 도금 장치의 개략적인 주요부 구조도이다.FIG. 7 is a schematic structural diagram of an electroplating apparatus of a semiconductor substrate according to a third exemplary embodiment of the present invention, and FIG. 8 is a schematic structural view of major parts of an electroplating apparatus of a semiconductor substrate according to a fourth exemplary embodiment of the present invention.

전술한 제1 실시예의 경우, 도금액 분사부재(11)가 애노드(20)를 관통하도록 마련되고, 노즐과 같은 도금액 분사부재(11)에서 공급되는 도금액은 바로 패들(40)로 공급되도록 배치된다. 하지만, 도 7 및 도 8에 도시된 바와 같이, 제3 실시 예 및 제4 실시 예에서는 도금액 분사부재(11) 즉 노즐(11)이 애노드(20a)를 관통하지 않되 애노드(20a)의 상부에 배치된다. 그리고, 애노드(20a)의 상면에는 도금액 분사부재(11)에서 공급되는 도금액이 애노드(20a)의 상면을 타고 균일하게 패들(40) 방향으로 흐르도록 하는 선형 흐름 유도부(21a, 23a)가 더 마련된다.In the first embodiment described above, the plating liquid injection member 11 is provided to penetrate the anode 20, and the plating liquid supplied from the plating liquid injection member 11 such as a nozzle is directly supplied to the paddle 40. However, as shown in FIGS. 7 and 8, in the third and fourth embodiments, the plating liquid injection member 11, that is, the nozzle 11, does not penetrate the anode 20a, but is disposed on the upper portion of the anode 20a. Is placed. Further, linear flow guide parts 21a and 23a are further provided on the upper surface of the anode 20a to allow the plating liquid supplied from the plating liquid injection member 11 to flow uniformly in the direction of the paddle 40 on the upper surface of the anode 20a. do.

선형 흐름 유도부(21a, 23a)는 도금액 분사부재(11)에서 공급되는 도금액이 비산 되거나 요동치지 않고 애노드(20a)의 상면에서 선형으로 균일하게 흐르도록 하는 것으로서, 도 7에 도시된 바와 같이, 제3 실시예에 따른 반도체 기판의 전기 도금 장치에서는, 애노드(20a)의 상면에 함몰형성된 홈에 대응되는 형상으로 형성되되 홈에 결합되어 도금액의 요동을 방지하는 난류 억제 패드(21a, TPS, Turbulent Suppressor Pad)가 적용되고, 도 8에 도시된 바와 같이, 제4 실시예에 따른 반도체 기판의 전기 도금 장치에서는, 도금액이 공급되는 방향으로 돌출되게 애노드(20a)에 설치되어 도금액의 비산을 방지하는 다공성 부재(23a, Porous Media)가 적용된다.The linear flow guides 21a and 23a allow the plating liquid supplied from the plating liquid injection member 11 to flow uniformly linearly on the upper surface of the anode 20a without being scattered or shaken, as shown in FIG. 7. In the electroplating apparatus of the semiconductor substrate according to the third embodiment, the turbulence suppression pads 21a, TPS, and Turbulent Suppressor are formed in a shape corresponding to the grooves recessed in the upper surface of the anode 20a and are coupled to the grooves to prevent the plating liquid from shaking. Pad) is applied, and as shown in FIG. 8, in the electroplating apparatus of the semiconductor substrate according to the fourth embodiment, the porous film is installed on the anode 20a to protrude in the direction in which the plating liquid is supplied to prevent the plating liquid from scattering. A member 23a (porous media) is applied.

난류 억제 패드(21a)는 도금액 분사부재(11)에서 공급되는 도금액이 난류 억제 패드(21a)에 채워진 후 애노드(20a)의 상면으로 역류되도록 하여 선형의 흐름을 유도하고, 다공성 부재(23a)는 공급되는 도금액이 다공성 부재(23a)에 형성된 복수의 홀로 유입된 후 복수의 홀로 배출되도록 하여 도금액의 비산이나 난류를 억제하는 것으로서, 이에 의하여 패들(40)로 도금액의 선형 흐름을 유도한다. 패들(40)로 도금액의 선형 흐름을 유도하게 되면 기판에 접촉되는 도금액의 비산을 방지하고 원하지 않는 난류를 억제하여 결과적으로 전체적으로 균일한 도금을 도모할 수 있게 된다.The turbulence suppression pad 21a causes the plating liquid supplied from the plating liquid jetting member 11 to flow back to the upper surface of the anode 20a after filling the turbulence suppression pad 21a to induce a linear flow, and the porous member 23a is The supplied plating liquid is introduced into the plurality of holes formed in the porous member 23a and then discharged into the plurality of holes to suppress the scattering or turbulence of the plating liquid, thereby inducing a linear flow of the plating liquid to the paddle 40. By inducing a linear flow of the plating liquid to the paddle 40, it is possible to prevent the scattering of the plating liquid in contact with the substrate and to suppress unwanted turbulence, resulting in a uniform plating as a whole.

이와 같이 본 발명은 기재된 실시 예에 한정되는 것이 아니고, 본 발명의 사상 및 범위를 벗어나지 않고 다양하게 수정 및 변형할 수 있음은 이 기술의 분야에서 통상의 지식을 가진 자에게 자명하다. 따라서 그러한 수정 예 또는 변형 예들은 본 발명의 특허청구범위에 속한다 하여야 할 것이다.As described above, the present invention is not limited to the described embodiments, and various modifications and changes can be made without departing from the spirit and scope of the present invention, which will be apparent to those skilled in the art. Accordingly, such modifications or variations are intended to fall within the scope of the appended claims.

1 : 반도체 기판의 전기 도금 장치 10 : 도금조
11 : 도금액 분사부재 20, 20a : 애노드
21a, 23a : 선형 흐름 유도부 30 : 캐소드
40 : 패들 41, 43 : 도금액 흐름 강화부
W : 기판
DESCRIPTION OF SYMBOLS 1 Electroplating apparatus of a semiconductor substrate 10 Plating tank
11: plating liquid injection member 20, 20a: anode
21a, 23a: linear flow guide 30: cathode
40: paddle 41, 43: plating liquid flow strengthening unit
W: substrate

Claims (10)

도금액이 수용되는 도금조;
상기 도금조 내부에 설치되며, 상기 도금액이 피도금체인 기판을 향해 통과하는 복수의 홀이 형성된 패들; 및
상기 패들의 일측에 마련되며, 상기 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 상기 기판의 특정 영역으로 상기 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부를 포함하는 반도체 기판의 전기 도금 장치.
A plating bath in which a plating solution is accommodated;
A paddle installed in the plating bath and having a plurality of holes through which the plating liquid passes toward a substrate to be plated; And
An electroplating apparatus for a semiconductor substrate, provided on one side of the paddle, and including a plating liquid flow reinforcing part for selectively intensifying the flow of the plating liquid to a specific region of the substrate that needs to relatively increase a supply amount of metal ions in the plating liquid. .
제1항에 있어서,
상기 도금액 흐름 강화부는 상기 패들로부터 상기 기판 방향으로 돌출되는 적어도 하나의 돌출부재인 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method of claim 1,
And the plating liquid flow reinforcing part is at least one protruding member protruding from the paddle in the direction of the substrate.
제2항에 있어서,
상기 적어도 하나의 돌출부재는, 상기 패들의 동일 반경을 갖는 원주를 따라 상호 이격 배치되며, 상기 패들의 상기 복수의 홀에 선택적으로 탈착 가능하게 결합되는 복수 개의 탭(Tap)인 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method of claim 2,
The at least one protruding member may be a plurality of tabs disposed to be spaced apart from each other along a circumference having the same radius of the paddle and selectively detachably coupled to the plurality of holes of the paddle. Electroplating apparatus of the substrate.
제3항에 있어서,
상기 패들의 홀 내측면에는 암나사산이 형성되고, 상기 탭에는 수나사산이 형성되어 상기 홀에 상기 탭이 나사결합되는 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method of claim 3,
A female thread is formed on an inner surface of the hole of the paddle, and a male thread is formed on the tab, so that the tab is screwed into the hole.
제1항에 있어서,
상기 도금액 흐름 강화부는 상기 패들의 일측면에 표면으로부터 함몰 형성되는 그루브인 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method of claim 1,
And the plating liquid flow reinforcing part is a groove formed on one side of the paddle by recessing from a surface thereof.
제1항에 있어서,
상기 패들과 이격 되도록 도금조의 내부에 설치되며, 공급되는 상기 도금액의 상기 패들로의 선형 흐름을 유도하는 선형 흐름 유도부가 마련되는 애노드를 더 포함하는 반도체 기판의 전기 도금 장치.
The method of claim 1,
And an anode disposed inside the plating bath so as to be spaced apart from the paddle, and having a linear flow induction part for inducing a linear flow of the plating liquid supplied to the paddle.
제6항에 있어서,
상기 선형 흐름 유도부는, 상기 애노드에 표면으로 함몰형성된 홈에 결합되되 상기 홈에 대응되는 형상을 갖는 난류 억제 패드인 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method according to claim 6,
The linear flow guide unit is an electroplating apparatus of a semiconductor substrate, characterized in that the turbulence suppression pad is coupled to the groove recessed to the anode surface having a shape corresponding to the groove.
제6항에 있어서,
상기 선형 흐름 유도부는, 상기 애노드에 결합되는 다공성 부재인 것을 특징으로 하는 반도체 기판의 전기 도금 장치.
The method according to claim 6,
The linear flow guide portion, the electroplating apparatus of the semiconductor substrate, characterized in that the porous member coupled to the anode.
피도금체인 기판 전체에 도금막이 균일하게 형성되도록 상기 도금액 내의 금속 이온의 공급량을 상대적으로 증가시킬 필요가 있는 기판의 특정 영역을 결정하는 단계;
상기 특정 영역으로 도금액의 흐름을 선택적으로 강화시키는 도금액 흐름 강화부가 구비된 패들을 도금조에 마련하는 단계;
상기 도금조에 상기 기판을 설치하는 단계; 및
상기 도금조에 도금액을 공급하고, 상기 도금조의 내부에 마련된 애노드와 캐소드 사이에 전기장을 형성하여 상기 피도금체인 기판의 표면에 도금막을 형성하는 단계를 포함하는 반도체 기판의 전기 도금 방법.
Determining a specific region of the substrate in which the supply amount of the metal ions in the plating liquid needs to be relatively increased so that the plating film is uniformly formed on the entire substrate to be plated;
Providing a paddle with a plating liquid flow reinforcing part for selectively strengthening the flow of the plating liquid to the specific region in a plating bath;
Installing the substrate in the plating bath; And
Supplying a plating solution to the plating bath, and forming an electric field between the anode and the cathode provided inside the plating bath to form a plating film on the surface of the substrate to be plated.
제9항에 있어서,
상기 도금액 흐름 강화부는 상기 패들로부터 상기 기판 방향으로 돌출되는 적어도 하나의 돌출부재인 것을 특징으로 하는 반도체 기판의 전기 도금 방법.
10. The method of claim 9,
And the plating liquid flow reinforcing portion is at least one protruding member protruding from the paddle in the direction of the substrate.
KR1020110047188A 2011-05-19 2011-05-19 Electroplating apparatus for semiconductor substrate and method the same KR20120129125A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020110047188A KR20120129125A (en) 2011-05-19 2011-05-19 Electroplating apparatus for semiconductor substrate and method the same
US13/438,020 US20120292195A1 (en) 2011-05-19 2012-04-03 Apparatus and method for electroplating for semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110047188A KR20120129125A (en) 2011-05-19 2011-05-19 Electroplating apparatus for semiconductor substrate and method the same

Publications (1)

Publication Number Publication Date
KR20120129125A true KR20120129125A (en) 2012-11-28

Family

ID=47174123

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110047188A KR20120129125A (en) 2011-05-19 2011-05-19 Electroplating apparatus for semiconductor substrate and method the same

Country Status (2)

Country Link
US (1) US20120292195A1 (en)
KR (1) KR20120129125A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190025725A (en) * 2016-08-23 2019-03-11 아토테크더치랜드게엠베하 Devices for Vertical Galvanic Metal Deposition on Substrates
KR20230060383A (en) 2021-10-27 2023-05-04 주식회사 에스이에이 Plating apparatus including paddle having protrudent peak edge
KR20230069609A (en) 2021-11-12 2023-05-19 주식회사 에스이에이 Plating apparatus including paddle with rotating blade
KR102550349B1 (en) 2022-10-17 2023-07-03 박재희 Soundproofing panel for system scaffold and system scaffold with the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI599682B (en) * 2015-11-03 2017-09-21 財團法人工業技術研究院 Apparatus for electrochemical etching and apparatus for electroplating
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
KR20180126312A (en) * 2017-05-17 2018-11-27 삼성전기주식회사 Plating apparatus
JP7388325B2 (en) * 2020-09-16 2023-11-29 トヨタ自動車株式会社 Metal coating film forming apparatus and film forming method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US5830334A (en) * 1996-11-07 1998-11-03 Kobayashi; Hideyuki Nozzle for fast plating with plating solution jetting and suctioning functions
US6261426B1 (en) * 1999-01-22 2001-07-17 International Business Machines Corporation Method and apparatus for enhancing the uniformity of electrodeposition or electroetching
US20040262150A1 (en) * 2002-07-18 2004-12-30 Toshikazu Yajima Plating device
US20040182715A1 (en) * 2003-03-20 2004-09-23 Jeffrey Bogart Process and apparatus for air bubble removal during electrochemical processing

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190025725A (en) * 2016-08-23 2019-03-11 아토테크더치랜드게엠베하 Devices for Vertical Galvanic Metal Deposition on Substrates
KR20230060383A (en) 2021-10-27 2023-05-04 주식회사 에스이에이 Plating apparatus including paddle having protrudent peak edge
KR20230069609A (en) 2021-11-12 2023-05-19 주식회사 에스이에이 Plating apparatus including paddle with rotating blade
KR102550349B1 (en) 2022-10-17 2023-07-03 박재희 Soundproofing panel for system scaffold and system scaffold with the same

Also Published As

Publication number Publication date
US20120292195A1 (en) 2012-11-22

Similar Documents

Publication Publication Date Title
KR20120129125A (en) Electroplating apparatus for semiconductor substrate and method the same
CN107419312B (en) Dynamic adjustment of cross-flow manifolds during electroplating
CN110306224B (en) Apparatus and method for electroplating metals using an ionically resistive ionically permeable element
TWI697587B (en) Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
KR101765346B1 (en) Method and apparatus for electroplating
KR101613406B1 (en) Device for vertical galvanic metal deposition on a substrate
TWI595123B (en) Dynamic current distribution control apparatus and method for wafer electroplating
CN105986305B (en) Control of current density in electroplating apparatus
TW201433660A (en) Adjustable current shield for electroplating processes
JP2007291419A (en) Plating treatment device
JP2014129592A (en) Electrolytic plating shield board and electrolytic plating device possessing the same
JP2004225129A (en) Plating method and plating device
JP2014051697A (en) Cup type plating apparatus and plating method using the same
JP2004149872A (en) Plating apparatus and plating method
TW202233903A (en) Distribution system for a process fluid for chemical and/or electrolytic surface treatment of a substrate
KR101978627B1 (en) Devices for Vertical Galvanic Metal Deposition on Substrates
TWI649458B (en) Plating apparatus
TWI378157B (en) Kit for the assembly of a process reactor for the formation of metallic layers on one or more substrates
KR101103442B1 (en) Wafer plating apparatus
CN203474939U (en) Electroplating device
KR20160109701A (en) Plating bath and plating device having the same
JP2002322596A (en) Electroplating apparatus for film carrier
TWM555362U (en) Plating auxiliary board and plating system using the same
CN109518260A (en) Accessory plate and the electroplating system using it is electroplated
JP2012007201A (en) Plating device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid